ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ ('', 'CAx-IF Rec.Pracs.---Representation and Presentation of Product Manufa cturing Information (PMI)---3.8---2014-02-18'), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'D:\\Box Synced\\Box Sync\\SoLID-2018\\! SoLID 2018\\!!!Finals\\Models \\SP-10-01-00.stp', /* time_stamp */ '2019-07-23T14:30:25-04:00', /* author */ ('Gary'), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v16.13', /* originating_system */ 'Autodesk Inventor 2018', /* authorisation */ ''); FILE_SCHEMA (('AP242_MANAGED_MODEL_BASED_3D_ENGINEERING_MIM_LF { 1 0 10303 442 1 1 4 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#79,#80); #11=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#81,#80); #12=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#82,#80); #13=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#83,#80); #14=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','View1',#84,#80); #15=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#85,#86); #16=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#87,#86); #17=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#88,#86); #18=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#89,#86); #19=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#90,#91); #20=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#92,#91); #21=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#93,#91); #22=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#94,#91); #23=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#95,#96); #24=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#97,#96); #25=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#98,#96); #26=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#99,#96); #27=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#100,#101); #28=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#102,#101); #29=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#103,#101); #30=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#104,#101); #31=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#105,#106); #32=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#107,#106); #33=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#108,#106); #34=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#109,#106); #35=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#110,#111); #36=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#112,#111); #37=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#113,#111); #38=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#114,#111); #39=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#115,#116); #40=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#117,#116); #41=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#118,#116); #42=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#119,#116); #43=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#120,#121); #44=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#122,#121); #45=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#123,#121); #46=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#124,#121); #47=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#125,#126); #48=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#127,#126); #49=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#128,#126); #50=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#129,#126); #51=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#130,#131); #52=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#132,#131); #53=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#133,#131); #54=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#134,#131); #55=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#135,#136); #56=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#137,#136); #57=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#138,#136); #58=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#139,#136); #59=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#140,#141); #60=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#142,#141); #61=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#143,#141); #62=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#144,#141); #63=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#145,#146); #64=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#147,#146); #65=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#148,#146); #66=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#149,#146); #67=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#150,#151); #68=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#152,#151); #69=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#153,#151); #70=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#154,#151); #71=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#155,#156); #72=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#157,#156); #73=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#158,#156); #74=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#159,#156); #75=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Isometric',#160,#161); #76=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Front',#162,#161); #77=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Top',#163,#161); #78=MECHANICAL_DESIGN_AND_DRAUGHTING_RELATIONSHIP('','Right',#164,#161); #79=DRAUGHTING_MODEL('Isometric',(#379,#166),#42408); #80=DRAUGHTING_MODEL('',(#380,#27515),#42408); #81=DRAUGHTING_MODEL('Front',(#381,#167),#42408); #82=DRAUGHTING_MODEL('Top',(#382,#168),#42408); #83=DRAUGHTING_MODEL('Right',(#383,#169),#42408); #84=DRAUGHTING_MODEL('View1',(#384,#377,#170),#42408); #85=DRAUGHTING_MODEL('Isometric',(#386,#171),#42409); #86=DRAUGHTING_MODEL('',(#387,#27522),#42409); #87=DRAUGHTING_MODEL('Front',(#388,#172),#42409); #88=DRAUGHTING_MODEL('Top',(#389,#173),#42409); #89=DRAUGHTING_MODEL('Right',(#390,#174),#42409); #90=DRAUGHTING_MODEL('Isometric',(#391,#175),#42410); #91=DRAUGHTING_MODEL('',(#392,#27527),#42410); #92=DRAUGHTING_MODEL('Front',(#393,#176),#42410); #93=DRAUGHTING_MODEL('Top',(#394,#177),#42410); #94=DRAUGHTING_MODEL('Right',(#395,#178),#42410); #95=DRAUGHTING_MODEL('Isometric',(#396,#179),#42411); #96=DRAUGHTING_MODEL('',(#397,#27532),#42411); #97=DRAUGHTING_MODEL('Front',(#398,#180),#42411); #98=DRAUGHTING_MODEL('Top',(#399,#181),#42411); #99=DRAUGHTING_MODEL('Right',(#400,#182),#42411); #100=DRAUGHTING_MODEL('Isometric',(#401,#183),#42412); #101=DRAUGHTING_MODEL('',(#402,#27537),#42412); #102=DRAUGHTING_MODEL('Front',(#403,#184),#42412); #103=DRAUGHTING_MODEL('Top',(#404,#185),#42412); #104=DRAUGHTING_MODEL('Right',(#405,#186),#42412); #105=DRAUGHTING_MODEL('Isometric',(#406,#187),#42413); #106=DRAUGHTING_MODEL('',(#407,#27542),#42413); #107=DRAUGHTING_MODEL('Front',(#408,#188),#42413); #108=DRAUGHTING_MODEL('Top',(#409,#189),#42413); #109=DRAUGHTING_MODEL('Right',(#410,#190),#42413); #110=DRAUGHTING_MODEL('Isometric',(#411,#191),#42414); #111=DRAUGHTING_MODEL('',(#412,#27547),#42414); #112=DRAUGHTING_MODEL('Front',(#413,#192),#42414); #113=DRAUGHTING_MODEL('Top',(#414,#193),#42414); #114=DRAUGHTING_MODEL('Right',(#415,#194),#42414); #115=DRAUGHTING_MODEL('Isometric',(#416,#195),#42415); #116=DRAUGHTING_MODEL('',(#417,#27552),#42415); #117=DRAUGHTING_MODEL('Front',(#418,#196),#42415); #118=DRAUGHTING_MODEL('Top',(#419,#197),#42415); #119=DRAUGHTING_MODEL('Right',(#420,#198),#42415); #120=DRAUGHTING_MODEL('Isometric',(#421,#199),#42416); #121=DRAUGHTING_MODEL('',(#422,#27557),#42416); #122=DRAUGHTING_MODEL('Front',(#423,#200),#42416); #123=DRAUGHTING_MODEL('Top',(#424,#201),#42416); #124=DRAUGHTING_MODEL('Right',(#425,#202),#42416); #125=DRAUGHTING_MODEL('Isometric',(#426,#203),#42417); #126=DRAUGHTING_MODEL('',(#427,#27562),#42417); #127=DRAUGHTING_MODEL('Front',(#428,#204),#42417); #128=DRAUGHTING_MODEL('Top',(#429,#205),#42417); #129=DRAUGHTING_MODEL('Right',(#430,#206),#42417); #130=DRAUGHTING_MODEL('Isometric',(#431,#207),#42418); #131=DRAUGHTING_MODEL('',(#432,#27567),#42418); #132=DRAUGHTING_MODEL('Front',(#433,#208),#42418); #133=DRAUGHTING_MODEL('Top',(#434,#209),#42418); #134=DRAUGHTING_MODEL('Right',(#435,#210),#42418); #135=DRAUGHTING_MODEL('Isometric',(#436,#211),#42419); #136=DRAUGHTING_MODEL('',(#437,#27572),#42419); #137=DRAUGHTING_MODEL('Front',(#438,#212),#42419); #138=DRAUGHTING_MODEL('Top',(#439,#213),#42419); #139=DRAUGHTING_MODEL('Right',(#440,#214),#42419); #140=DRAUGHTING_MODEL('Isometric',(#441,#215),#42420); #141=DRAUGHTING_MODEL('',(#442,#27577),#42420); #142=DRAUGHTING_MODEL('Front',(#443,#216),#42420); #143=DRAUGHTING_MODEL('Top',(#444,#217),#42420); #144=DRAUGHTING_MODEL('Right',(#445,#218),#42420); #145=DRAUGHTING_MODEL('Isometric',(#446,#219),#42421); #146=DRAUGHTING_MODEL('',(#447,#27582),#42421); #147=DRAUGHTING_MODEL('Front',(#448,#220),#42421); #148=DRAUGHTING_MODEL('Top',(#449,#221),#42421); #149=DRAUGHTING_MODEL('Right',(#450,#222),#42421); #150=DRAUGHTING_MODEL('Isometric',(#451,#223),#42422); #151=DRAUGHTING_MODEL('',(#452,#27587),#42422); #152=DRAUGHTING_MODEL('Front',(#453,#224),#42422); #153=DRAUGHTING_MODEL('Top',(#454,#225),#42422); #154=DRAUGHTING_MODEL('Right',(#455,#226),#42422); #155=DRAUGHTING_MODEL('Isometric',(#456,#227),#42423); #156=DRAUGHTING_MODEL('',(#457,#27592),#42423); #157=DRAUGHTING_MODEL('Front',(#458,#228),#42423); #158=DRAUGHTING_MODEL('Top',(#459,#229),#42423); #159=DRAUGHTING_MODEL('Right',(#460,#230),#42423); #160=DRAUGHTING_MODEL('Isometric',(#461,#231),#42424); #161=DRAUGHTING_MODEL('',(#462,#27597),#42424); #162=DRAUGHTING_MODEL('Front',(#463,#232),#42424); #163=DRAUGHTING_MODEL('Top',(#464,#233),#42424); #164=DRAUGHTING_MODEL('Right',(#465,#234),#42424); #165=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#776,#773, #774,#775,#771,#772,#770,#769,#768,#779,#780,#777,#778,#763,#767,#764,#765, #766),#42425); #166=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27238,#235,(#2348,#2349)); #167=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27242,#236,(#2350,#2351)); #168=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27246,#237,(#2352,#2353)); #169=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27250,#238,(#2354,#2355)); #170=CAMERA_MODEL_D3_MULTI_CLIPPING('View1',#27254,#239,(#2356,#2357)); #171=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27258,#240,(#2358,#2359)); #172=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27262,#241,(#2360,#2361)); #173=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27266,#242,(#2362,#2363)); #174=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27270,#243,(#2364,#2365)); #175=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27274,#244,(#2366,#2367)); #176=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27278,#245,(#2368,#2369)); #177=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27282,#246,(#2370,#2371)); #178=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27286,#247,(#2372,#2373)); #179=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27290,#248,(#2374,#2375)); #180=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27294,#249,(#2376,#2377)); #181=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27298,#250,(#2378,#2379)); #182=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27302,#251,(#2380,#2381)); #183=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27306,#252,(#2382,#2383)); #184=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27310,#253,(#2384,#2385)); #185=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27314,#254,(#2386,#2387)); #186=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27318,#255,(#2388,#2389)); #187=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27322,#256,(#2390,#2391)); #188=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27326,#257,(#2392,#2393)); #189=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27330,#258,(#2394,#2395)); #190=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27334,#259,(#2396,#2397)); #191=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27338,#260,(#2398,#2399)); #192=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27342,#261,(#2400,#2401)); #193=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27346,#262,(#2402,#2403)); #194=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27350,#263,(#2404,#2405)); #195=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27354,#264,(#2406,#2407)); #196=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27358,#265,(#2408,#2409)); #197=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27362,#266,(#2410,#2411)); #198=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27366,#267,(#2412,#2413)); #199=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27370,#268,(#2414,#2415)); #200=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27374,#269,(#2416,#2417)); #201=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27378,#270,(#2418,#2419)); #202=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27382,#271,(#2420,#2421)); #203=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27386,#272,(#2422,#2423)); #204=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27390,#273,(#2424,#2425)); #205=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27394,#274,(#2426,#2427)); #206=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27398,#275,(#2428,#2429)); #207=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27402,#276,(#2430,#2431)); #208=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27406,#277,(#2432,#2433)); #209=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27410,#278,(#2434,#2435)); #210=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27414,#279,(#2436,#2437)); #211=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27418,#280,(#2438,#2439)); #212=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27422,#281,(#2440,#2441)); #213=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27426,#282,(#2442,#2443)); #214=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27430,#283,(#2444,#2445)); #215=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27434,#284,(#2446,#2447)); #216=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27438,#285,(#2448,#2449)); #217=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27442,#286,(#2450,#2451)); #218=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27446,#287,(#2452,#2453)); #219=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27450,#288,(#2454,#2455)); #220=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27454,#289,(#2456,#2457)); #221=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27458,#290,(#2458,#2459)); #222=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27462,#291,(#2460,#2461)); #223=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27466,#292,(#2462,#2463)); #224=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27470,#293,(#2464,#2465)); #225=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27474,#294,(#2466,#2467)); #226=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27478,#295,(#2468,#2469)); #227=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27482,#296,(#2470,#2471)); #228=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27486,#297,(#2472,#2473)); #229=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27490,#298,(#2474,#2475)); #230=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27494,#299,(#2476,#2477)); #231=CAMERA_MODEL_D3_MULTI_CLIPPING('Isometric',#27498,#300,(#2478,#2479)); #232=CAMERA_MODEL_D3_MULTI_CLIPPING('Front',#27502,#301,(#2480,#2481)); #233=CAMERA_MODEL_D3_MULTI_CLIPPING('Top',#27506,#302,(#2482,#2483)); #234=CAMERA_MODEL_D3_MULTI_CLIPPING('Right',#27510,#303,(#2484,#2485)); #235=VIEW_VOLUME(.PARALLEL.,#41958,0.424856115107914,0.,.F.,0.,.F.,.F., #304); #236=VIEW_VOLUME(.PARALLEL.,#41963,2.77199092178618,0.,.F.,0.,.F.,.F.,#305); #237=VIEW_VOLUME(.PARALLEL.,#41968,2.77199092178618,0.,.F.,0.,.F.,.F.,#306); #238=VIEW_VOLUME(.PARALLEL.,#41973,2.77199092178618,0.,.F.,0.,.F.,.F.,#307); #239=VIEW_VOLUME(.PARALLEL.,#41978,183.119096215194,0.,.F.,0.,.F.,.F.,#308); #240=VIEW_VOLUME(.PARALLEL.,#41983,0.424856115107914,0.,.F.,0.,.F.,.F., #309); #241=VIEW_VOLUME(.PARALLEL.,#41988,2.77199092178618,0.,.F.,0.,.F.,.F.,#310); #242=VIEW_VOLUME(.PARALLEL.,#41993,2.77199092178618,0.,.F.,0.,.F.,.F.,#311); #243=VIEW_VOLUME(.PARALLEL.,#41998,2.77199092178618,0.,.F.,0.,.F.,.F.,#312); #244=VIEW_VOLUME(.PARALLEL.,#42003,0.424856115107914,0.,.F.,0.,.F.,.F., #313); #245=VIEW_VOLUME(.PARALLEL.,#42008,2.77199092178618,0.,.F.,0.,.F.,.F.,#314); #246=VIEW_VOLUME(.PARALLEL.,#42013,2.77199092178618,0.,.F.,0.,.F.,.F.,#315); #247=VIEW_VOLUME(.PARALLEL.,#42018,2.77199092178618,0.,.F.,0.,.F.,.F.,#316); #248=VIEW_VOLUME(.PARALLEL.,#42023,0.424856115107914,0.,.F.,0.,.F.,.F., #317); #249=VIEW_VOLUME(.PARALLEL.,#42028,2.77199092178618,0.,.F.,0.,.F.,.F.,#318); #250=VIEW_VOLUME(.PARALLEL.,#42033,2.77199092178618,0.,.F.,0.,.F.,.F.,#319); #251=VIEW_VOLUME(.PARALLEL.,#42038,2.77199092178618,0.,.F.,0.,.F.,.F.,#320); #252=VIEW_VOLUME(.PARALLEL.,#42043,0.424856115107914,0.,.F.,0.,.F.,.F., #321); #253=VIEW_VOLUME(.PARALLEL.,#42048,2.77199092178618,0.,.F.,0.,.F.,.F.,#322); #254=VIEW_VOLUME(.PARALLEL.,#42053,2.77199092178618,0.,.F.,0.,.F.,.F.,#323); #255=VIEW_VOLUME(.PARALLEL.,#42058,2.77199092178618,0.,.F.,0.,.F.,.F.,#324); #256=VIEW_VOLUME(.PARALLEL.,#42063,0.424856115107914,0.,.F.,0.,.F.,.F., #325); #257=VIEW_VOLUME(.PARALLEL.,#42068,2.77199092178618,0.,.F.,0.,.F.,.F.,#326); #258=VIEW_VOLUME(.PARALLEL.,#42073,2.77199092178618,0.,.F.,0.,.F.,.F.,#327); #259=VIEW_VOLUME(.PARALLEL.,#42078,2.77199092178618,0.,.F.,0.,.F.,.F.,#328); #260=VIEW_VOLUME(.PARALLEL.,#42083,0.424856115107914,0.,.F.,0.,.F.,.F., #329); #261=VIEW_VOLUME(.PARALLEL.,#42088,2.77199092178618,0.,.F.,0.,.F.,.F.,#330); #262=VIEW_VOLUME(.PARALLEL.,#42093,2.77199092178618,0.,.F.,0.,.F.,.F.,#331); #263=VIEW_VOLUME(.PARALLEL.,#42098,2.77199092178618,0.,.F.,0.,.F.,.F.,#332); #264=VIEW_VOLUME(.PARALLEL.,#42103,0.424856115107914,0.,.F.,0.,.F.,.F., #333); #265=VIEW_VOLUME(.PARALLEL.,#42108,2.77199092178618,0.,.F.,0.,.F.,.F.,#334); #266=VIEW_VOLUME(.PARALLEL.,#42113,2.77199092178618,0.,.F.,0.,.F.,.F.,#335); #267=VIEW_VOLUME(.PARALLEL.,#42118,2.77199092178618,0.,.F.,0.,.F.,.F.,#336); #268=VIEW_VOLUME(.PARALLEL.,#42123,0.424856115107914,0.,.F.,0.,.F.,.F., #337); #269=VIEW_VOLUME(.PARALLEL.,#42128,2.77199092178618,0.,.F.,0.,.F.,.F.,#338); #270=VIEW_VOLUME(.PARALLEL.,#42133,2.77199092178618,0.,.F.,0.,.F.,.F.,#339); #271=VIEW_VOLUME(.PARALLEL.,#42138,2.77199092178618,0.,.F.,0.,.F.,.F.,#340); #272=VIEW_VOLUME(.PARALLEL.,#42143,0.424856115107914,0.,.F.,0.,.F.,.F., #341); #273=VIEW_VOLUME(.PARALLEL.,#42148,2.77199092178618,0.,.F.,0.,.F.,.F.,#342); #274=VIEW_VOLUME(.PARALLEL.,#42153,2.77199092178618,0.,.F.,0.,.F.,.F.,#343); #275=VIEW_VOLUME(.PARALLEL.,#42158,2.77199092178618,0.,.F.,0.,.F.,.F.,#344); #276=VIEW_VOLUME(.PARALLEL.,#42163,0.424856115107914,0.,.F.,0.,.F.,.F., #345); #277=VIEW_VOLUME(.PARALLEL.,#42168,2.77199092178618,0.,.F.,0.,.F.,.F.,#346); #278=VIEW_VOLUME(.PARALLEL.,#42173,2.77199092178618,0.,.F.,0.,.F.,.F.,#347); #279=VIEW_VOLUME(.PARALLEL.,#42178,2.77199092178618,0.,.F.,0.,.F.,.F.,#348); #280=VIEW_VOLUME(.PARALLEL.,#42183,0.424856115107914,0.,.F.,0.,.F.,.F., #349); #281=VIEW_VOLUME(.PARALLEL.,#42188,2.77199092178618,0.,.F.,0.,.F.,.F.,#350); #282=VIEW_VOLUME(.PARALLEL.,#42193,2.77199092178618,0.,.F.,0.,.F.,.F.,#351); #283=VIEW_VOLUME(.PARALLEL.,#42198,2.77199092178618,0.,.F.,0.,.F.,.F.,#352); #284=VIEW_VOLUME(.PARALLEL.,#42203,0.424856115107914,0.,.F.,0.,.F.,.F., #353); #285=VIEW_VOLUME(.PARALLEL.,#42208,2.77199092178618,0.,.F.,0.,.F.,.F.,#354); #286=VIEW_VOLUME(.PARALLEL.,#42213,2.77199092178618,0.,.F.,0.,.F.,.F.,#355); #287=VIEW_VOLUME(.PARALLEL.,#42218,2.77199092178618,0.,.F.,0.,.F.,.F.,#356); #288=VIEW_VOLUME(.PARALLEL.,#42223,0.424856115107914,0.,.F.,0.,.F.,.F., #357); #289=VIEW_VOLUME(.PARALLEL.,#42228,2.77199092178618,0.,.F.,0.,.F.,.F.,#358); #290=VIEW_VOLUME(.PARALLEL.,#42233,2.77199092178618,0.,.F.,0.,.F.,.F.,#359); #291=VIEW_VOLUME(.PARALLEL.,#42238,2.77199092178618,0.,.F.,0.,.F.,.F.,#360); #292=VIEW_VOLUME(.PARALLEL.,#42243,0.424856115107914,0.,.F.,0.,.F.,.F., #361); #293=VIEW_VOLUME(.PARALLEL.,#42248,2.77199092178618,0.,.F.,0.,.F.,.F.,#362); #294=VIEW_VOLUME(.PARALLEL.,#42253,2.77199092178618,0.,.F.,0.,.F.,.F.,#363); #295=VIEW_VOLUME(.PARALLEL.,#42258,2.77199092178618,0.,.F.,0.,.F.,.F.,#364); #296=VIEW_VOLUME(.PARALLEL.,#42263,0.424856115107914,0.,.F.,0.,.F.,.F., #365); #297=VIEW_VOLUME(.PARALLEL.,#42268,2.77199092178618,0.,.F.,0.,.F.,.F.,#366); #298=VIEW_VOLUME(.PARALLEL.,#42273,2.77199092178618,0.,.F.,0.,.F.,.F.,#367); #299=VIEW_VOLUME(.PARALLEL.,#42278,2.77199092178618,0.,.F.,0.,.F.,.F.,#368); #300=VIEW_VOLUME(.PARALLEL.,#42283,0.424856115107914,0.,.F.,0.,.F.,.F., #369); #301=VIEW_VOLUME(.PARALLEL.,#42288,2.77199092178618,0.,.F.,0.,.F.,.F.,#370); #302=VIEW_VOLUME(.PARALLEL.,#42293,2.77199092178618,0.,.F.,0.,.F.,.F.,#371); #303=VIEW_VOLUME(.PARALLEL.,#42298,2.77199092178618,0.,.F.,0.,.F.,.F.,#372); #304=PLANAR_BOX('view window',0.,0.,#27239); #305=PLANAR_BOX('view window',0.,0.,#27243); #306=PLANAR_BOX('view window',0.,0.,#27247); #307=PLANAR_BOX('view window',0.,0.,#27251); #308=PLANAR_BOX('view window',49.1185060927088,28.5033957743958,#27255); #309=PLANAR_BOX('view window',0.,0.,#27259); #310=PLANAR_BOX('view window',0.,0.,#27263); #311=PLANAR_BOX('view window',0.,0.,#27267); #312=PLANAR_BOX('view window',0.,0.,#27271); #313=PLANAR_BOX('view window',0.,0.,#27275); #314=PLANAR_BOX('view window',0.,0.,#27279); #315=PLANAR_BOX('view window',0.,0.,#27283); #316=PLANAR_BOX('view window',0.,0.,#27287); #317=PLANAR_BOX('view window',0.,0.,#27291); #318=PLANAR_BOX('view window',0.,0.,#27295); #319=PLANAR_BOX('view window',0.,0.,#27299); #320=PLANAR_BOX('view window',0.,0.,#27303); #321=PLANAR_BOX('view window',0.,0.,#27307); #322=PLANAR_BOX('view window',0.,0.,#27311); #323=PLANAR_BOX('view window',0.,0.,#27315); #324=PLANAR_BOX('view window',0.,0.,#27319); #325=PLANAR_BOX('view window',0.,0.,#27323); #326=PLANAR_BOX('view window',0.,0.,#27327); #327=PLANAR_BOX('view window',0.,0.,#27331); #328=PLANAR_BOX('view window',0.,0.,#27335); #329=PLANAR_BOX('view window',0.,0.,#27339); #330=PLANAR_BOX('view window',0.,0.,#27343); #331=PLANAR_BOX('view window',0.,0.,#27347); #332=PLANAR_BOX('view window',0.,0.,#27351); #333=PLANAR_BOX('view window',0.,0.,#27355); #334=PLANAR_BOX('view window',0.,0.,#27359); #335=PLANAR_BOX('view window',0.,0.,#27363); #336=PLANAR_BOX('view window',0.,0.,#27367); #337=PLANAR_BOX('view window',0.,0.,#27371); #338=PLANAR_BOX('view window',0.,0.,#27375); #339=PLANAR_BOX('view window',0.,0.,#27379); #340=PLANAR_BOX('view window',0.,0.,#27383); #341=PLANAR_BOX('view window',0.,0.,#27387); #342=PLANAR_BOX('view window',0.,0.,#27391); #343=PLANAR_BOX('view window',0.,0.,#27395); #344=PLANAR_BOX('view window',0.,0.,#27399); #345=PLANAR_BOX('view window',0.,0.,#27403); #346=PLANAR_BOX('view window',0.,0.,#27407); #347=PLANAR_BOX('view window',0.,0.,#27411); #348=PLANAR_BOX('view window',0.,0.,#27415); #349=PLANAR_BOX('view window',0.,0.,#27419); #350=PLANAR_BOX('view window',0.,0.,#27423); #351=PLANAR_BOX('view window',0.,0.,#27427); #352=PLANAR_BOX('view window',0.,0.,#27431); #353=PLANAR_BOX('view window',0.,0.,#27435); #354=PLANAR_BOX('view window',0.,0.,#27439); #355=PLANAR_BOX('view window',0.,0.,#27443); #356=PLANAR_BOX('view window',0.,0.,#27447); #357=PLANAR_BOX('view window',0.,0.,#27451); #358=PLANAR_BOX('view window',0.,0.,#27455); #359=PLANAR_BOX('view window',0.,0.,#27459); #360=PLANAR_BOX('view window',0.,0.,#27463); #361=PLANAR_BOX('view window',0.,0.,#27467); #362=PLANAR_BOX('view window',0.,0.,#27471); #363=PLANAR_BOX('view window',0.,0.,#27475); #364=PLANAR_BOX('view window',0.,0.,#27479); #365=PLANAR_BOX('view window',0.,0.,#27483); #366=PLANAR_BOX('view window',0.,0.,#27487); #367=PLANAR_BOX('view window',0.,0.,#27491); #368=PLANAR_BOX('view window',0.,0.,#27495); #369=PLANAR_BOX('view window',0.,0.,#27499); #370=PLANAR_BOX('view window',0.,0.,#27503); #371=PLANAR_BOX('view window',0.,0.,#27507); #372=PLANAR_BOX('view window',0.,0.,#27511); #373=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#42597),#42512,#780, (#602)); #374=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#42596),#42506,#780, (#590)); #375=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#42594),#42501,#780, (#585)); #376=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#42595),#42502,#780, (#586)); #377=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#42599),#746,#782,(#84)); #378=MAPPED_ITEM('',#466,#27237); #379=MAPPED_ITEM('',#467,#27514); #380=MAPPED_ITEM('',#468,#27515); #381=MAPPED_ITEM('',#469,#27516); #382=MAPPED_ITEM('',#470,#27517); #383=MAPPED_ITEM('',#471,#27518); #384=MAPPED_ITEM('',#472,#27519); #385=MAPPED_ITEM('',#473,#27520); #386=MAPPED_ITEM('',#474,#27521); #387=MAPPED_ITEM('',#475,#27522); #388=MAPPED_ITEM('',#476,#27523); #389=MAPPED_ITEM('',#477,#27524); #390=MAPPED_ITEM('',#478,#27525); #391=MAPPED_ITEM('',#479,#27526); #392=MAPPED_ITEM('',#480,#27527); #393=MAPPED_ITEM('',#481,#27528); #394=MAPPED_ITEM('',#482,#27529); #395=MAPPED_ITEM('',#483,#27530); #396=MAPPED_ITEM('',#484,#27531); #397=MAPPED_ITEM('',#485,#27532); #398=MAPPED_ITEM('',#486,#27533); #399=MAPPED_ITEM('',#487,#27534); #400=MAPPED_ITEM('',#488,#27535); #401=MAPPED_ITEM('',#489,#27536); #402=MAPPED_ITEM('',#490,#27537); #403=MAPPED_ITEM('',#491,#27538); #404=MAPPED_ITEM('',#492,#27539); #405=MAPPED_ITEM('',#493,#27540); #406=MAPPED_ITEM('',#494,#27541); #407=MAPPED_ITEM('',#495,#27542); #408=MAPPED_ITEM('',#496,#27543); #409=MAPPED_ITEM('',#497,#27544); #410=MAPPED_ITEM('',#498,#27545); #411=MAPPED_ITEM('',#499,#27546); #412=MAPPED_ITEM('',#500,#27547); #413=MAPPED_ITEM('',#501,#27548); #414=MAPPED_ITEM('',#502,#27549); #415=MAPPED_ITEM('',#503,#27550); #416=MAPPED_ITEM('',#504,#27551); #417=MAPPED_ITEM('',#505,#27552); #418=MAPPED_ITEM('',#506,#27553); #419=MAPPED_ITEM('',#507,#27554); #420=MAPPED_ITEM('',#508,#27555); #421=MAPPED_ITEM('',#509,#27556); #422=MAPPED_ITEM('',#510,#27557); #423=MAPPED_ITEM('',#511,#27558); #424=MAPPED_ITEM('',#512,#27559); #425=MAPPED_ITEM('',#513,#27560); #426=MAPPED_ITEM('',#514,#27561); #427=MAPPED_ITEM('',#515,#27562); #428=MAPPED_ITEM('',#516,#27563); #429=MAPPED_ITEM('',#517,#27564); #430=MAPPED_ITEM('',#518,#27565); #431=MAPPED_ITEM('',#519,#27566); #432=MAPPED_ITEM('',#520,#27567); #433=MAPPED_ITEM('',#521,#27568); #434=MAPPED_ITEM('',#522,#27569); #435=MAPPED_ITEM('',#523,#27570); #436=MAPPED_ITEM('',#524,#27571); #437=MAPPED_ITEM('',#525,#27572); #438=MAPPED_ITEM('',#526,#27573); #439=MAPPED_ITEM('',#527,#27574); #440=MAPPED_ITEM('',#528,#27575); #441=MAPPED_ITEM('',#529,#27576); #442=MAPPED_ITEM('',#530,#27577); #443=MAPPED_ITEM('',#531,#27578); #444=MAPPED_ITEM('',#532,#27579); #445=MAPPED_ITEM('',#533,#27580); #446=MAPPED_ITEM('',#534,#27581); #447=MAPPED_ITEM('',#535,#27582); #448=MAPPED_ITEM('',#536,#27583); #449=MAPPED_ITEM('',#537,#27584); #450=MAPPED_ITEM('',#538,#27585); #451=MAPPED_ITEM('',#539,#27586); #452=MAPPED_ITEM('',#540,#27587); #453=MAPPED_ITEM('',#541,#27588); #454=MAPPED_ITEM('',#542,#27589); #455=MAPPED_ITEM('',#543,#27590); #456=MAPPED_ITEM('',#544,#27591); #457=MAPPED_ITEM('',#545,#27592); #458=MAPPED_ITEM('',#546,#27593); #459=MAPPED_ITEM('',#547,#27594); #460=MAPPED_ITEM('',#548,#27595); #461=MAPPED_ITEM('',#549,#27596); #462=MAPPED_ITEM('',#550,#27597); #463=MAPPED_ITEM('',#551,#27598); #464=MAPPED_ITEM('',#552,#27599); #465=MAPPED_ITEM('',#553,#27600); #466=REPRESENTATION_MAP(#27237,#42500); #467=REPRESENTATION_MAP(#27514,#746); #468=REPRESENTATION_MAP(#27515,#42501); #469=REPRESENTATION_MAP(#27516,#746); #470=REPRESENTATION_MAP(#27517,#746); #471=REPRESENTATION_MAP(#27518,#746); #472=REPRESENTATION_MAP(#27519,#746); #473=REPRESENTATION_MAP(#27520,#746); #474=REPRESENTATION_MAP(#27521,#747); #475=REPRESENTATION_MAP(#27522,#42502); #476=REPRESENTATION_MAP(#27523,#747); #477=REPRESENTATION_MAP(#27524,#747); #478=REPRESENTATION_MAP(#27525,#747); #479=REPRESENTATION_MAP(#27526,#748); #480=REPRESENTATION_MAP(#27527,#42503); #481=REPRESENTATION_MAP(#27528,#748); #482=REPRESENTATION_MAP(#27529,#748); #483=REPRESENTATION_MAP(#27530,#748); #484=REPRESENTATION_MAP(#27531,#749); #485=REPRESENTATION_MAP(#27532,#42504); #486=REPRESENTATION_MAP(#27533,#749); #487=REPRESENTATION_MAP(#27534,#749); #488=REPRESENTATION_MAP(#27535,#749); #489=REPRESENTATION_MAP(#27536,#750); #490=REPRESENTATION_MAP(#27537,#42505); #491=REPRESENTATION_MAP(#27538,#750); #492=REPRESENTATION_MAP(#27539,#750); #493=REPRESENTATION_MAP(#27540,#750); #494=REPRESENTATION_MAP(#27541,#751); #495=REPRESENTATION_MAP(#27542,#42506); #496=REPRESENTATION_MAP(#27543,#751); #497=REPRESENTATION_MAP(#27544,#751); #498=REPRESENTATION_MAP(#27545,#751); #499=REPRESENTATION_MAP(#27546,#752); #500=REPRESENTATION_MAP(#27547,#42507); #501=REPRESENTATION_MAP(#27548,#752); #502=REPRESENTATION_MAP(#27549,#752); #503=REPRESENTATION_MAP(#27550,#752); #504=REPRESENTATION_MAP(#27551,#753); #505=REPRESENTATION_MAP(#27552,#42508); #506=REPRESENTATION_MAP(#27553,#753); #507=REPRESENTATION_MAP(#27554,#753); #508=REPRESENTATION_MAP(#27555,#753); #509=REPRESENTATION_MAP(#27556,#754); #510=REPRESENTATION_MAP(#27557,#42509); #511=REPRESENTATION_MAP(#27558,#754); #512=REPRESENTATION_MAP(#27559,#754); #513=REPRESENTATION_MAP(#27560,#754); #514=REPRESENTATION_MAP(#27561,#755); #515=REPRESENTATION_MAP(#27562,#42510); #516=REPRESENTATION_MAP(#27563,#755); #517=REPRESENTATION_MAP(#27564,#755); #518=REPRESENTATION_MAP(#27565,#755); #519=REPRESENTATION_MAP(#27566,#756); #520=REPRESENTATION_MAP(#27567,#42511); #521=REPRESENTATION_MAP(#27568,#756); #522=REPRESENTATION_MAP(#27569,#756); #523=REPRESENTATION_MAP(#27570,#756); #524=REPRESENTATION_MAP(#27571,#757); #525=REPRESENTATION_MAP(#27572,#42512); #526=REPRESENTATION_MAP(#27573,#757); #527=REPRESENTATION_MAP(#27574,#757); #528=REPRESENTATION_MAP(#27575,#757); #529=REPRESENTATION_MAP(#27576,#758); #530=REPRESENTATION_MAP(#27577,#42513); #531=REPRESENTATION_MAP(#27578,#758); #532=REPRESENTATION_MAP(#27579,#758); #533=REPRESENTATION_MAP(#27580,#758); #534=REPRESENTATION_MAP(#27581,#759); #535=REPRESENTATION_MAP(#27582,#42514); #536=REPRESENTATION_MAP(#27583,#759); #537=REPRESENTATION_MAP(#27584,#759); #538=REPRESENTATION_MAP(#27585,#759); #539=REPRESENTATION_MAP(#27586,#760); #540=REPRESENTATION_MAP(#27587,#42515); #541=REPRESENTATION_MAP(#27588,#760); #542=REPRESENTATION_MAP(#27589,#760); #543=REPRESENTATION_MAP(#27590,#760); #544=REPRESENTATION_MAP(#27591,#761); #545=REPRESENTATION_MAP(#27592,#42516); #546=REPRESENTATION_MAP(#27593,#761); #547=REPRESENTATION_MAP(#27594,#761); #548=REPRESENTATION_MAP(#27595,#761); #549=REPRESENTATION_MAP(#27596,#762); #550=REPRESENTATION_MAP(#27597,#42517); #551=REPRESENTATION_MAP(#27598,#762); #552=REPRESENTATION_MAP(#27599,#762); #553=REPRESENTATION_MAP(#27600,#762); #554=ITEM_DEFINED_TRANSFORMATION($,$,#23747,#27206); #555=ITEM_DEFINED_TRANSFORMATION($,$,#24489,#27207); #556=ITEM_DEFINED_TRANSFORMATION($,$,#24586,#27208); #557=ITEM_DEFINED_TRANSFORMATION($,$,#24672,#27209); #558=ITEM_DEFINED_TRANSFORMATION($,$,#24758,#27210); #559=ITEM_DEFINED_TRANSFORMATION($,$,#25107,#27211); #560=ITEM_DEFINED_TRANSFORMATION($,$,#25186,#27212); #561=ITEM_DEFINED_TRANSFORMATION($,$,#25682,#27213); #562=ITEM_DEFINED_TRANSFORMATION($,$,#25933,#27214); #563=ITEM_DEFINED_TRANSFORMATION($,$,#26076,#27215); #564=ITEM_DEFINED_TRANSFORMATION($,$,#26076,#27216); #565=ITEM_DEFINED_TRANSFORMATION($,$,#26076,#27217); #566=ITEM_DEFINED_TRANSFORMATION($,$,#26076,#27218); #567=ITEM_DEFINED_TRANSFORMATION($,$,#26179,#27219); #568=ITEM_DEFINED_TRANSFORMATION($,$,#26179,#27220); #569=ITEM_DEFINED_TRANSFORMATION($,$,#26179,#27221); #570=ITEM_DEFINED_TRANSFORMATION($,$,#26179,#27222); #571=ITEM_DEFINED_TRANSFORMATION($,$,#26336,#27223); #572=ITEM_DEFINED_TRANSFORMATION($,$,#26418,#27224); #573=ITEM_DEFINED_TRANSFORMATION($,$,#26418,#27225); #574=ITEM_DEFINED_TRANSFORMATION($,$,#26577,#27226); #575=ITEM_DEFINED_TRANSFORMATION($,$,#26729,#27227); #576=ITEM_DEFINED_TRANSFORMATION($,$,#26729,#27228); #577=ITEM_DEFINED_TRANSFORMATION($,$,#26729,#27229); #578=ITEM_DEFINED_TRANSFORMATION($,$,#26729,#27230); #579=ITEM_DEFINED_TRANSFORMATION($,$,#26729,#27231); #580=ITEM_DEFINED_TRANSFORMATION($,$,#26729,#27232); #581=ITEM_DEFINED_TRANSFORMATION($,$,#26788,#27233); #582=ITEM_DEFINED_TRANSFORMATION($,$,#26788,#27234); #583=ITEM_DEFINED_TRANSFORMATION($,$,#26788,#27235); #584=ITEM_DEFINED_TRANSFORMATION($,$,#26865,#27236); #585=( REPRESENTATION_RELATIONSHIP($,$,#42501,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#554) SHAPE_REPRESENTATION_RELATIONSHIP() ); #586=( REPRESENTATION_RELATIONSHIP($,$,#42502,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#555) SHAPE_REPRESENTATION_RELATIONSHIP() ); #587=( REPRESENTATION_RELATIONSHIP($,$,#42503,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#556) SHAPE_REPRESENTATION_RELATIONSHIP() ); #588=( REPRESENTATION_RELATIONSHIP($,$,#42504,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#557) SHAPE_REPRESENTATION_RELATIONSHIP() ); #589=( REPRESENTATION_RELATIONSHIP($,$,#42505,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#558) SHAPE_REPRESENTATION_RELATIONSHIP() ); #590=( REPRESENTATION_RELATIONSHIP($,$,#42506,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#559) SHAPE_REPRESENTATION_RELATIONSHIP() ); #591=( REPRESENTATION_RELATIONSHIP($,$,#42507,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#560) SHAPE_REPRESENTATION_RELATIONSHIP() ); #592=( REPRESENTATION_RELATIONSHIP($,$,#42508,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#561) SHAPE_REPRESENTATION_RELATIONSHIP() ); #593=( REPRESENTATION_RELATIONSHIP($,$,#42509,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#562) SHAPE_REPRESENTATION_RELATIONSHIP() ); #594=( REPRESENTATION_RELATIONSHIP($,$,#42510,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#563) SHAPE_REPRESENTATION_RELATIONSHIP() ); #595=( REPRESENTATION_RELATIONSHIP($,$,#42510,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#564) SHAPE_REPRESENTATION_RELATIONSHIP() ); #596=( REPRESENTATION_RELATIONSHIP($,$,#42510,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#565) SHAPE_REPRESENTATION_RELATIONSHIP() ); #597=( REPRESENTATION_RELATIONSHIP($,$,#42510,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#566) SHAPE_REPRESENTATION_RELATIONSHIP() ); #598=( REPRESENTATION_RELATIONSHIP($,$,#42511,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#567) SHAPE_REPRESENTATION_RELATIONSHIP() ); #599=( REPRESENTATION_RELATIONSHIP($,$,#42511,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#568) SHAPE_REPRESENTATION_RELATIONSHIP() ); #600=( REPRESENTATION_RELATIONSHIP($,$,#42511,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#569) SHAPE_REPRESENTATION_RELATIONSHIP() ); #601=( REPRESENTATION_RELATIONSHIP($,$,#42511,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#570) SHAPE_REPRESENTATION_RELATIONSHIP() ); #602=( REPRESENTATION_RELATIONSHIP($,$,#42512,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#571) SHAPE_REPRESENTATION_RELATIONSHIP() ); #603=( REPRESENTATION_RELATIONSHIP($,$,#42513,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#572) SHAPE_REPRESENTATION_RELATIONSHIP() ); #604=( REPRESENTATION_RELATIONSHIP($,$,#42513,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#573) SHAPE_REPRESENTATION_RELATIONSHIP() ); #605=( REPRESENTATION_RELATIONSHIP($,$,#42514,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#574) SHAPE_REPRESENTATION_RELATIONSHIP() ); #606=( REPRESENTATION_RELATIONSHIP($,$,#42515,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#575) SHAPE_REPRESENTATION_RELATIONSHIP() ); #607=( REPRESENTATION_RELATIONSHIP($,$,#42515,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#576) SHAPE_REPRESENTATION_RELATIONSHIP() ); #608=( REPRESENTATION_RELATIONSHIP($,$,#42515,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#577) SHAPE_REPRESENTATION_RELATIONSHIP() ); #609=( REPRESENTATION_RELATIONSHIP($,$,#42515,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#578) SHAPE_REPRESENTATION_RELATIONSHIP() ); #610=( REPRESENTATION_RELATIONSHIP($,$,#42515,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#579) SHAPE_REPRESENTATION_RELATIONSHIP() ); #611=( REPRESENTATION_RELATIONSHIP($,$,#42515,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#580) SHAPE_REPRESENTATION_RELATIONSHIP() ); #612=( REPRESENTATION_RELATIONSHIP($,$,#42516,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#581) SHAPE_REPRESENTATION_RELATIONSHIP() ); #613=( REPRESENTATION_RELATIONSHIP($,$,#42516,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#582) SHAPE_REPRESENTATION_RELATIONSHIP() ); #614=( REPRESENTATION_RELATIONSHIP($,$,#42516,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#583) SHAPE_REPRESENTATION_RELATIONSHIP() ); #615=( REPRESENTATION_RELATIONSHIP($,$,#42517,#42500) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#584) SHAPE_REPRESENTATION_RELATIONSHIP() ); #616=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#585,#42469); #617=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#586,#42470); #618=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#587,#42471); #619=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#588,#42472); #620=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#589,#42473); #621=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#590,#42474); #622=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#591,#42475); #623=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#592,#42476); #624=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#593,#42477); #625=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#594,#42478); #626=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#595,#42479); #627=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#596,#42480); #628=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#597,#42481); #629=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#598,#42482); #630=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#599,#42483); #631=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#600,#42484); #632=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#601,#42485); #633=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#602,#42486); #634=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#603,#42487); #635=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#604,#42488); #636=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#605,#42489); #637=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#606,#42490); #638=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#607,#42491); #639=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#608,#42492); #640=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#609,#42493); #641=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#610,#42494); #642=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#611,#42495); #643=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#612,#42496); #644=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#613,#42497); #645=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#614,#42498); #646=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#615,#42499); #647=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:1','SP-10-01-09:1', 'SP-10-01-09:1',#42519,#42520,'SP-10-01-09:1'); #648=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:1','SP-10-01-01:1', 'SP-10-01-01:1',#42519,#42521,'SP-10-01-01:1'); #649=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:1','SP-10-01-02:1', 'SP-10-01-02:1',#42519,#42522,'SP-10-01-02:1'); #650=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:1','SP-10-01-03:1', 'SP-10-01-03:1',#42519,#42523,'SP-10-01-03:1'); #651=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-05:1','SP-10-01-05:1', 'SP-10-01-05:1',#42519,#42524,'SP-10-01-05:1'); #652=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-04:1','SP-10-01-04:1', 'SP-10-01-04:1',#42519,#42525,'SP-10-01-04:1'); #653=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-07:1','SP-10-01-07:1', 'SP-10-01-07:1',#42519,#42526,'SP-10-01-07:1'); #654=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-06:1','SP-10-01-06:1', 'SP-10-01-06:1',#42519,#42527,'SP-10-01-06:1'); #655=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-10:1','SP-10-01-10:1', 'SP-10-01-10:1',#42519,#42528,'SP-10-01-10:1'); #656=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:1','SP-10-01-12:1', 'SP-10-01-12:1',#42519,#42529,'SP-10-01-12:1'); #657=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:2','SP-10-01-12:2', 'SP-10-01-12:2',#42519,#42529,'SP-10-01-12:2'); #658=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:3','SP-10-01-12:3', 'SP-10-01-12:3',#42519,#42529,'SP-10-01-12:3'); #659=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:4','SP-10-01-12:4', 'SP-10-01-12:4',#42519,#42529,'SP-10-01-12:4'); #660=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:1','SP-10-01-13:1', 'SP-10-01-13:1',#42519,#42530,'SP-10-01-13:1'); #661=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:2','SP-10-01-13:2', 'SP-10-01-13:2',#42519,#42530,'SP-10-01-13:2'); #662=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:3','SP-10-01-13:3', 'SP-10-01-13:3',#42519,#42530,'SP-10-01-13:3'); #663=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:4','SP-10-01-13:4', 'SP-10-01-13:4',#42519,#42530,'SP-10-01-13:4'); #664=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-15:1','SP-10-01-15:1', 'SP-10-01-15:1',#42519,#42531,'SP-10-01-15:1'); #665=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:1','SP-10-01-08:1', 'SP-10-01-08:1',#42519,#42532,'SP-10-01-08:1'); #666=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:2','SP-10-01-08:2', 'SP-10-01-08:2',#42519,#42532,'SP-10-01-08:2'); #667=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:1','SP-10-01-14:1', 'SP-10-01-14:1',#42519,#42533,'SP-10-01-14:1'); #668=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:1','SP-10-01-18:1', 'SP-10-01-18:1',#42519,#42534,'SP-10-01-18:1'); #669=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:2','SP-10-01-18:2', 'SP-10-01-18:2',#42519,#42534,'SP-10-01-18:2'); #670=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:3','SP-10-01-18:3', 'SP-10-01-18:3',#42519,#42534,'SP-10-01-18:3'); #671=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:4','SP-10-01-18:4', 'SP-10-01-18:4',#42519,#42534,'SP-10-01-18:4'); #672=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:5','SP-10-01-18:5', 'SP-10-01-18:5',#42519,#42534,'SP-10-01-18:5'); #673=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:6','SP-10-01-18:6', 'SP-10-01-18:6',#42519,#42534,'SP-10-01-18:6'); #674=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:1','SP-10-01-19:1', 'SP-10-01-19:1',#42519,#42535,'SP-10-01-19:1'); #675=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:2','SP-10-01-19:2', 'SP-10-01-19:2',#42519,#42535,'SP-10-01-19:2'); #676=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:3','SP-10-01-19:3', 'SP-10-01-19:3',#42519,#42535,'SP-10-01-19:3'); #677=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:1','SP-10-02-01:1', 'SP-10-02-01:1',#42519,#42536,'SP-10-02-01:1'); #678=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#41194,#41195,#41196),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0242237400323051,0.308272806001549), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03620689146738,1.2318081131817,1.03620689146738)) REPRESENTATION_ITEM('') ); #679=B_SPLINE_CURVE_WITH_KNOTS('',3,(#37435,#37436,#37437,#37438,#37439, #37440,#37441,#37442),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(2.07090554302662, 2.10056124265951,2.19084061591685,2.27646260068711),.UNSPECIFIED.); #680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#37443,#37444,#37445,#37446,#37447, #37448,#37449,#37450,#37451,#37452,#37453,#37454,#37455,#37456,#37457,#37458, #37459,#37460,#37461,#37462,#37463,#37464,#37465,#37466,#37467,#37468,#37469, #37470,#37471),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,3,2,4),(-0.4630317876014, -0.404127783435722,-0.328518360762979,-0.290713649426608,-0.252908938090237, -0.219639476192331,-0.186370014294425,-0.155021843414883,-0.123673672535342, -0.0859441773032302,-0.0482146820711182,0.,0.0763231297311462,0.0979725279073298), .UNSPECIFIED.); #681=B_SPLINE_CURVE_WITH_KNOTS('',3,(#38008,#38009,#38010,#38011,#38012, #38013,#38014,#38015,#38016,#38017,#38018,#38019,#38020,#38021,#38022,#38023, #38024,#38025,#38026,#38027,#38028,#38029,#38030,#38031,#38032,#38033,#38034, #38035,#38036,#38037,#38038,#38039,#38040,#38041,#38042,#38043,#38044,#38045), .UNSPECIFIED.,.T.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(0.,0.0582720442853716, 0.116544088570743,0.140231362459871,0.163918636348999,0.18552152782921, 0.20712441930942,0.230472473022747,0.253820526736074,0.300516634162727, 0.351970741201147,0.377697794720356,0.403424848239566,0.445448902823752, 0.487472957407937,0.515352606818387,0.543232256228838,0.565104913829692, 0.586977571430547),.UNSPECIFIED.); #682=B_SPLINE_CURVE_WITH_KNOTS('',3,(#38059,#38060,#38061,#38062,#38063, #38064,#38065,#38066,#38067,#38068,#38069,#38070,#38071,#38072,#38073,#38074, #38075,#38076,#38077,#38078,#38079,#38080,#38081,#38082,#38083,#38084,#38085, #38086,#38087,#38088,#38089,#38090,#38091,#38092,#38093,#38094,#38095,#38096), .UNSPECIFIED.,.T.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(0.,0.0664270602085514, 0.110832491516383,0.155237922824214,0.177754782577081,0.200271642329948, 0.223647439567965,0.247023236805983,0.294708264676298,0.342393292546614, 0.39113674225042,0.439880191954225,0.484613658929748,0.506980392417509, 0.52934712590527,0.56705459596909,0.60476206603291,0.638197161658909,0.671632257284908), .UNSPECIFIED.); #683=B_SPLINE_CURVE_WITH_KNOTS('',3,(#38307,#38308,#38309,#38310,#38311, #38312,#38313,#38314,#38315,#38316,#38317,#38318,#38319,#38320), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(1.16680380659992,1.31135289910275, 1.46967302008756,1.54073022322348,1.6117874263594,1.77696400851851,1.8530549054458), .UNSPECIFIED.); #684=B_SPLINE_CURVE_WITH_KNOTS('',3,(#38321,#38322,#38323,#38324,#38325, #38326,#38327,#38328,#38329,#38330,#38331,#38332,#38333,#38334,#38335,#38336, #38337,#38338,#38339,#38340,#38341,#38342,#38343,#38344,#38345,#38346,#38347, #38348),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,4),(1.05782364916435, 1.14085138864556,1.23127516735319,1.31521965250903,1.39916413766487,1.51084110359888, 1.63362657022657,1.75641203685425,1.87575767272507,1.99510330859589,2.10514916809175, 2.18954267655008,2.2739361850084,2.34349702940325),.UNSPECIFIED.); #685=B_SPLINE_CURVE_WITH_KNOTS('',3,(#38379,#38380,#38381,#38382,#38383, #38384,#38385,#38386),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.97333423762872, 2.06201792176739,2.15191355107703,2.182023569003),.UNSPECIFIED.); #686=B_SPLINE_CURVE_WITH_KNOTS('',3,(#38389,#38390,#38391,#38392,#38393, #38394,#38395,#38396,#38397,#38398,#38399,#38400,#38401,#38402,#38403,#38404, #38405,#38406,#38407,#38408,#38409,#38410,#38411,#38412,#38413,#38414,#38415, #38416,#38417),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,3,4),(-0.505159889365343, -0.48698974340047,-0.408127881037484,-0.357801966789843,-0.318892729588378, -0.279983492386913,-0.248546704999989,-0.217109917613065,-0.183831593232934, -0.150553268852803,-0.112914951639602,-0.0752766344264016,0.,0.0589924235527475), .UNSPECIFIED.); #687=B_SPLINE_CURVE_WITH_KNOTS('',3,(#39309,#39310,#39311,#39312,#39313, #39314,#39315,#39316,#39317,#39318,#39319,#39320,#39321,#39322,#39323), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,3,2,4),(-0.383381532784666,-0.307290526916946, -0.142113064167825,-0.071056532083912,0.,0.158320985573796,0.302869945967054), .UNSPECIFIED.); #688=B_SPLINE_CURVE_WITH_KNOTS('',3,(#39324,#39325,#39326,#39327,#39328, #39329,#39330,#39331,#39332,#39333,#39334,#39335,#39336,#39337,#39338,#39339, #39340,#39341,#39342,#39343,#39344,#39345,#39346,#39347,#39348,#39349,#39350, #39351,#39352),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,3,2,2,2,2,2,2,4),(-0.587085710529863, -0.517522799816233,-0.433129662172169,-0.348736524528105,-0.238691158757452, -0.119345579378726,0.,0.122785347810656,0.245570695621312,0.357247067393547, 0.441191133738381,0.525135200083216,0.615558377473853,0.698588572744429), .UNSPECIFIED.); #689=ELLIPSE('',#24567,87.9583966702261,86.0550815662621); #690=ELLIPSE('',#24570,416.671611637902,86.0550815662621); #691=ELLIPSE('',#24572,87.9583966702266,86.0550815662621); #692=ELLIPSE('',#24573,416.671611637829,86.0550815662621); #693=ELLIPSE('',#24574,416.671611637829,86.0550815662621); #694=ELLIPSE('',#24575,87.9583966702259,86.0550815662621); #695=ELLIPSE('',#24576,416.671611637902,86.0550815662621); #696=ELLIPSE('',#24577,87.9583966702261,86.0550815662621); #697=ELLIPSE('',#24659,87.9568672088344,86.0550815662622); #698=ELLIPSE('',#24661,416.834299053755,86.0550815662622); #699=ELLIPSE('',#24663,90.4836674675403,86.0550815662622); #700=ELLIPSE('',#24665,416.83429905382,86.0550815662622); #701=ELLIPSE('',#24668,416.834299053754,86.0550815662622); #702=ELLIPSE('',#24669,87.9568672088344,86.0550815662622); #703=ELLIPSE('',#24745,416.834298636548,86.0550815662623); #704=ELLIPSE('',#24748,90.4836674737951,86.0550815662623); #705=ELLIPSE('',#24750,87.9568672127831,86.0550815662622); #706=ELLIPSE('',#24751,416.834298636545,86.0550815662622); #707=ELLIPSE('',#24752,87.9568672127831,86.0550815662622); #708=ELLIPSE('',#24753,416.834298636545,86.0550815662622); #709=ELLIPSE('',#24828,0.353553390593268,0.25); #710=ELLIPSE('',#25085,416.834298845153,86.0550815662622); #711=ELLIPSE('',#25087,280.474653989311,86.0550815662622); #712=ELLIPSE('',#25089,90.4836674706676,86.0550815662622); #713=ELLIPSE('',#25090,90.4836674706676,86.0550815662622); #714=ELLIPSE('',#25179,94.0757309544211,93.5603742569483); #715=ELLIPSE('',#25180,94.0757309544244,93.5603742569483); #716=ELLIPSE('',#25639,94.0757309544236,93.5603742569475); #717=ELLIPSE('',#25652,90.4836674706669,86.0550815662616); #718=ELLIPSE('',#25671,416.834247866506,86.0550815662616); #719=ELLIPSE('',#25672,453.188440748417,93.5603742569475); #720=ELLIPSE('',#25674,94.0757309544236,93.5603742569475); #721=ELLIPSE('',#25676,90.4836674706669,86.0550815662616); #722=ELLIPSE('',#25685,0.353553390593276,0.25); #723=ELLIPSE('',#25917,94.0757309544211,93.5603742569483); #724=ELLIPSE('',#25921,94.0757309544211,93.5603742569482); #725=ELLIPSE('',#26772,0.153500526037709,0.1535); #726=ELLIPSE('',#26773,0.153500526037709,0.1535); #727=ELLIPSE('',#26774,0.153500526037709,0.1535); #728=ELLIPSE('',#26783,0.244837297455776,0.1535); #729=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42501,#746); #730=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42502,#747); #731=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42503,#748); #732=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42504,#749); #733=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42505,#750); #734=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42506,#751); #735=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42507,#752); #736=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42508,#753); #737=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42509,#754); #738=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42510,#755); #739=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42511,#756); #740=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42512,#757); #741=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42513,#758); #742=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42514,#759); #743=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42515,#760); #744=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42516,#761); #745=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42517,#762); #746=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#799),#42408); #747=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#800),#42409); #748=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#801),#42410); #749=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#802),#42411); #750=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#803),#42412); #751=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#804),#42413); #752=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#805),#42414); #753=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#806),#42415); #754=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#807),#42416); #755=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#808),#42417); #756=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#809),#42418); #757=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#810),#42419); #758=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#811),#42420); #759=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#812),#42421); #760=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#813),#42422); #761=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#814),#42423); #762=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#815),#42424); #763=STYLED_ITEM('',(#42598),#799); #764=STYLED_ITEM('',(#42600),#800); #765=STYLED_ITEM('',(#42600),#801); #766=STYLED_ITEM('',(#42600),#802); #767=STYLED_ITEM('',(#42601),#803); #768=STYLED_ITEM('',(#42602),#804); #769=STYLED_ITEM('',(#42601),#805); #770=STYLED_ITEM('',(#42601),#806); #771=STYLED_ITEM('',(#42603),#807); #772=STYLED_ITEM('',(#42599),#808); #773=STYLED_ITEM('',(#42604),#809); #774=STYLED_ITEM('',(#42605),#810); #775=STYLED_ITEM('',(#42606),#811); #776=STYLED_ITEM('',(#42605),#812); #777=STYLED_ITEM('',(#42607),#813); #778=STYLED_ITEM('',(#42608),#814); #779=STYLED_ITEM('',(#42608),#815); #780=STYLED_ITEM('',(#42609),#378); #781=STYLED_ITEM('',(#42610),#380); #782=STYLED_ITEM('',(#42611),#385); #783=STYLED_ITEM('',(#42612),#387); #784=STYLED_ITEM('',(#42613),#392); #785=STYLED_ITEM('',(#42614),#397); #786=STYLED_ITEM('',(#42615),#402); #787=STYLED_ITEM('',(#42616),#407); #788=STYLED_ITEM('',(#42617),#412); #789=STYLED_ITEM('',(#42618),#417); #790=STYLED_ITEM('',(#42619),#422); #791=STYLED_ITEM('',(#42620),#427); #792=STYLED_ITEM('',(#42621),#432); #793=STYLED_ITEM('',(#42622),#437); #794=STYLED_ITEM('',(#42623),#442); #795=STYLED_ITEM('',(#42624),#447); #796=STYLED_ITEM('',(#42625),#452); #797=STYLED_ITEM('',(#42626),#457); #798=STYLED_ITEM('',(#42627),#462); #799=MANIFOLD_SOLID_BREP('Solid1',#23433); #800=MANIFOLD_SOLID_BREP('Solid1',#23434); #801=MANIFOLD_SOLID_BREP('Solid1',#23435); #802=MANIFOLD_SOLID_BREP('Solid1',#23436); #803=MANIFOLD_SOLID_BREP('Solid1',#23437); #804=MANIFOLD_SOLID_BREP('Solid1',#23438); #805=MANIFOLD_SOLID_BREP('Solid1',#23439); #806=MANIFOLD_SOLID_BREP('Solid1',#23440); #807=MANIFOLD_SOLID_BREP('Solid1',#23441); #808=MANIFOLD_SOLID_BREP('Solid2',#23442); #809=MANIFOLD_SOLID_BREP('Solid1',#23443); #810=MANIFOLD_SOLID_BREP('Solid2',#23444); #811=MANIFOLD_SOLID_BREP('Solid1',#23445); #812=MANIFOLD_SOLID_BREP('Solid1',#23446); #813=MANIFOLD_SOLID_BREP('Solid1',#23447); #814=MANIFOLD_SOLID_BREP('Solid1',#23448); #815=MANIFOLD_SOLID_BREP('Solid1',#23449); #816=LINE('',#36184,#1276); #817=LINE('',#36185,#1277); #818=LINE('',#36208,#1278); #819=LINE('',#36209,#1279); #820=LINE('',#36221,#1280); #821=LINE('',#36224,#1281); #822=LINE('',#36227,#1282); #823=LINE('',#36231,#1283); #824=LINE('',#36232,#1284); #825=LINE('',#36235,#1285); #826=LINE('',#36242,#1286); #827=LINE('',#36250,#1287); #828=LINE('',#36257,#1288); #829=LINE('',#36260,#1289); #830=LINE('',#36261,#1290); #831=LINE('',#36265,#1291); #832=LINE('',#36548,#1292); #833=LINE('',#36551,#1293); #834=LINE('',#36557,#1294); #835=LINE('',#36563,#1295); #836=LINE('',#36566,#1296); #837=LINE('',#36568,#1297); #838=LINE('',#36569,#1298); #839=LINE('',#36572,#1299); #840=LINE('',#36575,#1300); #841=LINE('',#36578,#1301); #842=LINE('',#36579,#1302); #843=LINE('',#36583,#1303); #844=LINE('',#36587,#1304); #845=LINE('',#36593,#1305); #846=LINE('',#36597,#1306); #847=LINE('',#36600,#1307); #848=LINE('',#36601,#1308); #849=LINE('',#36605,#1309); #850=LINE('',#36610,#1310); #851=LINE('',#36612,#1311); #852=LINE('',#36613,#1312); #853=LINE('',#36621,#1313); #854=LINE('',#36837,#1314); #855=LINE('',#36839,#1315); #856=LINE('',#36841,#1316); #857=LINE('',#36842,#1317); #858=LINE('',#36845,#1318); #859=LINE('',#36847,#1319); #860=LINE('',#36849,#1320); #861=LINE('',#36852,#1321); #862=LINE('',#36855,#1322); #863=LINE('',#36856,#1323); #864=LINE('',#36859,#1324); #865=LINE('',#36860,#1325); #866=LINE('',#36863,#1326); #867=LINE('',#36864,#1327); #868=LINE('',#36867,#1328); #869=LINE('',#36870,#1329); #870=LINE('',#36998,#1330); #871=LINE('',#37000,#1331); #872=LINE('',#37003,#1332); #873=LINE('',#37006,#1333); #874=LINE('',#37008,#1334); #875=LINE('',#37009,#1335); #876=LINE('',#37014,#1336); #877=LINE('',#37015,#1337); #878=LINE('',#37022,#1338); #879=LINE('',#37032,#1339); #880=LINE('',#37034,#1340); #881=LINE('',#37035,#1341); #882=LINE('',#37037,#1342); #883=LINE('',#37040,#1343); #884=LINE('',#37042,#1344); #885=LINE('',#37044,#1345); #886=LINE('',#37046,#1346); #887=LINE('',#37047,#1347); #888=LINE('',#37049,#1348); #889=LINE('',#37051,#1349); #890=LINE('',#37053,#1350); #891=LINE('',#37055,#1351); #892=LINE('',#37178,#1352); #893=LINE('',#37180,#1353); #894=LINE('',#37181,#1354); #895=LINE('',#37186,#1355); #896=LINE('',#37187,#1356); #897=LINE('',#37193,#1357); #898=LINE('',#37195,#1358); #899=LINE('',#37196,#1359); #900=LINE('',#37199,#1360); #901=LINE('',#37202,#1361); #902=LINE('',#37203,#1362); #903=LINE('',#37209,#1363); #904=LINE('',#37330,#1364); #905=LINE('',#37332,#1365); #906=LINE('',#37334,#1366); #907=LINE('',#37338,#1367); #908=LINE('',#37340,#1368); #909=LINE('',#37342,#1369); #910=LINE('',#37344,#1370); #911=LINE('',#37345,#1371); #912=LINE('',#37349,#1372); #913=LINE('',#37359,#1373); #914=LINE('',#37360,#1374); #915=LINE('',#37363,#1375); #916=LINE('',#37508,#1376); #917=LINE('',#37512,#1377); #918=LINE('',#37536,#1378); #919=LINE('',#37537,#1379); #920=LINE('',#37548,#1380); #921=LINE('',#37549,#1381); #922=LINE('',#37560,#1382); #923=LINE('',#37561,#1383); #924=LINE('',#37572,#1384); #925=LINE('',#37573,#1385); #926=LINE('',#37581,#1386); #927=LINE('',#37582,#1387); #928=LINE('',#37585,#1388); #929=LINE('',#37588,#1389); #930=LINE('',#37591,#1390); #931=LINE('',#37592,#1391); #932=LINE('',#37595,#1392); #933=LINE('',#37599,#1393); #934=LINE('',#37600,#1394); #935=LINE('',#37603,#1395); #936=LINE('',#37607,#1396); #937=LINE('',#37608,#1397); #938=LINE('',#37611,#1398); #939=LINE('',#37612,#1399); #940=LINE('',#37924,#1400); #941=LINE('',#37926,#1401); #942=LINE('',#37928,#1402); #943=LINE('',#37929,#1403); #944=LINE('',#37932,#1404); #945=LINE('',#37934,#1405); #946=LINE('',#37936,#1406); #947=LINE('',#37937,#1407); #948=LINE('',#37940,#1408); #949=LINE('',#37943,#1409); #950=LINE('',#37947,#1410); #951=LINE('',#37952,#1411); #952=LINE('',#37956,#1412); #953=LINE('',#37958,#1413); #954=LINE('',#37959,#1414); #955=LINE('',#37962,#1415); #956=LINE('',#37964,#1416); #957=LINE('',#37965,#1417); #958=LINE('',#37968,#1418); #959=LINE('',#37970,#1419); #960=LINE('',#37971,#1420); #961=LINE('',#37973,#1421); #962=LINE('',#37975,#1422); #963=LINE('',#37977,#1423); #964=LINE('',#37985,#1424); #965=LINE('',#37989,#1425); #966=LINE('',#37990,#1426); #967=LINE('',#37992,#1427); #968=LINE('',#37994,#1428); #969=LINE('',#37996,#1429); #970=LINE('',#38197,#1430); #971=LINE('',#38200,#1431); #972=LINE('',#38203,#1432); #973=LINE('',#38205,#1433); #974=LINE('',#38206,#1434); #975=LINE('',#38209,#1435); #976=LINE('',#38210,#1436); #977=LINE('',#38213,#1437); #978=LINE('',#38214,#1438); #979=LINE('',#38216,#1439); #980=LINE('',#38222,#1440); #981=LINE('',#38224,#1441); #982=LINE('',#38226,#1442); #983=LINE('',#38227,#1443); #984=LINE('',#38230,#1444); #985=LINE('',#38232,#1445); #986=LINE('',#38234,#1446); #987=LINE('',#38235,#1447); #988=LINE('',#38992,#1448); #989=LINE('',#38993,#1449); #990=LINE('',#38994,#1450); #991=LINE('',#38997,#1451); #992=LINE('',#38999,#1452); #993=LINE('',#39001,#1453); #994=LINE('',#39004,#1454); #995=LINE('',#39009,#1455); #996=LINE('',#39017,#1456); #997=LINE('',#39021,#1457); #998=LINE('',#39025,#1458); #999=LINE('',#39028,#1459); #1000=LINE('',#39031,#1460); #1001=LINE('',#39032,#1461); #1002=LINE('',#39035,#1462); #1003=LINE('',#39037,#1463); #1004=LINE('',#39039,#1464); #1005=LINE('',#39040,#1465); #1006=LINE('',#39043,#1466); #1007=LINE('',#39044,#1467); #1008=LINE('',#39046,#1468); #1009=LINE('',#39049,#1469); #1010=LINE('',#39052,#1470); #1011=LINE('',#39057,#1471); #1012=LINE('',#39061,#1472); #1013=LINE('',#39065,#1473); #1014=LINE('',#39069,#1474); #1015=LINE('',#39076,#1475); #1016=LINE('',#39078,#1476); #1017=LINE('',#39079,#1477); #1018=LINE('',#39081,#1478); #1019=LINE('',#39083,#1479); #1020=LINE('',#39084,#1480); #1021=LINE('',#39087,#1481); #1022=LINE('',#39089,#1482); #1023=LINE('',#39090,#1483); #1024=LINE('',#39092,#1484); #1025=LINE('',#39093,#1485); #1026=LINE('',#39095,#1486); #1027=LINE('',#39096,#1487); #1028=LINE('',#39100,#1488); #1029=LINE('',#39101,#1489); #1030=LINE('',#39106,#1490); #1031=LINE('',#39111,#1491); #1032=LINE('',#39115,#1492); #1033=LINE('',#39124,#1493); #1034=LINE('',#39128,#1494); #1035=LINE('',#39152,#1495); #1036=LINE('',#39153,#1496); #1037=LINE('',#39164,#1497); #1038=LINE('',#39165,#1498); #1039=LINE('',#39176,#1499); #1040=LINE('',#39177,#1500); #1041=LINE('',#39188,#1501); #1042=LINE('',#39189,#1502); #1043=LINE('',#39197,#1503); #1044=LINE('',#39198,#1504); #1045=LINE('',#39201,#1505); #1046=LINE('',#39203,#1506); #1047=LINE('',#39204,#1507); #1048=LINE('',#39207,#1508); #1049=LINE('',#39211,#1509); #1050=LINE('',#39212,#1510); #1051=LINE('',#39215,#1511); #1052=LINE('',#39219,#1512); #1053=LINE('',#39223,#1513); #1054=LINE('',#39227,#1514); #1055=LINE('',#39228,#1515); #1056=LINE('',#39542,#1516); #1057=LINE('',#39544,#1517); #1058=LINE('',#39546,#1518); #1059=LINE('',#39547,#1519); #1060=LINE('',#39550,#1520); #1061=LINE('',#39552,#1521); #1062=LINE('',#39554,#1522); #1063=LINE('',#39555,#1523); #1064=LINE('',#39558,#1524); #1065=LINE('',#39559,#1525); #1066=LINE('',#39562,#1526); #1067=LINE('',#39564,#1527); #1068=LINE('',#39565,#1528); #1069=LINE('',#39568,#1529); #1070=LINE('',#39570,#1530); #1071=LINE('',#39571,#1531); #1072=LINE('',#39574,#1532); #1073=LINE('',#39576,#1533); #1074=LINE('',#39579,#1534); #1075=LINE('',#39582,#1535); #1076=LINE('',#39584,#1536); #1077=LINE('',#39585,#1537); #1078=LINE('',#39587,#1538); #1079=LINE('',#39590,#1539); #1080=LINE('',#39593,#1540); #1081=LINE('',#39597,#1541); #1082=LINE('',#39601,#1542); #1083=LINE('',#39604,#1543); #1084=LINE('',#39607,#1544); #1085=LINE('',#39609,#1545); #1086=LINE('',#39610,#1546); #1087=LINE('',#39612,#1547); #1088=LINE('',#39614,#1548); #1089=LINE('',#39621,#1549); #1090=LINE('',#39623,#1550); #1091=LINE('',#39625,#1551); #1092=LINE('',#39626,#1552); #1093=LINE('',#39629,#1553); #1094=LINE('',#39631,#1554); #1095=LINE('',#39632,#1555); #1096=LINE('',#39635,#1556); #1097=LINE('',#39636,#1557); #1098=LINE('',#39861,#1558); #1099=LINE('',#39862,#1559); #1100=LINE('',#39864,#1560); #1101=LINE('',#40030,#1561); #1102=LINE('',#40032,#1562); #1103=LINE('',#40034,#1563); #1104=LINE('',#40035,#1564); #1105=LINE('',#40039,#1565); #1106=LINE('',#40041,#1566); #1107=LINE('',#40043,#1567); #1108=LINE('',#40044,#1568); #1109=LINE('',#40046,#1569); #1110=LINE('',#40047,#1570); #1111=LINE('',#40049,#1571); #1112=LINE('',#40051,#1572); #1113=LINE('',#40291,#1573); #1114=LINE('',#40293,#1574); #1115=LINE('',#40295,#1575); #1116=LINE('',#40296,#1576); #1117=LINE('',#40299,#1577); #1118=LINE('',#40301,#1578); #1119=LINE('',#40302,#1579); #1120=LINE('',#40305,#1580); #1121=LINE('',#40306,#1581); #1122=LINE('',#40309,#1582); #1123=LINE('',#40310,#1583); #1124=LINE('',#40312,#1584); #1125=LINE('',#40318,#1585); #1126=LINE('',#40320,#1586); #1127=LINE('',#40322,#1587); #1128=LINE('',#40323,#1588); #1129=LINE('',#40327,#1589); #1130=LINE('',#40329,#1590); #1131=LINE('',#40331,#1591); #1132=LINE('',#40332,#1592); #1133=LINE('',#40334,#1593); #1134=LINE('',#40336,#1594); #1135=LINE('',#40338,#1595); #1136=LINE('',#40340,#1596); #1137=LINE('',#40342,#1597); #1138=LINE('',#40343,#1598); #1139=LINE('',#40345,#1599); #1140=LINE('',#40362,#1600); #1141=LINE('',#40378,#1601); #1142=LINE('',#40380,#1602); #1143=LINE('',#40384,#1603); #1144=LINE('',#40386,#1604); #1145=LINE('',#40387,#1605); #1146=LINE('',#40424,#1606); #1147=LINE('',#40428,#1607); #1148=LINE('',#40430,#1608); #1149=LINE('',#40432,#1609); #1150=LINE('',#40436,#1610); #1151=LINE('',#40437,#1611); #1152=LINE('',#40469,#1612); #1153=LINE('',#40486,#1613); #1154=LINE('',#40487,#1614); #1155=LINE('',#40489,#1615); #1156=LINE('',#40492,#1616); #1157=LINE('',#40714,#1617); #1158=LINE('',#40716,#1618); #1159=LINE('',#40718,#1619); #1160=LINE('',#40719,#1620); #1161=LINE('',#40722,#1621); #1162=LINE('',#40724,#1622); #1163=LINE('',#40726,#1623); #1164=LINE('',#40727,#1624); #1165=LINE('',#40730,#1625); #1166=LINE('',#40731,#1626); #1167=LINE('',#40734,#1627); #1168=LINE('',#40736,#1628); #1169=LINE('',#40738,#1629); #1170=LINE('',#40742,#1630); #1171=LINE('',#40743,#1631); #1172=LINE('',#40746,#1632); #1173=LINE('',#40747,#1633); #1174=LINE('',#40750,#1634); #1175=LINE('',#40752,#1635); #1176=LINE('',#40753,#1636); #1177=LINE('',#40755,#1637); #1178=LINE('',#40758,#1638); #1179=LINE('',#40761,#1639); #1180=LINE('',#40763,#1640); #1181=LINE('',#40766,#1641); #1182=LINE('',#40767,#1642); #1183=LINE('',#40769,#1643); #1184=LINE('',#40777,#1644); #1185=LINE('',#40780,#1645); #1186=LINE('',#40783,#1646); #1187=LINE('',#40787,#1647); #1188=LINE('',#40789,#1648); #1189=LINE('',#40791,#1649); #1190=LINE('',#40793,#1650); #1191=LINE('',#40795,#1651); #1192=LINE('',#40797,#1652); #1193=LINE('',#40798,#1653); #1194=LINE('',#40843,#1654); #1195=LINE('',#40844,#1655); #1196=LINE('',#40848,#1656); #1197=LINE('',#40851,#1657); #1198=LINE('',#40852,#1658); #1199=LINE('',#40855,#1659); #1200=LINE('',#40857,#1660); #1201=LINE('',#40860,#1661); #1202=LINE('',#40887,#1662); #1203=LINE('',#40889,#1663); #1204=LINE('',#40890,#1664); #1205=LINE('',#40893,#1665); #1206=LINE('',#40894,#1666); #1207=LINE('',#40897,#1667); #1208=LINE('',#40898,#1668); #1209=LINE('',#40900,#1669); #1210=LINE('',#40903,#1670); #1211=LINE('',#40905,#1671); #1212=LINE('',#40907,#1672); #1213=LINE('',#40911,#1673); #1214=LINE('',#40913,#1674); #1215=LINE('',#40914,#1675); #1216=LINE('',#40933,#1676); #1217=LINE('',#40935,#1677); #1218=LINE('',#40936,#1678); #1219=LINE('',#40975,#1679); #1220=LINE('',#40979,#1680); #1221=LINE('',#40981,#1681); #1222=LINE('',#40983,#1682); #1223=LINE('',#40987,#1683); #1224=LINE('',#40988,#1684); #1225=LINE('',#41077,#1685); #1226=LINE('',#41079,#1686); #1227=LINE('',#41080,#1687); #1228=LINE('',#41082,#1688); #1229=LINE('',#41085,#1689); #1230=LINE('',#41129,#1690); #1231=LINE('',#41131,#1691); #1232=LINE('',#41133,#1692); #1233=LINE('',#41134,#1693); #1234=LINE('',#41147,#1694); #1235=LINE('',#41149,#1695); #1236=LINE('',#41150,#1696); #1237=LINE('',#41168,#1697); #1238=LINE('',#41169,#1698); #1239=LINE('',#41178,#1699); #1240=LINE('',#41179,#1700); #1241=LINE('',#41198,#1701); #1242=LINE('',#41204,#1702); #1243=LINE('',#41206,#1703); #1244=LINE('',#41208,#1704); #1245=LINE('',#41209,#1705); #1246=LINE('',#41323,#1706); #1247=LINE('',#41325,#1707); #1248=LINE('',#41327,#1708); #1249=LINE('',#41328,#1709); #1250=LINE('',#41330,#1710); #1251=LINE('',#41331,#1711); #1252=LINE('',#41333,#1712); #1253=LINE('',#41334,#1713); #1254=LINE('',#41843,#1714); #1255=LINE('',#41844,#1715); #1256=LINE('',#41864,#1716); #1257=LINE('',#41865,#1717); #1258=LINE('',#41868,#1718); #1259=LINE('',#41870,#1719); #1260=LINE('',#41871,#1720); #1261=LINE('',#41874,#1721); #1262=LINE('',#41878,#1722); #1263=LINE('',#41882,#1723); #1264=LINE('',#41889,#1724); #1265=LINE('',#41892,#1725); #1266=LINE('',#41895,#1726); #1267=LINE('',#41896,#1727); #1268=LINE('',#41899,#1728); #1269=LINE('',#41903,#1729); #1270=LINE('',#41909,#1730); #1271=LINE('',#41911,#1731); #1272=LINE('',#41912,#1732); #1273=LINE('',#41916,#1733); #1274=LINE('',#41919,#1734); #1275=LINE('',#41920,#1735); #1276=VECTOR('',#28303,0.393700787401575); #1277=VECTOR('',#28304,0.393700787401575); #1278=VECTOR('',#28333,0.393700787401575); #1279=VECTOR('',#28334,0.393700787401575); #1280=VECTOR('',#28351,0.393700787401575); #1281=VECTOR('',#28354,0.393700787401575); #1282=VECTOR('',#28357,0.393700787401575); #1283=VECTOR('',#28362,0.393700787401575); #1284=VECTOR('',#28363,0.393700787401575); #1285=VECTOR('',#28366,0.393700787401575); #1286=VECTOR('',#28373,0.393700787401575); #1287=VECTOR('',#28380,0.393700787401575); #1288=VECTOR('',#28389,0.393700787401575); #1289=VECTOR('',#28392,0.393700787401575); #1290=VECTOR('',#28393,0.393700787401575); #1291=VECTOR('',#28398,0.393700787401575); #1292=VECTOR('',#28737,0.393700787401575); #1293=VECTOR('',#28740,0.393700787401575); #1294=VECTOR('',#28747,0.393700787401575); #1295=VECTOR('',#28754,0.393700787401575); #1296=VECTOR('',#28757,0.393700787401575); #1297=VECTOR('',#28758,0.393700787401575); #1298=VECTOR('',#28759,0.393700787401575); #1299=VECTOR('',#28762,0.393700787401575); #1300=VECTOR('',#28765,0.393700787401575); #1301=VECTOR('',#28768,0.393700787401575); #1302=VECTOR('',#28769,0.393700787401575); #1303=VECTOR('',#28774,0.393700787401575); #1304=VECTOR('',#28779,0.393700787401575); #1305=VECTOR('',#28786,0.393700787401575); #1306=VECTOR('',#28791,0.393700787401575); #1307=VECTOR('',#28794,0.393700787401575); #1308=VECTOR('',#28795,0.393700787401575); #1309=VECTOR('',#28800,0.393700787401575); #1310=VECTOR('',#28807,0.393700787401575); #1311=VECTOR('',#28808,0.393700787401575); #1312=VECTOR('',#28809,0.393700787401575); #1313=VECTOR('',#28820,0.393700787401575); #1314=VECTOR('',#29107,0.393700787401575); #1315=VECTOR('',#29108,0.393700787401575); #1316=VECTOR('',#29109,0.393700787401575); #1317=VECTOR('',#29110,0.393700787401575); #1318=VECTOR('',#29113,0.393700787401575); #1319=VECTOR('',#29114,0.393700787401575); #1320=VECTOR('',#29115,0.393700787401575); #1321=VECTOR('',#29118,0.393700787401575); #1322=VECTOR('',#29121,0.393700787401575); #1323=VECTOR('',#29122,0.393700787401575); #1324=VECTOR('',#29125,0.393700787401575); #1325=VECTOR('',#29126,0.393700787401575); #1326=VECTOR('',#29129,0.393700787401575); #1327=VECTOR('',#29130,0.393700787401575); #1328=VECTOR('',#29133,0.393700787401575); #1329=VECTOR('',#29138,0.393700787401575); #1330=VECTOR('',#29297,0.393700787401575); #1331=VECTOR('',#29298,0.393700787401575); #1332=VECTOR('',#29301,0.393700787401575); #1333=VECTOR('',#29304,0.393700787401575); #1334=VECTOR('',#29305,0.393700787401575); #1335=VECTOR('',#29306,0.393700787401575); #1336=VECTOR('',#29311,0.393700787401575); #1337=VECTOR('',#29312,0.393700787401575); #1338=VECTOR('',#29319,0.393700787401575); #1339=VECTOR('',#29330,0.393700787401575); #1340=VECTOR('',#29331,0.393700787401575); #1341=VECTOR('',#29332,0.393700787401575); #1342=VECTOR('',#29335,0.393700787401575); #1343=VECTOR('',#29338,0.393700787401575); #1344=VECTOR('',#29339,0.393700787401575); #1345=VECTOR('',#29340,0.393700787401575); #1346=VECTOR('',#29341,0.393700787401575); #1347=VECTOR('',#29342,0.393700787401575); #1348=VECTOR('',#29345,0.393700787401575); #1349=VECTOR('',#29348,0.393700787401575); #1350=VECTOR('',#29351,0.393700787401575); #1351=VECTOR('',#29354,0.393700787401575); #1352=VECTOR('',#29505,0.393700787401575); #1353=VECTOR('',#29506,0.393700787401575); #1354=VECTOR('',#29507,0.393700787401575); #1355=VECTOR('',#29512,0.393700787401575); #1356=VECTOR('',#29513,0.393700787401575); #1357=VECTOR('',#29518,0.393700787401575); #1358=VECTOR('',#29519,0.393700787401575); #1359=VECTOR('',#29520,0.393700787401575); #1360=VECTOR('',#29525,0.393700787401575); #1361=VECTOR('',#29528,0.393700787401575); #1362=VECTOR('',#29529,0.393700787401575); #1363=VECTOR('',#29538,0.393700787401575); #1364=VECTOR('',#29687,0.393700787401575); #1365=VECTOR('',#29688,0.393700787401575); #1366=VECTOR('',#29689,0.393700787401575); #1367=VECTOR('',#29694,0.393700787401575); #1368=VECTOR('',#29695,0.393700787401575); #1369=VECTOR('',#29696,0.393700787401575); #1370=VECTOR('',#29697,0.393700787401575); #1371=VECTOR('',#29698,0.393700787401575); #1372=VECTOR('',#29703,0.393700787401575); #1373=VECTOR('',#29716,0.393700787401575); #1374=VECTOR('',#29717,0.393700787401575); #1375=VECTOR('',#29722,0.393700787401575); #1376=VECTOR('',#29863,0.393700787401575); #1377=VECTOR('',#29866,0.393700787401575); #1378=VECTOR('',#29897,0.393700787401575); #1379=VECTOR('',#29898,0.393700787401575); #1380=VECTOR('',#29911,0.393700787401575); #1381=VECTOR('',#29912,0.393700787401575); #1382=VECTOR('',#29925,0.393700787401575); #1383=VECTOR('',#29926,0.393700787401575); #1384=VECTOR('',#29939,0.393700787401575); #1385=VECTOR('',#29940,0.393700787401575); #1386=VECTOR('',#29951,0.393700787401575); #1387=VECTOR('',#29952,0.393700787401575); #1388=VECTOR('',#29955,0.393700787401575); #1389=VECTOR('',#29958,0.393700787401575); #1390=VECTOR('',#29961,0.393700787401575); #1391=VECTOR('',#29962,0.393700787401575); #1392=VECTOR('',#29965,0.393700787401575); #1393=VECTOR('',#29970,0.393700787401575); #1394=VECTOR('',#29971,0.393700787401575); #1395=VECTOR('',#29974,0.393700787401575); #1396=VECTOR('',#29979,0.393700787401575); #1397=VECTOR('',#29980,0.393700787401575); #1398=VECTOR('',#29983,0.393700787401575); #1399=VECTOR('',#29984,0.393700787401575); #1400=VECTOR('',#30399,0.393700787401575); #1401=VECTOR('',#30400,0.393700787401575); #1402=VECTOR('',#30401,0.393700787401575); #1403=VECTOR('',#30402,0.393700787401575); #1404=VECTOR('',#30405,0.393700787401575); #1405=VECTOR('',#30406,0.393700787401575); #1406=VECTOR('',#30407,0.393700787401575); #1407=VECTOR('',#30408,0.393700787401575); #1408=VECTOR('',#30411,0.393700787401575); #1409=VECTOR('',#30414,0.393700787401575); #1410=VECTOR('',#30419,0.393700787401575); #1411=VECTOR('',#30424,0.393700787401575); #1412=VECTOR('',#30429,0.393700787401575); #1413=VECTOR('',#30430,0.393700787401575); #1414=VECTOR('',#30431,0.393700787401575); #1415=VECTOR('',#30434,0.393700787401575); #1416=VECTOR('',#30435,0.393700787401575); #1417=VECTOR('',#30436,0.393700787401575); #1418=VECTOR('',#30439,0.393700787401575); #1419=VECTOR('',#30440,0.393700787401575); #1420=VECTOR('',#30441,0.393700787401575); #1421=VECTOR('',#30444,0.393700787401575); #1422=VECTOR('',#30447,0.393700787401575); #1423=VECTOR('',#30448,0.393700787401575); #1424=VECTOR('',#30455,0.393700787401575); #1425=VECTOR('',#30462,0.393700787401575); #1426=VECTOR('',#30463,0.393700787401575); #1427=VECTOR('',#30466,0.393700787401575); #1428=VECTOR('',#30469,0.393700787401575); #1429=VECTOR('',#30472,0.393700787401575); #1430=VECTOR('',#30623,0.393700787401575); #1431=VECTOR('',#30626,0.393700787401575); #1432=VECTOR('',#30629,0.393700787401575); #1433=VECTOR('',#30630,0.393700787401575); #1434=VECTOR('',#30631,0.393700787401575); #1435=VECTOR('',#30634,0.393700787401575); #1436=VECTOR('',#30635,0.393700787401575); #1437=VECTOR('',#30638,0.393700787401575); #1438=VECTOR('',#30639,0.393700787401575); #1439=VECTOR('',#30642,0.393700787401575); #1440=VECTOR('',#30649,0.393700787401575); #1441=VECTOR('',#30650,0.393700787401575); #1442=VECTOR('',#30651,0.393700787401575); #1443=VECTOR('',#30652,0.393700787401575); #1444=VECTOR('',#30655,0.393700787401575); #1445=VECTOR('',#30656,0.393700787401575); #1446=VECTOR('',#30657,0.393700787401575); #1447=VECTOR('',#30658,0.393700787401575); #1448=VECTOR('',#31557,0.393700787401575); #1449=VECTOR('',#31558,0.393700787401575); #1450=VECTOR('',#31559,0.393700787401575); #1451=VECTOR('',#31562,0.393700787401575); #1452=VECTOR('',#31563,0.393700787401575); #1453=VECTOR('',#31564,0.393700787401575); #1454=VECTOR('',#31567,0.393700787401575); #1455=VECTOR('',#31570,0.393700787401575); #1456=VECTOR('',#31577,0.393700787401575); #1457=VECTOR('',#31580,0.393700787401575); #1458=VECTOR('',#31583,0.393700787401575); #1459=VECTOR('',#31586,0.393700787401575); #1460=VECTOR('',#31589,0.393700787401575); #1461=VECTOR('',#31590,0.393700787401575); #1462=VECTOR('',#31593,0.393700787401575); #1463=VECTOR('',#31594,0.393700787401575); #1464=VECTOR('',#31595,0.393700787401575); #1465=VECTOR('',#31596,0.393700787401575); #1466=VECTOR('',#31599,0.393700787401575); #1467=VECTOR('',#31600,0.393700787401575); #1468=VECTOR('',#31603,0.393700787401575); #1469=VECTOR('',#31606,0.393700787401575); #1470=VECTOR('',#31609,0.393700787401575); #1471=VECTOR('',#31612,0.393700787401575); #1472=VECTOR('',#31615,0.393700787401575); #1473=VECTOR('',#31618,0.393700787401575); #1474=VECTOR('',#31621,0.393700787401575); #1475=VECTOR('',#31628,0.393700787401575); #1476=VECTOR('',#31631,0.393700787401575); #1477=VECTOR('',#31632,0.393700787401575); #1478=VECTOR('',#31635,0.393700787401575); #1479=VECTOR('',#31638,0.393700787401575); #1480=VECTOR('',#31639,0.393700787401575); #1481=VECTOR('',#31644,0.393700787401575); #1482=VECTOR('',#31647,0.393700787401575); #1483=VECTOR('',#31648,0.393700787401575); #1484=VECTOR('',#31651,0.393700787401575); #1485=VECTOR('',#31652,0.393700787401575); #1486=VECTOR('',#31655,0.393700787401575); #1487=VECTOR('',#31656,0.393700787401575); #1488=VECTOR('',#31661,0.393700787401575); #1489=VECTOR('',#31662,0.393700787401575); #1490=VECTOR('',#31667,0.393700787401575); #1491=VECTOR('',#31674,0.393700787401575); #1492=VECTOR('',#31681,0.393700787401575); #1493=VECTOR('',#31694,0.393700787401575); #1494=VECTOR('',#31697,0.393700787401575); #1495=VECTOR('',#31728,0.393700787401575); #1496=VECTOR('',#31729,0.393700787401575); #1497=VECTOR('',#31742,0.393700787401575); #1498=VECTOR('',#31743,0.393700787401575); #1499=VECTOR('',#31756,0.393700787401575); #1500=VECTOR('',#31757,0.393700787401575); #1501=VECTOR('',#31770,0.393700787401575); #1502=VECTOR('',#31771,0.393700787401575); #1503=VECTOR('',#31782,0.393700787401575); #1504=VECTOR('',#31783,0.393700787401575); #1505=VECTOR('',#31786,0.393700787401575); #1506=VECTOR('',#31787,0.393700787401575); #1507=VECTOR('',#31788,0.393700787401575); #1508=VECTOR('',#31791,0.393700787401575); #1509=VECTOR('',#31796,0.393700787401575); #1510=VECTOR('',#31797,0.393700787401575); #1511=VECTOR('',#31800,0.393700787401575); #1512=VECTOR('',#31805,0.393700787401575); #1513=VECTOR('',#31810,0.393700787401575); #1514=VECTOR('',#31815,0.393700787401575); #1515=VECTOR('',#31816,0.393700787401575); #1516=VECTOR('',#32173,0.393700787401575); #1517=VECTOR('',#32174,0.393700787401575); #1518=VECTOR('',#32175,0.393700787401575); #1519=VECTOR('',#32176,0.393700787401575); #1520=VECTOR('',#32179,0.393700787401575); #1521=VECTOR('',#32180,0.393700787401575); #1522=VECTOR('',#32181,0.393700787401575); #1523=VECTOR('',#32182,0.393700787401575); #1524=VECTOR('',#32185,0.393700787401575); #1525=VECTOR('',#32186,0.393700787401575); #1526=VECTOR('',#32189,0.393700787401575); #1527=VECTOR('',#32190,0.393700787401575); #1528=VECTOR('',#32191,0.393700787401575); #1529=VECTOR('',#32194,0.393700787401575); #1530=VECTOR('',#32195,0.393700787401575); #1531=VECTOR('',#32196,0.393700787401575); #1532=VECTOR('',#32199,0.393700787401575); #1533=VECTOR('',#32200,0.393700787401575); #1534=VECTOR('',#32203,0.393700787401575); #1535=VECTOR('',#32206,0.393700787401575); #1536=VECTOR('',#32207,0.393700787401575); #1537=VECTOR('',#32208,0.393700787401575); #1538=VECTOR('',#32211,0.393700787401575); #1539=VECTOR('',#32214,0.393700787401575); #1540=VECTOR('',#32217,0.393700787401575); #1541=VECTOR('',#32220,0.393700787401575); #1542=VECTOR('',#32223,0.393700787401575); #1543=VECTOR('',#32228,0.393700787401575); #1544=VECTOR('',#32233,0.393700787401575); #1545=VECTOR('',#32236,0.393700787401575); #1546=VECTOR('',#32237,0.393700787401575); #1547=VECTOR('',#32240,0.393700787401575); #1548=VECTOR('',#32243,0.393700787401575); #1549=VECTOR('',#32252,0.393700787401575); #1550=VECTOR('',#32253,0.393700787401575); #1551=VECTOR('',#32254,0.393700787401575); #1552=VECTOR('',#32255,0.393700787401575); #1553=VECTOR('',#32258,0.393700787401575); #1554=VECTOR('',#32259,0.393700787401575); #1555=VECTOR('',#32260,0.393700787401575); #1556=VECTOR('',#32263,0.393700787401575); #1557=VECTOR('',#32264,0.393700787401575); #1558=VECTOR('',#32539,0.393700787401575); #1559=VECTOR('',#32540,0.393700787401575); #1560=VECTOR('',#32543,0.393700787401575); #1561=VECTOR('',#32742,0.393700787401575); #1562=VECTOR('',#32743,0.393700787401575); #1563=VECTOR('',#32744,0.393700787401575); #1564=VECTOR('',#32745,0.393700787401575); #1565=VECTOR('',#32748,0.393700787401575); #1566=VECTOR('',#32749,0.393700787401575); #1567=VECTOR('',#32750,0.393700787401575); #1568=VECTOR('',#32751,0.393700787401575); #1569=VECTOR('',#32754,0.393700787401575); #1570=VECTOR('',#32755,0.393700787401575); #1571=VECTOR('',#32758,0.393700787401575); #1572=VECTOR('',#32761,0.393700787401575); #1573=VECTOR('',#33068,0.393700787401575); #1574=VECTOR('',#33069,0.393700787401575); #1575=VECTOR('',#33070,0.393700787401575); #1576=VECTOR('',#33071,0.393700787401575); #1577=VECTOR('',#33074,0.393700787401575); #1578=VECTOR('',#33075,0.393700787401575); #1579=VECTOR('',#33076,0.393700787401575); #1580=VECTOR('',#33079,0.393700787401575); #1581=VECTOR('',#33080,0.393700787401575); #1582=VECTOR('',#33083,0.393700787401575); #1583=VECTOR('',#33084,0.393700787401575); #1584=VECTOR('',#33087,0.393700787401575); #1585=VECTOR('',#33094,0.393700787401575); #1586=VECTOR('',#33095,0.393700787401575); #1587=VECTOR('',#33096,0.393700787401575); #1588=VECTOR('',#33097,0.393700787401575); #1589=VECTOR('',#33100,0.393700787401575); #1590=VECTOR('',#33101,0.393700787401575); #1591=VECTOR('',#33102,0.393700787401575); #1592=VECTOR('',#33103,0.393700787401575); #1593=VECTOR('',#33106,0.393700787401575); #1594=VECTOR('',#33107,0.393700787401575); #1595=VECTOR('',#33108,0.393700787401575); #1596=VECTOR('',#33109,0.393700787401575); #1597=VECTOR('',#33110,0.393700787401575); #1598=VECTOR('',#33111,0.393700787401575); #1599=VECTOR('',#33114,0.393700787401575); #1600=VECTOR('',#33131,0.393700787401575); #1601=VECTOR('',#33148,0.393700787401575); #1602=VECTOR('',#33149,0.393700787401575); #1603=VECTOR('',#33152,0.393700787401575); #1604=VECTOR('',#33153,0.393700787401575); #1605=VECTOR('',#33154,0.393700787401575); #1606=VECTOR('',#33197,0.393700787401575); #1607=VECTOR('',#33202,0.393700787401575); #1608=VECTOR('',#33203,0.393700787401575); #1609=VECTOR('',#33204,0.393700787401575); #1610=VECTOR('',#33207,0.393700787401575); #1611=VECTOR('',#33208,0.393700787401575); #1612=VECTOR('',#33241,0.393700787401575); #1613=VECTOR('',#33274,0.393700787401575); #1614=VECTOR('',#33275,0.393700787401575); #1615=VECTOR('',#33278,0.393700787401575); #1616=VECTOR('',#33283,0.393700787401575); #1617=VECTOR('',#33578,0.393700787401575); #1618=VECTOR('',#33579,0.393700787401575); #1619=VECTOR('',#33580,0.393700787401575); #1620=VECTOR('',#33581,0.393700787401575); #1621=VECTOR('',#33584,0.393700787401575); #1622=VECTOR('',#33585,0.393700787401575); #1623=VECTOR('',#33586,0.393700787401575); #1624=VECTOR('',#33587,0.393700787401575); #1625=VECTOR('',#33590,0.393700787401575); #1626=VECTOR('',#33591,0.393700787401575); #1627=VECTOR('',#33594,0.393700787401575); #1628=VECTOR('',#33595,0.393700787401575); #1629=VECTOR('',#33596,0.393700787401575); #1630=VECTOR('',#33599,0.393700787401575); #1631=VECTOR('',#33600,0.393700787401575); #1632=VECTOR('',#33603,0.393700787401575); #1633=VECTOR('',#33604,0.393700787401575); #1634=VECTOR('',#33607,0.393700787401575); #1635=VECTOR('',#33608,0.393700787401575); #1636=VECTOR('',#33609,0.393700787401575); #1637=VECTOR('',#33612,0.393700787401575); #1638=VECTOR('',#33615,0.393700787401575); #1639=VECTOR('',#33618,0.393700787401575); #1640=VECTOR('',#33621,0.393700787401575); #1641=VECTOR('',#33624,0.393700787401575); #1642=VECTOR('',#33625,0.393700787401575); #1643=VECTOR('',#33628,0.393700787401575); #1644=VECTOR('',#33637,0.393700787401575); #1645=VECTOR('',#33640,0.393700787401575); #1646=VECTOR('',#33643,0.393700787401575); #1647=VECTOR('',#33646,0.393700787401575); #1648=VECTOR('',#33647,0.393700787401575); #1649=VECTOR('',#33648,0.393700787401575); #1650=VECTOR('',#33649,0.393700787401575); #1651=VECTOR('',#33650,0.393700787401575); #1652=VECTOR('',#33651,0.393700787401575); #1653=VECTOR('',#33652,0.393700787401575); #1654=VECTOR('',#33697,0.393700787401575); #1655=VECTOR('',#33698,0.393700787401575); #1656=VECTOR('',#33703,0.393700787401575); #1657=VECTOR('',#33706,0.393700787401575); #1658=VECTOR('',#33707,0.393700787401575); #1659=VECTOR('',#33710,0.393700787401575); #1660=VECTOR('',#33711,0.393700787401575); #1661=VECTOR('',#33714,0.393700787401575); #1662=VECTOR('',#33741,0.393700787401575); #1663=VECTOR('',#33742,0.393700787401575); #1664=VECTOR('',#33743,0.393700787401575); #1665=VECTOR('',#33746,0.393700787401575); #1666=VECTOR('',#33747,0.393700787401575); #1667=VECTOR('',#33750,0.393700787401575); #1668=VECTOR('',#33751,0.393700787401575); #1669=VECTOR('',#33754,0.393700787401575); #1670=VECTOR('',#33757,0.393700787401575); #1671=VECTOR('',#33758,0.393700787401575); #1672=VECTOR('',#33759,0.393700787401575); #1673=VECTOR('',#33762,0.393700787401575); #1674=VECTOR('',#33763,0.393700787401575); #1675=VECTOR('',#33764,0.393700787401575); #1676=VECTOR('',#33783,0.393700787401575); #1677=VECTOR('',#33784,0.393700787401575); #1678=VECTOR('',#33785,0.393700787401575); #1679=VECTOR('',#33836,0.393700787401575); #1680=VECTOR('',#33841,0.393700787401575); #1681=VECTOR('',#33842,0.393700787401575); #1682=VECTOR('',#33843,0.393700787401575); #1683=VECTOR('',#33846,0.393700787401575); #1684=VECTOR('',#33847,0.393700787401575); #1685=VECTOR('',#33966,0.393700787401575); #1686=VECTOR('',#33969,0.393700787401575); #1687=VECTOR('',#33970,0.393700787401575); #1688=VECTOR('',#33973,0.393700787401575); #1689=VECTOR('',#33978,0.393700787401575); #1690=VECTOR('',#34033,0.393700787401575); #1691=VECTOR('',#34034,0.393700787401575); #1692=VECTOR('',#34035,0.393700787401575); #1693=VECTOR('',#34036,0.393700787401575); #1694=VECTOR('',#34049,0.393700787401575); #1695=VECTOR('',#34050,0.393700787401575); #1696=VECTOR('',#34051,0.393700787401575); #1697=VECTOR('',#34074,0.393700787401575); #1698=VECTOR('',#34075,0.393700787401575); #1699=VECTOR('',#34084,0.393700787401575); #1700=VECTOR('',#34085,0.393700787401575); #1701=VECTOR('',#34108,0.393700787401575); #1702=VECTOR('',#34115,0.393700787401575); #1703=VECTOR('',#34116,0.393700787401575); #1704=VECTOR('',#34117,0.393700787401575); #1705=VECTOR('',#34118,0.393700787401575); #1706=VECTOR('',#34261,0.393700787401575); #1707=VECTOR('',#34262,0.393700787401575); #1708=VECTOR('',#34263,0.393700787401575); #1709=VECTOR('',#34264,0.393700787401575); #1710=VECTOR('',#34267,0.393700787401575); #1711=VECTOR('',#34268,0.393700787401575); #1712=VECTOR('',#34271,0.393700787401575); #1713=VECTOR('',#34272,0.393700787401575); #1714=VECTOR('',#34885,0.393700787401575); #1715=VECTOR('',#34886,0.393700787401575); #1716=VECTOR('',#34913,0.393700787401575); #1717=VECTOR('',#34914,0.393700787401575); #1718=VECTOR('',#34917,0.393700787401575); #1719=VECTOR('',#34918,0.393700787401575); #1720=VECTOR('',#34919,0.393700787401575); #1721=VECTOR('',#34922,0.393700787401575); #1722=VECTOR('',#34927,0.393700787401575); #1723=VECTOR('',#34932,0.393700787401575); #1724=VECTOR('',#34939,0.393700787401575); #1725=VECTOR('',#34942,0.393700787401575); #1726=VECTOR('',#34945,0.393700787401575); #1727=VECTOR('',#34946,0.393700787401575); #1728=VECTOR('',#34949,0.393700787401575); #1729=VECTOR('',#34954,0.393700787401575); #1730=VECTOR('',#34963,0.393700787401575); #1731=VECTOR('',#34964,0.393700787401575); #1732=VECTOR('',#34965,0.393700787401575); #1733=VECTOR('',#34970,0.393700787401575); #1734=VECTOR('',#34973,0.393700787401575); #1735=VECTOR('',#34974,0.393700787401575); #1736=TOROIDAL_SURFACE('',#24090,3.09499999999987,0.125); #1737=TOROIDAL_SURFACE('',#24097,3.09499999999987,0.125); #1738=TOROIDAL_SURFACE('',#24101,32.1597734880977,0.125); #1739=TOROIDAL_SURFACE('',#24105,3.09499999999987,0.125); #1740=TOROIDAL_SURFACE('',#24111,3.09499999999987,0.125); #1741=TOROIDAL_SURFACE('',#24115,69.6769999999999,0.125); #1742=TOROIDAL_SURFACE('',#24829,0.5,0.25); #1743=TOROIDAL_SURFACE('',#24833,98.25,0.25); #1744=TOROIDAL_SURFACE('',#24837,0.5,0.25); #1745=TOROIDAL_SURFACE('',#24843,0.5,0.25); #1746=TOROIDAL_SURFACE('',#24849,0.5,0.25); #1747=TOROIDAL_SURFACE('',#24855,0.5,0.25); #1748=TOROIDAL_SURFACE('',#24861,0.5,0.25); #1749=TOROIDAL_SURFACE('',#25686,0.5,0.25); #1750=TOROIDAL_SURFACE('',#25690,98.25,0.25); #1751=TOROIDAL_SURFACE('',#25694,0.5,0.25); #1752=TOROIDAL_SURFACE('',#25700,0.5,0.25); #1753=TOROIDAL_SURFACE('',#25706,0.5,0.25); #1754=TOROIDAL_SURFACE('',#25712,0.5,0.25); #1755=TOROIDAL_SURFACE('',#25718,0.5,0.25); #1756=TOROIDAL_SURFACE('',#27154,3.31999999999999,0.375); #1757=TOROIDAL_SURFACE('',#27159,69.902,0.375); #1758=TOROIDAL_SURFACE('',#27163,3.31999999999999,0.375); #1759=TOROIDAL_SURFACE('',#27169,3.31999999999999,0.375); #1760=TOROIDAL_SURFACE('',#27173,31.9347734880976,0.375); #1761=TOROIDAL_SURFACE('',#27177,3.31999999999999,0.375); #1762=CONICAL_SURFACE('',#23976,0.06675,1.02974425867665); #1763=CONICAL_SURFACE('',#23980,0.06675,1.02974425867665); #1764=CONICAL_SURFACE('',#23984,0.06675,1.02974425867665); #1765=CONICAL_SURFACE('',#23988,0.06675,1.02974425867665); #1766=CONICAL_SURFACE('',#23992,0.06675,1.02974425867665); #1767=CONICAL_SURFACE('',#23996,0.06675,1.02974425867665); #1768=CONICAL_SURFACE('',#24000,0.06675,1.02974425867665); #1769=CONICAL_SURFACE('',#24004,0.06675,1.02974425867665); #1770=CONICAL_SURFACE('',#24008,0.06675,1.02974425867665); #1771=CONICAL_SURFACE('',#24012,0.06675,1.02974425867665); #1772=CONICAL_SURFACE('',#24016,0.06675,1.02974425867665); #1773=CONICAL_SURFACE('',#24020,0.06675,1.02974425867665); #1774=CONICAL_SURFACE('',#24024,0.06675,1.02974425867665); #1775=CONICAL_SURFACE('',#24028,0.06675,1.02974425867665); #1776=CONICAL_SURFACE('',#24032,0.06675,1.02974425867665); #1777=CONICAL_SURFACE('',#24036,0.06675,1.02974425867665); #1778=CONICAL_SURFACE('',#24040,0.06675,1.02974425867665); #1779=CONICAL_SURFACE('',#24044,0.06675,1.02974425867665); #1780=CONICAL_SURFACE('',#24048,0.06675,1.02974425867665); #1781=CONICAL_SURFACE('',#24052,0.06675,1.02974425867665); #1782=CONICAL_SURFACE('',#24056,0.06675,1.02974425867665); #1783=CONICAL_SURFACE('',#24339,0.063,1.02974425867665); #1784=CONICAL_SURFACE('',#24343,0.063,1.02974425867665); #1785=CONICAL_SURFACE('',#24347,0.063,1.02974425867665); #1786=CONICAL_SURFACE('',#24351,0.063,1.02974425867665); #1787=CONICAL_SURFACE('',#24355,0.063,1.02974425867665); #1788=CONICAL_SURFACE('',#24359,0.063,1.02974425867665); #1789=CONICAL_SURFACE('',#24363,0.063,1.02974425867665); #1790=CONICAL_SURFACE('',#24367,0.063,1.02974425867665); #1791=CONICAL_SURFACE('',#24371,0.063,1.02974425867665); #1792=CONICAL_SURFACE('',#24375,0.063,1.02974425867665); #1793=CONICAL_SURFACE('',#24379,0.063,1.02974425867665); #1794=CONICAL_SURFACE('',#24383,0.063,1.02974425867665); #1795=CONICAL_SURFACE('',#24387,0.063,1.02974425867665); #1796=CONICAL_SURFACE('',#24391,0.063,1.02974425867665); #1797=CONICAL_SURFACE('',#24395,0.063,1.02974425867665); #1798=CONICAL_SURFACE('',#24399,0.063,1.02974425867665); #1799=CONICAL_SURFACE('',#24403,0.063,1.02974425867665); #1800=CONICAL_SURFACE('',#24407,0.063,1.02974425867665); #1801=CONICAL_SURFACE('',#24411,0.063,1.02974425867665); #1802=CONICAL_SURFACE('',#24415,0.063,1.02974425867665); #1803=CONICAL_SURFACE('',#24419,0.063,1.02974425867665); #1804=CONICAL_SURFACE('',#24423,0.063,1.02974425867665); #1805=CONICAL_SURFACE('',#24427,0.063,1.02974425867665); #1806=CONICAL_SURFACE('',#24431,0.063,1.02974425867665); #1807=CONICAL_SURFACE('',#24435,0.063,1.02974425867665); #1808=CONICAL_SURFACE('',#24439,0.063,1.02974425867665); #1809=CONICAL_SURFACE('',#24443,0.063,1.02974425867665); #1810=CONICAL_SURFACE('',#24447,0.063,1.02974425867665); #1811=CONICAL_SURFACE('',#24451,0.063,1.02974425867665); #1812=CONICAL_SURFACE('',#24455,0.063,1.02974425867665); #1813=CONICAL_SURFACE('',#24459,0.063,1.02974425867665); #1814=CONICAL_SURFACE('',#24463,0.063,1.02974425867665); #1815=CONICAL_SURFACE('',#24467,0.063,1.02974425867665); #1816=CONICAL_SURFACE('',#24471,0.063,1.02974425867665); #1817=CONICAL_SURFACE('',#24475,0.063,1.02974425867665); #1818=CONICAL_SURFACE('',#24490,0.049,1.02974425867665); #1819=CONICAL_SURFACE('',#24494,0.049,1.02974425867665); #1820=CONICAL_SURFACE('',#24498,0.06675,1.02974425867665); #1821=CONICAL_SURFACE('',#24502,0.06675,1.02974425867665); #1822=CONICAL_SURFACE('',#24506,0.06675,1.02974425867665); #1823=CONICAL_SURFACE('',#24510,0.06675,1.02974425867665); #1824=CONICAL_SURFACE('',#24514,0.06675,1.02974425867665); #1825=CONICAL_SURFACE('',#24587,0.049,1.02974425867665); #1826=CONICAL_SURFACE('',#24591,0.049,1.02974425867665); #1827=CONICAL_SURFACE('',#24595,0.06675,1.02974425867665); #1828=CONICAL_SURFACE('',#24599,0.06675,1.02974425867665); #1829=CONICAL_SURFACE('',#24603,0.06675,1.02974425867665); #1830=CONICAL_SURFACE('',#24673,0.049,1.02974425867665); #1831=CONICAL_SURFACE('',#24677,0.049,1.02974425867665); #1832=CONICAL_SURFACE('',#24681,0.06675,1.02974425867665); #1833=CONICAL_SURFACE('',#24685,0.06675,1.02974425867665); #1834=CONICAL_SURFACE('',#24689,0.06675,1.02974425867665); #1835=CONICAL_SURFACE('',#24759,0.049,1.02974425867665); #1836=CONICAL_SURFACE('',#24763,0.049,1.02974425867665); #1837=CONICAL_SURFACE('',#24767,0.049,1.02974425867665); #1838=CONICAL_SURFACE('',#24771,0.049,1.02974425867665); #1839=CONICAL_SURFACE('',#24775,0.049,1.02974425867665); #1840=CONICAL_SURFACE('',#24779,0.049,1.02974425867665); #1841=CONICAL_SURFACE('',#24783,0.049,1.02974425867665); #1842=CONICAL_SURFACE('',#24787,0.049,1.02974425867665); #1843=CONICAL_SURFACE('',#24791,0.049,1.02974425867665); #1844=CONICAL_SURFACE('',#24795,0.049,1.02974425867665); #1845=CONICAL_SURFACE('',#24799,0.049,1.02974425867665); #1846=CONICAL_SURFACE('',#24803,0.049,1.02974425867665); #1847=CONICAL_SURFACE('',#24810,0.06675,1.02974425867665); #1848=CONICAL_SURFACE('',#24814,0.06675,1.02974425867665); #1849=CONICAL_SURFACE('',#24818,0.06675,1.02974425867665); #1850=CONICAL_SURFACE('',#24822,0.06675,1.02974425867665); #1851=CONICAL_SURFACE('',#24877,0.06675,1.02974425867665); #1852=CONICAL_SURFACE('',#24881,0.06675,1.02974425867665); #1853=CONICAL_SURFACE('',#24885,0.06675,1.02974425867665); #1854=CONICAL_SURFACE('',#24889,0.06675,1.02974425867665); #1855=CONICAL_SURFACE('',#24893,0.06675,1.02974425867665); #1856=CONICAL_SURFACE('',#24894,0.06675,1.02974425867665); #1857=CONICAL_SURFACE('',#24898,0.06675,1.02974425867665); #1858=CONICAL_SURFACE('',#24902,0.06675,1.02974425867665); #1859=CONICAL_SURFACE('',#24906,0.06675,1.02974425867665); #1860=CONICAL_SURFACE('',#24910,0.06675,1.02974425867665); #1861=CONICAL_SURFACE('',#24914,0.06675,1.02974425867665); #1862=CONICAL_SURFACE('',#24918,0.06675,1.02974425867665); #1863=CONICAL_SURFACE('',#24922,0.06675,1.02974425867665); #1864=CONICAL_SURFACE('',#24926,0.06675,1.02974425867665); #1865=CONICAL_SURFACE('',#24930,0.06675,1.02974425867665); #1866=CONICAL_SURFACE('',#24934,0.06675,1.02974425867665); #1867=CONICAL_SURFACE('',#24938,0.06675,1.02974425867665); #1868=CONICAL_SURFACE('',#24942,0.06675,1.02974425867665); #1869=CONICAL_SURFACE('',#24946,0.06675,1.02974425867665); #1870=CONICAL_SURFACE('',#24950,0.06675,1.02974425867665); #1871=CONICAL_SURFACE('',#24954,0.06675,1.02974425867665); #1872=CONICAL_SURFACE('',#24958,0.06675,1.02974425867665); #1873=CONICAL_SURFACE('',#24962,0.06675,1.02974425867665); #1874=CONICAL_SURFACE('',#24966,0.06675,1.02974425867665); #1875=CONICAL_SURFACE('',#24970,0.06675,1.02974425867665); #1876=CONICAL_SURFACE('',#24974,0.06675,1.02974425867665); #1877=CONICAL_SURFACE('',#24978,0.06675,1.02974425867665); #1878=CONICAL_SURFACE('',#24982,0.06675,1.02974425867665); #1879=CONICAL_SURFACE('',#24986,0.06675,1.02974425867665); #1880=CONICAL_SURFACE('',#24990,0.06675,1.02974425867665); #1881=CONICAL_SURFACE('',#24994,0.06675,1.02974425867665); #1882=CONICAL_SURFACE('',#24998,0.06675,1.02974425867665); #1883=CONICAL_SURFACE('',#25002,0.06675,1.02974425867665); #1884=CONICAL_SURFACE('',#25006,0.06675,1.02974425867665); #1885=CONICAL_SURFACE('',#25010,0.06675,1.02974425867665); #1886=CONICAL_SURFACE('',#25014,0.06675,1.02974425867665); #1887=CONICAL_SURFACE('',#25018,0.06675,1.02974425867665); #1888=CONICAL_SURFACE('',#25022,0.06675,1.02974425867665); #1889=CONICAL_SURFACE('',#25026,0.06675,1.02974425867665); #1890=CONICAL_SURFACE('',#25030,0.06675,1.02974425867665); #1891=CONICAL_SURFACE('',#25034,0.06675,1.02974425867665); #1892=CONICAL_SURFACE('',#25038,0.06675,1.02974425867665); #1893=CONICAL_SURFACE('',#25042,0.06675,1.02974425867665); #1894=CONICAL_SURFACE('',#25046,0.06675,1.02974425867665); #1895=CONICAL_SURFACE('',#25050,0.06675,1.02974425867665); #1896=CONICAL_SURFACE('',#25054,0.06675,1.02974425867665); #1897=CONICAL_SURFACE('',#25058,0.06675,1.02974425867665); #1898=CONICAL_SURFACE('',#25062,0.06675,1.02974425867665); #1899=CONICAL_SURFACE('',#25066,0.06675,1.02974425867665); #1900=CONICAL_SURFACE('',#25070,0.06675,1.02974425867665); #1901=CONICAL_SURFACE('',#25074,0.06675,1.02974425867665); #1902=CONICAL_SURFACE('',#25078,0.06675,1.02974425867665); #1903=CONICAL_SURFACE('',#25108,0.06675,1.02974425867665); #1904=CONICAL_SURFACE('',#25112,0.06675,1.02974425867665); #1905=CONICAL_SURFACE('',#25116,0.06675,1.02974425867665); #1906=CONICAL_SURFACE('',#25120,0.06675,1.02974425867665); #1907=CONICAL_SURFACE('',#25197,0.06675,1.02974425867665); #1908=CONICAL_SURFACE('',#25201,0.06675,1.02974425867665); #1909=CONICAL_SURFACE('',#25205,0.06675,1.02974425867665); #1910=CONICAL_SURFACE('',#25209,0.06675,1.02974425867665); #1911=CONICAL_SURFACE('',#25213,0.06675,1.02974425867665); #1912=CONICAL_SURFACE('',#25217,0.06675,1.02974425867665); #1913=CONICAL_SURFACE('',#25221,0.06675,1.02974425867665); #1914=CONICAL_SURFACE('',#25225,0.06675,1.02974425867665); #1915=CONICAL_SURFACE('',#25232,0.06675,1.02974425867665); #1916=CONICAL_SURFACE('',#25233,0.06675,1.02974425867665); #1917=CONICAL_SURFACE('',#25235,0.06675,1.02974425867665); #1918=CONICAL_SURFACE('',#25239,0.06675,1.02974425867665); #1919=CONICAL_SURFACE('',#25243,0.06675,1.02974425867665); #1920=CONICAL_SURFACE('',#25247,0.06675,1.02974425867665); #1921=CONICAL_SURFACE('',#25251,0.06675,1.02974425867665); #1922=CONICAL_SURFACE('',#25255,0.06675,1.02974425867665); #1923=CONICAL_SURFACE('',#25259,0.06675,1.02974425867665); #1924=CONICAL_SURFACE('',#25263,0.06675,1.02974425867665); #1925=CONICAL_SURFACE('',#25267,0.06675,1.02974425867665); #1926=CONICAL_SURFACE('',#25271,0.049,1.02974425867665); #1927=CONICAL_SURFACE('',#25273,0.049,1.02974425867665); #1928=CONICAL_SURFACE('',#25277,0.049,1.02974425867665); #1929=CONICAL_SURFACE('',#25281,0.049,1.02974425867665); #1930=CONICAL_SURFACE('',#25285,0.049,1.02974425867665); #1931=CONICAL_SURFACE('',#25289,0.049,1.02974425867665); #1932=CONICAL_SURFACE('',#25293,0.049,1.02974425867665); #1933=CONICAL_SURFACE('',#25297,0.049,1.02974425867665); #1934=CONICAL_SURFACE('',#25301,0.049,1.02974425867665); #1935=CONICAL_SURFACE('',#25305,0.049,1.02974425867665); #1936=CONICAL_SURFACE('',#25309,0.049,1.02974425867665); #1937=CONICAL_SURFACE('',#25313,0.049,1.02974425867665); #1938=CONICAL_SURFACE('',#25319,0.06675,1.02974425867665); #1939=CONICAL_SURFACE('',#25323,0.06675,1.02974425867665); #1940=CONICAL_SURFACE('',#25327,0.06675,1.02974425867665); #1941=CONICAL_SURFACE('',#25331,0.06675,1.02974425867665); #1942=CONICAL_SURFACE('',#25335,0.06675,1.02974425867665); #1943=CONICAL_SURFACE('',#25339,0.06675,1.02974425867665); #1944=CONICAL_SURFACE('',#25343,0.06675,1.02974425867665); #1945=CONICAL_SURFACE('',#25347,0.06675,1.02974425867665); #1946=CONICAL_SURFACE('',#25351,0.06675,1.02974425867665); #1947=CONICAL_SURFACE('',#25355,0.06675,1.02974425867665); #1948=CONICAL_SURFACE('',#25359,0.06675,1.02974425867665); #1949=CONICAL_SURFACE('',#25363,0.06675,1.02974425867665); #1950=CONICAL_SURFACE('',#25367,0.06675,1.02974425867665); #1951=CONICAL_SURFACE('',#25371,0.06675,1.02974425867665); #1952=CONICAL_SURFACE('',#25375,0.06675,1.02974425867665); #1953=CONICAL_SURFACE('',#25379,0.06675,1.02974425867665); #1954=CONICAL_SURFACE('',#25383,0.06675,1.02974425867665); #1955=CONICAL_SURFACE('',#25387,0.06675,1.02974425867665); #1956=CONICAL_SURFACE('',#25391,0.06675,1.02974425867665); #1957=CONICAL_SURFACE('',#25395,0.06675,1.02974425867665); #1958=CONICAL_SURFACE('',#25399,0.06675,1.02974425867665); #1959=CONICAL_SURFACE('',#25403,0.06675,1.02974425867665); #1960=CONICAL_SURFACE('',#25407,0.06675,1.02974425867665); #1961=CONICAL_SURFACE('',#25411,0.06675,1.02974425867665); #1962=CONICAL_SURFACE('',#25415,0.06675,1.02974425867665); #1963=CONICAL_SURFACE('',#25419,0.06675,1.02974425867665); #1964=CONICAL_SURFACE('',#25423,0.06675,1.02974425867665); #1965=CONICAL_SURFACE('',#25427,0.06675,1.02974425867665); #1966=CONICAL_SURFACE('',#25431,0.06675,1.02974425867665); #1967=CONICAL_SURFACE('',#25435,0.06675,1.02974425867665); #1968=CONICAL_SURFACE('',#25439,0.06675,1.02974425867665); #1969=CONICAL_SURFACE('',#25443,0.06675,1.02974425867665); #1970=CONICAL_SURFACE('',#25447,0.06675,1.02974425867665); #1971=CONICAL_SURFACE('',#25451,0.06675,1.02974425867665); #1972=CONICAL_SURFACE('',#25455,0.06675,1.02974425867665); #1973=CONICAL_SURFACE('',#25459,0.06675,1.02974425867665); #1974=CONICAL_SURFACE('',#25463,0.06675,1.02974425867665); #1975=CONICAL_SURFACE('',#25467,0.06675,1.02974425867665); #1976=CONICAL_SURFACE('',#25471,0.06675,1.02974425867665); #1977=CONICAL_SURFACE('',#25475,0.06675,1.02974425867665); #1978=CONICAL_SURFACE('',#25479,0.06675,1.02974425867665); #1979=CONICAL_SURFACE('',#25483,0.06675,1.02974425867665); #1980=CONICAL_SURFACE('',#25487,0.06675,1.02974425867665); #1981=CONICAL_SURFACE('',#25491,0.06675,1.02974425867665); #1982=CONICAL_SURFACE('',#25495,0.06675,1.02974425867665); #1983=CONICAL_SURFACE('',#25499,0.06675,1.02974425867665); #1984=CONICAL_SURFACE('',#25503,0.06675,1.02974425867665); #1985=CONICAL_SURFACE('',#25507,0.06675,1.02974425867665); #1986=CONICAL_SURFACE('',#25511,0.06675,1.02974425867665); #1987=CONICAL_SURFACE('',#25515,0.06675,1.02974425867665); #1988=CONICAL_SURFACE('',#25519,0.06675,1.02974425867665); #1989=CONICAL_SURFACE('',#25523,0.06675,1.02974425867665); #1990=CONICAL_SURFACE('',#25527,0.06675,1.02974425867665); #1991=CONICAL_SURFACE('',#25531,0.06675,1.02974425867665); #1992=CONICAL_SURFACE('',#25535,0.06675,1.02974425867665); #1993=CONICAL_SURFACE('',#25539,0.06675,1.02974425867665); #1994=CONICAL_SURFACE('',#25543,0.06675,1.02974425867665); #1995=CONICAL_SURFACE('',#25547,0.06675,1.02974425867665); #1996=CONICAL_SURFACE('',#25551,0.06675,1.02974425867665); #1997=CONICAL_SURFACE('',#25554,0.06675,1.02974425867665); #1998=CONICAL_SURFACE('',#25557,0.06675,1.02974425867665); #1999=CONICAL_SURFACE('',#25560,0.06675,1.02974425867665); #2000=CONICAL_SURFACE('',#25563,0.06675,1.02974425867665); #2001=CONICAL_SURFACE('',#25566,0.06675,1.02974425867665); #2002=CONICAL_SURFACE('',#25569,0.06675,1.02974425867665); #2003=CONICAL_SURFACE('',#25572,0.06675,1.02974425867665); #2004=CONICAL_SURFACE('',#25575,0.06675,1.02974425867665); #2005=CONICAL_SURFACE('',#25579,0.06675,1.02974425867665); #2006=CONICAL_SURFACE('',#25583,0.06675,1.02974425867665); #2007=CONICAL_SURFACE('',#25587,0.06675,1.02974425867665); #2008=CONICAL_SURFACE('',#25591,0.06675,1.02974425867665); #2009=CONICAL_SURFACE('',#25595,0.06675,1.02974425867665); #2010=CONICAL_SURFACE('',#25599,0.06675,1.02974425867665); #2011=CONICAL_SURFACE('',#25603,0.06675,1.02974425867665); #2012=CONICAL_SURFACE('',#25607,0.06675,1.02974425867665); #2013=CONICAL_SURFACE('',#25611,0.06675,1.02974425867665); #2014=CONICAL_SURFACE('',#25615,0.06675,1.02974425867665); #2015=CONICAL_SURFACE('',#25619,0.06675,1.02974425867665); #2016=CONICAL_SURFACE('',#25623,0.06675,1.02974425867665); #2017=CONICAL_SURFACE('',#25627,0.06675,1.02974425867665); #2018=CONICAL_SURFACE('',#25631,0.06675,1.02974425867665); #2019=CONICAL_SURFACE('',#25735,0.06675,1.02974425867665); #2020=CONICAL_SURFACE('',#25739,0.06675,1.02974425867665); #2021=CONICAL_SURFACE('',#25743,0.06675,1.02974425867665); #2022=CONICAL_SURFACE('',#25747,0.06675,1.02974425867665); #2023=CONICAL_SURFACE('',#25751,0.06675,1.02974425867665); #2024=CONICAL_SURFACE('',#25755,0.06675,1.02974425867665); #2025=CONICAL_SURFACE('',#25759,0.06675,1.02974425867665); #2026=CONICAL_SURFACE('',#25763,0.06675,1.02974425867665); #2027=CONICAL_SURFACE('',#25767,0.06675,1.02974425867665); #2028=CONICAL_SURFACE('',#25771,0.06675,1.02974425867665); #2029=CONICAL_SURFACE('',#25775,0.06675,1.02974425867665); #2030=CONICAL_SURFACE('',#25779,0.06675,1.02974425867665); #2031=CONICAL_SURFACE('',#25786,0.06675,1.02974425867665); #2032=CONICAL_SURFACE('',#25787,0.06675,1.02974425867665); #2033=CONICAL_SURFACE('',#25789,0.06675,1.02974425867665); #2034=CONICAL_SURFACE('',#25793,0.06675,1.02974425867665); #2035=CONICAL_SURFACE('',#25797,0.06675,1.02974425867665); #2036=CONICAL_SURFACE('',#25801,0.06675,1.02974425867665); #2037=CONICAL_SURFACE('',#25805,0.06675,1.02974425867665); #2038=CONICAL_SURFACE('',#25809,0.06675,1.02974425867665); #2039=CONICAL_SURFACE('',#25813,0.06675,1.02974425867665); #2040=CONICAL_SURFACE('',#25817,0.06675,1.02974425867665); #2041=CONICAL_SURFACE('',#25821,0.06675,1.02974425867665); #2042=CONICAL_SURFACE('',#25825,0.06675,1.02974425867665); #2043=CONICAL_SURFACE('',#25829,0.06675,1.02974425867665); #2044=CONICAL_SURFACE('',#25833,0.06675,1.02974425867665); #2045=CONICAL_SURFACE('',#25837,0.06675,1.02974425867665); #2046=CONICAL_SURFACE('',#25841,0.06675,1.02974425867665); #2047=CONICAL_SURFACE('',#25845,0.06675,1.02974425867665); #2048=CONICAL_SURFACE('',#25849,0.06675,1.02974425867665); #2049=CONICAL_SURFACE('',#25853,0.06675,1.02974425867665); #2050=CONICAL_SURFACE('',#25857,0.06675,1.02974425867665); #2051=CONICAL_SURFACE('',#25861,0.06675,1.02974425867665); #2052=CONICAL_SURFACE('',#25865,0.06675,1.02974425867665); #2053=CONICAL_SURFACE('',#25869,0.06675,1.02974425867665); #2054=CONICAL_SURFACE('',#25873,0.06675,1.02974425867665); #2055=CONICAL_SURFACE('',#25877,0.06675,1.02974425867665); #2056=CONICAL_SURFACE('',#25881,0.06675,1.02974425867665); #2057=CONICAL_SURFACE('',#25885,0.06675,1.02974425867665); #2058=CONICAL_SURFACE('',#25889,0.06675,1.02974425867665); #2059=CONICAL_SURFACE('',#25893,0.06675,1.02974425867665); #2060=CONICAL_SURFACE('',#25897,0.06675,1.02974425867665); #2061=CONICAL_SURFACE('',#25901,0.06675,1.02974425867665); #2062=CONICAL_SURFACE('',#25905,0.06675,1.02974425867665); #2063=CONICAL_SURFACE('',#26045,0.06675,1.02974425867665); #2064=CONICAL_SURFACE('',#26049,0.06675,1.02974425867665); #2065=CONICAL_SURFACE('',#26053,0.06675,1.02974425867665); #2066=CONICAL_SURFACE('',#26057,0.06675,1.02974425867665); #2067=CONICAL_SURFACE('',#26061,0.06675,1.02974425867665); #2068=CONICAL_SURFACE('',#26065,0.06675,1.02974425867665); #2069=CONICAL_SURFACE('',#26069,0.06675,1.02974425867665); #2070=CONICAL_SURFACE('',#26180,0.07675,1.02974425867665); #2071=CONICAL_SURFACE('',#26184,0.07675,1.02974425867665); #2072=CONICAL_SURFACE('',#26188,0.07675,1.02974425867665); #2073=CONICAL_SURFACE('',#26192,0.07675,1.02974425867665); #2074=CONICAL_SURFACE('',#26196,0.07675,1.02974425867665); #2075=CONICAL_SURFACE('',#26200,0.07675,1.02974425867665); #2076=CONICAL_SURFACE('',#26204,0.07675,1.02974425867665); #2077=CONICAL_SURFACE('',#26208,0.07675,1.02974425867665); #2078=CONICAL_SURFACE('',#26212,0.07675,1.02974425867665); #2079=CONICAL_SURFACE('',#26216,0.07675,1.02974425867665); #2080=CONICAL_SURFACE('',#26220,0.06675,1.02974425867665); #2081=CONICAL_SURFACE('',#26224,0.06675,1.02974425867665); #2082=CONICAL_SURFACE('',#26228,0.06675,1.02974425867665); #2083=CONICAL_SURFACE('',#26232,0.06675,1.02974425867665); #2084=CONICAL_SURFACE('',#26236,0.06675,1.02974425867665); #2085=CONICAL_SURFACE('',#26240,0.06675,1.02974425867665); #2086=CONICAL_SURFACE('',#26244,0.06675,1.02974425867665); #2087=CONICAL_SURFACE('',#26248,0.06675,1.02974425867665); #2088=CONICAL_SURFACE('',#26252,0.06675,1.02974425867665); #2089=CONICAL_SURFACE('',#26256,0.06675,1.02974425867665); #2090=CONICAL_SURFACE('',#26260,0.06675,1.02974425867665); #2091=CONICAL_SURFACE('',#26264,0.06675,1.02974425867665); #2092=CONICAL_SURFACE('',#26268,0.06675,1.02974425867665); #2093=CONICAL_SURFACE('',#26272,0.06675,1.02974425867665); #2094=CONICAL_SURFACE('',#26419,0.06675,1.02974425867665); #2095=CONICAL_SURFACE('',#26423,0.06675,1.02974425867665); #2096=CONICAL_SURFACE('',#26427,0.06675,1.02974425867665); #2097=CONICAL_SURFACE('',#26431,0.06675,1.02974425867665); #2098=CONICAL_SURFACE('',#26435,0.06675,1.02974425867665); #2099=CONICAL_SURFACE('',#26439,0.06675,1.02974425867665); #2100=CONICAL_SURFACE('',#26443,0.06675,1.02974425867665); #2101=CONICAL_SURFACE('',#26447,0.06675,1.02974425867665); #2102=CONICAL_SURFACE('',#26451,0.06675,1.02974425867665); #2103=CONICAL_SURFACE('',#26455,0.06675,1.02974425867665); #2104=CONICAL_SURFACE('',#26459,0.06675,1.02974425867665); #2105=CONICAL_SURFACE('',#26463,0.06675,1.02974425867665); #2106=CONICAL_SURFACE('',#26467,0.06675,1.02974425867665); #2107=CONICAL_SURFACE('',#26471,0.06675,1.02974425867665); #2108=CONICAL_SURFACE('',#26475,0.06675,1.02974425867665); #2109=CONICAL_SURFACE('',#26479,0.06675,1.02974425867665); #2110=CONICAL_SURFACE('',#26483,0.06675,1.02974425867665); #2111=CONICAL_SURFACE('',#26487,0.06675,1.02974425867665); #2112=CONICAL_SURFACE('',#26491,0.06675,1.02974425867665); #2113=CONICAL_SURFACE('',#26495,0.06675,1.02974425867665); #2114=CONICAL_SURFACE('',#26499,0.06675,1.02974425867665); #2115=CONICAL_SURFACE('',#26503,0.06675,1.02974425867665); #2116=CONICAL_SURFACE('',#26507,0.06675,1.02974425867665); #2117=CONICAL_SURFACE('',#26511,0.06675,1.02974425867665); #2118=CONICAL_SURFACE('',#26515,0.06675,1.02974425867665); #2119=CONICAL_SURFACE('',#26519,0.06675,1.02974425867665); #2120=CONICAL_SURFACE('',#26523,0.06675,1.02974425867665); #2121=CONICAL_SURFACE('',#26527,0.06675,1.02974425867665); #2122=CONICAL_SURFACE('',#26531,0.06675,1.02974425867665); #2123=CONICAL_SURFACE('',#26535,0.06675,1.02974425867665); #2124=CONICAL_SURFACE('',#26539,0.06675,1.02974425867665); #2125=CONICAL_SURFACE('',#26543,0.06675,1.02974425867665); #2126=CONICAL_SURFACE('',#26547,0.06675,1.02974425867665); #2127=CONICAL_SURFACE('',#26551,0.06675,1.02974425867665); #2128=CONICAL_SURFACE('',#26555,0.06675,1.02974425867665); #2129=CONICAL_SURFACE('',#26559,0.06675,1.02974425867665); #2130=CONICAL_SURFACE('',#26742,0.06675,1.02974425867665); #2131=CONICAL_SURFACE('',#26746,0.06675,1.02974425867665); #2132=CONICAL_SURFACE('',#26750,0.06675,1.02974425867665); #2133=CONICAL_SURFACE('',#26776,0.07675,1.02974425867665); #2134=CONICAL_SURFACE('',#26779,0.07675,1.02974425867665); #2135=CONICAL_SURFACE('',#26785,0.07675,1.02974425867665); #2136=PLANE('',#23760); #2137=PLANE('',#23767); #2138=PLANE('',#23780); #2139=PLANE('',#23781); #2140=PLANE('',#23794); #2141=PLANE('',#23795); #2142=PLANE('',#23808); #2143=PLANE('',#23809); #2144=PLANE('',#23822); #2145=PLANE('',#23823); #2146=PLANE('',#23836); #2147=PLANE('',#23837); #2148=PLANE('',#23850); #2149=PLANE('',#23851); #2150=PLANE('',#23864); #2151=PLANE('',#23865); #2152=PLANE('',#23872); #2153=PLANE('',#23879); #2154=PLANE('',#23886); #2155=PLANE('',#23893); #2156=PLANE('',#23900); #2157=PLANE('',#23907); #2158=PLANE('',#23914); #2159=PLANE('',#23921); #2160=PLANE('',#23928); #2161=PLANE('',#23935); #2162=PLANE('',#23942); #2163=PLANE('',#23949); #2164=PLANE('',#23956); #2165=PLANE('',#23963); #2166=PLANE('',#24122); #2167=PLANE('',#24125); #2168=PLANE('',#24134); #2169=PLANE('',#24313); #2170=PLANE('',#24316); #2171=PLANE('',#24326); #2172=PLANE('',#24331); #2173=PLANE('',#24332); #2174=PLANE('',#24479); #2175=PLANE('',#24480); #2176=PLANE('',#24482); #2177=PLANE('',#24483); #2178=PLANE('',#24484); #2179=PLANE('',#24485); #2180=PLANE('',#24488); #2181=PLANE('',#24566); #2182=PLANE('',#24568); #2183=PLANE('',#24569); #2184=PLANE('',#24578); #2185=PLANE('',#24579); #2186=PLANE('',#24580); #2187=PLANE('',#24581); #2188=PLANE('',#24582); #2189=PLANE('',#24583); #2190=PLANE('',#24584); #2191=PLANE('',#24585); #2192=PLANE('',#24658); #2193=PLANE('',#24660); #2194=PLANE('',#24662); #2195=PLANE('',#24664); #2196=PLANE('',#24666); #2197=PLANE('',#24670); #2198=PLANE('',#24671); #2199=PLANE('',#24744); #2200=PLANE('',#24746); #2201=PLANE('',#24747); #2202=PLANE('',#24754); #2203=PLANE('',#24755); #2204=PLANE('',#24756); #2205=PLANE('',#24757); #2206=PLANE('',#24868); #2207=PLANE('',#24871); #2208=PLANE('',#24874); #2209=PLANE('',#24875); #2210=PLANE('',#24876); #2211=PLANE('',#25082); #2212=PLANE('',#25083); #2213=PLANE('',#25084); #2214=PLANE('',#25086); #2215=PLANE('',#25091); #2216=PLANE('',#25092); #2217=PLANE('',#25093); #2218=PLANE('',#25094); #2219=PLANE('',#25095); #2220=PLANE('',#25100); #2221=PLANE('',#25102); #2222=PLANE('',#25105); #2223=PLANE('',#25181); #2224=PLANE('',#25182); #2225=PLANE('',#25183); #2226=PLANE('',#25184); #2227=PLANE('',#25185); #2228=PLANE('',#25187); #2229=PLANE('',#25188); #2230=PLANE('',#25637); #2231=PLANE('',#25638); #2232=PLANE('',#25647); #2233=PLANE('',#25648); #2234=PLANE('',#25649); #2235=PLANE('',#25650); #2236=PLANE('',#25651); #2237=PLANE('',#25661); #2238=PLANE('',#25663); #2239=PLANE('',#25668); #2240=PLANE('',#25669); #2241=PLANE('',#25670); #2242=PLANE('',#25675); #2243=PLANE('',#25677); #2244=PLANE('',#25679); #2245=PLANE('',#25680); #2246=PLANE('',#25723); #2247=PLANE('',#25726); #2248=PLANE('',#25733); #2249=PLANE('',#25734); #2250=PLANE('',#25911); #2251=PLANE('',#25912); #2252=PLANE('',#25913); #2253=PLANE('',#25914); #2254=PLANE('',#25915); #2255=PLANE('',#25916); #2256=PLANE('',#25918); #2257=PLANE('',#25919); #2258=PLANE('',#25920); #2259=PLANE('',#25925); #2260=PLANE('',#25929); #2261=PLANE('',#25931); #2262=PLANE('',#25932); #2263=PLANE('',#25934); #2264=PLANE('',#25935); #2265=PLANE('',#25936); #2266=PLANE('',#26073); #2267=PLANE('',#26074); #2268=PLANE('',#26075); #2269=PLANE('',#26173); #2270=PLANE('',#26174); #2271=PLANE('',#26175); #2272=PLANE('',#26176); #2273=PLANE('',#26177); #2274=PLANE('',#26178); #2275=PLANE('',#26330); #2276=PLANE('',#26331); #2277=PLANE('',#26332); #2278=PLANE('',#26333); #2279=PLANE('',#26334); #2280=PLANE('',#26335); #2281=PLANE('',#26337); #2282=PLANE('',#26338); #2283=PLANE('',#26339); #2284=PLANE('',#26340); #2285=PLANE('',#26348); #2286=PLANE('',#26356); #2287=PLANE('',#26380); #2288=PLANE('',#26397); #2289=PLANE('',#26414); #2290=PLANE('',#26415); #2291=PLANE('',#26416); #2292=PLANE('',#26417); #2293=PLANE('',#26563); #2294=PLANE('',#26564); #2295=PLANE('',#26565); #2296=PLANE('',#26566); #2297=PLANE('',#26568); #2298=PLANE('',#26569); #2299=PLANE('',#26570); #2300=PLANE('',#26573); #2301=PLANE('',#26574); #2302=PLANE('',#26575); #2303=PLANE('',#26576); #2304=PLANE('',#26581); #2305=PLANE('',#26604); #2306=PLANE('',#26607); #2307=PLANE('',#26608); #2308=PLANE('',#26622); #2309=PLANE('',#26623); #2310=PLANE('',#26624); #2311=PLANE('',#26625); #2312=PLANE('',#26626); #2313=PLANE('',#26636); #2314=PLANE('',#26663); #2315=PLANE('',#26723); #2316=PLANE('',#26725); #2317=PLANE('',#26726); #2318=PLANE('',#26727); #2319=PLANE('',#26728); #2320=PLANE('',#26754); #2321=PLANE('',#26760); #2322=PLANE('',#26771); #2323=PLANE('',#26775); #2324=PLANE('',#26786); #2325=PLANE('',#26787); #2326=PLANE('',#26789); #2327=PLANE('',#26790); #2328=PLANE('',#26797); #2329=PLANE('',#26804); #2330=PLANE('',#26811); #2331=PLANE('',#26818); #2332=PLANE('',#26825); #2333=PLANE('',#26832); #2334=PLANE('',#26839); #2335=PLANE('',#26846); #2336=PLANE('',#26853); #2337=PLANE('',#26860); #2338=PLANE('',#26861); #2339=PLANE('',#26862); #2340=PLANE('',#26863); #2341=PLANE('',#26864); #2342=PLANE('',#27182); #2343=PLANE('',#27189); #2344=PLANE('',#27192); #2345=PLANE('',#27199); #2346=PLANE('',#27202); #2347=PLANE('',#27205); #2348=PLANE('Near clipping plane',#27240); #2349=PLANE('Far clipping plane',#27241); #2350=PLANE('Near clipping plane',#27244); #2351=PLANE('Far clipping plane',#27245); #2352=PLANE('Near clipping plane',#27248); #2353=PLANE('Far clipping plane',#27249); #2354=PLANE('Near clipping plane',#27252); #2355=PLANE('Far clipping plane',#27253); #2356=PLANE('Near clipping plane',#27256); #2357=PLANE('Far clipping plane',#27257); #2358=PLANE('Near clipping plane',#27260); #2359=PLANE('Far clipping plane',#27261); #2360=PLANE('Near clipping plane',#27264); #2361=PLANE('Far clipping plane',#27265); #2362=PLANE('Near clipping plane',#27268); #2363=PLANE('Far clipping plane',#27269); #2364=PLANE('Near clipping plane',#27272); #2365=PLANE('Far clipping plane',#27273); #2366=PLANE('Near clipping plane',#27276); #2367=PLANE('Far clipping plane',#27277); #2368=PLANE('Near clipping plane',#27280); #2369=PLANE('Far clipping plane',#27281); #2370=PLANE('Near clipping plane',#27284); #2371=PLANE('Far clipping plane',#27285); #2372=PLANE('Near clipping plane',#27288); #2373=PLANE('Far clipping plane',#27289); #2374=PLANE('Near clipping plane',#27292); #2375=PLANE('Far clipping plane',#27293); #2376=PLANE('Near clipping plane',#27296); #2377=PLANE('Far clipping plane',#27297); #2378=PLANE('Near clipping plane',#27300); #2379=PLANE('Far clipping plane',#27301); #2380=PLANE('Near clipping plane',#27304); #2381=PLANE('Far clipping plane',#27305); #2382=PLANE('Near clipping plane',#27308); #2383=PLANE('Far clipping plane',#27309); #2384=PLANE('Near clipping plane',#27312); #2385=PLANE('Far clipping plane',#27313); #2386=PLANE('Near clipping plane',#27316); #2387=PLANE('Far clipping plane',#27317); #2388=PLANE('Near clipping plane',#27320); #2389=PLANE('Far clipping plane',#27321); #2390=PLANE('Near clipping plane',#27324); #2391=PLANE('Far clipping plane',#27325); #2392=PLANE('Near clipping plane',#27328); #2393=PLANE('Far clipping plane',#27329); #2394=PLANE('Near clipping plane',#27332); #2395=PLANE('Far clipping plane',#27333); #2396=PLANE('Near clipping plane',#27336); #2397=PLANE('Far clipping plane',#27337); #2398=PLANE('Near clipping plane',#27340); #2399=PLANE('Far clipping plane',#27341); #2400=PLANE('Near clipping plane',#27344); #2401=PLANE('Far clipping plane',#27345); #2402=PLANE('Near clipping plane',#27348); #2403=PLANE('Far clipping plane',#27349); #2404=PLANE('Near clipping plane',#27352); #2405=PLANE('Far clipping plane',#27353); #2406=PLANE('Near clipping plane',#27356); #2407=PLANE('Far clipping plane',#27357); #2408=PLANE('Near clipping plane',#27360); #2409=PLANE('Far clipping plane',#27361); #2410=PLANE('Near clipping plane',#27364); #2411=PLANE('Far clipping plane',#27365); #2412=PLANE('Near clipping plane',#27368); #2413=PLANE('Far clipping plane',#27369); #2414=PLANE('Near clipping plane',#27372); #2415=PLANE('Far clipping plane',#27373); #2416=PLANE('Near clipping plane',#27376); #2417=PLANE('Far clipping plane',#27377); #2418=PLANE('Near clipping plane',#27380); #2419=PLANE('Far clipping plane',#27381); #2420=PLANE('Near clipping plane',#27384); #2421=PLANE('Far clipping plane',#27385); #2422=PLANE('Near clipping plane',#27388); #2423=PLANE('Far clipping plane',#27389); #2424=PLANE('Near clipping plane',#27392); #2425=PLANE('Far clipping plane',#27393); #2426=PLANE('Near clipping plane',#27396); #2427=PLANE('Far clipping plane',#27397); #2428=PLANE('Near clipping plane',#27400); #2429=PLANE('Far clipping plane',#27401); #2430=PLANE('Near clipping plane',#27404); #2431=PLANE('Far clipping plane',#27405); #2432=PLANE('Near clipping plane',#27408); #2433=PLANE('Far clipping plane',#27409); #2434=PLANE('Near clipping plane',#27412); #2435=PLANE('Far clipping plane',#27413); #2436=PLANE('Near clipping plane',#27416); #2437=PLANE('Far clipping plane',#27417); #2438=PLANE('Near clipping plane',#27420); #2439=PLANE('Far clipping plane',#27421); #2440=PLANE('Near clipping plane',#27424); #2441=PLANE('Far clipping plane',#27425); #2442=PLANE('Near clipping plane',#27428); #2443=PLANE('Far clipping plane',#27429); #2444=PLANE('Near clipping plane',#27432); #2445=PLANE('Far clipping plane',#27433); #2446=PLANE('Near clipping plane',#27436); #2447=PLANE('Far clipping plane',#27437); #2448=PLANE('Near clipping plane',#27440); #2449=PLANE('Far clipping plane',#27441); #2450=PLANE('Near clipping plane',#27444); #2451=PLANE('Far clipping plane',#27445); #2452=PLANE('Near clipping plane',#27448); #2453=PLANE('Far clipping plane',#27449); #2454=PLANE('Near clipping plane',#27452); #2455=PLANE('Far clipping plane',#27453); #2456=PLANE('Near clipping plane',#27456); #2457=PLANE('Far clipping plane',#27457); #2458=PLANE('Near clipping plane',#27460); #2459=PLANE('Far clipping plane',#27461); #2460=PLANE('Near clipping plane',#27464); #2461=PLANE('Far clipping plane',#27465); #2462=PLANE('Near clipping plane',#27468); #2463=PLANE('Far clipping plane',#27469); #2464=PLANE('Near clipping plane',#27472); #2465=PLANE('Far clipping plane',#27473); #2466=PLANE('Near clipping plane',#27476); #2467=PLANE('Far clipping plane',#27477); #2468=PLANE('Near clipping plane',#27480); #2469=PLANE('Far clipping plane',#27481); #2470=PLANE('Near clipping plane',#27484); #2471=PLANE('Far clipping plane',#27485); #2472=PLANE('Near clipping plane',#27488); #2473=PLANE('Far clipping plane',#27489); #2474=PLANE('Near clipping plane',#27492); #2475=PLANE('Far clipping plane',#27493); #2476=PLANE('Near clipping plane',#27496); #2477=PLANE('Far clipping plane',#27497); #2478=PLANE('Near clipping plane',#27500); #2479=PLANE('Far clipping plane',#27501); #2480=PLANE('Near clipping plane',#27504); #2481=PLANE('Far clipping plane',#27505); #2482=PLANE('Near clipping plane',#27508); #2483=PLANE('Far clipping plane',#27509); #2484=PLANE('Near clipping plane',#27512); #2485=PLANE('Far clipping plane',#27513); #2486=FACE_BOUND('',#6167,.T.); #2487=FACE_BOUND('',#6169,.T.); #2488=FACE_BOUND('',#6171,.T.); #2489=FACE_BOUND('',#6173,.T.); #2490=FACE_BOUND('',#6175,.T.); #2491=FACE_BOUND('',#6177,.T.); #2492=FACE_BOUND('',#6179,.T.); #2493=FACE_BOUND('',#6181,.T.); #2494=FACE_BOUND('',#6183,.T.); #2495=FACE_BOUND('',#6185,.T.); #2496=FACE_BOUND('',#6187,.T.); #2497=FACE_BOUND('',#6189,.T.); #2498=FACE_BOUND('',#6191,.T.); #2499=FACE_BOUND('',#6193,.T.); #2500=FACE_BOUND('',#6195,.T.); #2501=FACE_BOUND('',#6197,.T.); #2502=FACE_BOUND('',#6199,.T.); #2503=FACE_BOUND('',#6201,.T.); #2504=FACE_BOUND('',#6203,.T.); #2505=FACE_BOUND('',#6205,.T.); #2506=FACE_BOUND('',#6207,.T.); #2507=FACE_BOUND('',#6209,.T.); #2508=FACE_BOUND('',#6211,.T.); #2509=FACE_BOUND('',#6213,.T.); #2510=FACE_BOUND('',#6215,.T.); #2511=FACE_BOUND('',#6217,.T.); #2512=FACE_BOUND('',#6219,.T.); #2513=FACE_BOUND('',#6221,.T.); #2514=FACE_BOUND('',#6223,.T.); #2515=FACE_BOUND('',#6225,.T.); #2516=FACE_BOUND('',#6227,.T.); #2517=FACE_BOUND('',#6229,.T.); #2518=FACE_BOUND('',#6231,.T.); #2519=FACE_BOUND('',#6233,.T.); #2520=FACE_BOUND('',#6235,.T.); #2521=FACE_BOUND('',#6237,.T.); #2522=FACE_BOUND('',#6239,.T.); #2523=FACE_BOUND('',#6241,.T.); #2524=FACE_BOUND('',#6243,.T.); #2525=FACE_BOUND('',#6245,.T.); #2526=FACE_BOUND('',#6247,.T.); #2527=FACE_BOUND('',#6249,.T.); #2528=FACE_BOUND('',#6251,.T.); #2529=FACE_BOUND('',#6253,.T.); #2530=FACE_BOUND('',#6255,.T.); #2531=FACE_BOUND('',#6257,.T.); #2532=FACE_BOUND('',#6259,.T.); #2533=FACE_BOUND('',#6261,.T.); #2534=FACE_BOUND('',#6263,.T.); #2535=FACE_BOUND('',#6265,.T.); #2536=FACE_BOUND('',#6267,.T.); #2537=FACE_BOUND('',#6269,.T.); #2538=FACE_BOUND('',#6271,.T.); #2539=FACE_BOUND('',#6273,.T.); #2540=FACE_BOUND('',#6275,.T.); #2541=FACE_BOUND('',#6277,.T.); #2542=FACE_BOUND('',#6279,.T.); #2543=FACE_BOUND('',#6281,.T.); #2544=FACE_BOUND('',#6283,.T.); #2545=FACE_BOUND('',#6285,.T.); #2546=FACE_BOUND('',#6287,.T.); #2547=FACE_BOUND('',#6289,.T.); #2548=FACE_BOUND('',#6291,.T.); #2549=FACE_BOUND('',#6293,.T.); #2550=FACE_BOUND('',#6295,.T.); #2551=FACE_BOUND('',#6297,.T.); #2552=FACE_BOUND('',#6299,.T.); #2553=FACE_BOUND('',#6301,.T.); #2554=FACE_BOUND('',#6303,.T.); #2555=FACE_BOUND('',#6305,.T.); #2556=FACE_BOUND('',#6307,.T.); #2557=FACE_BOUND('',#6309,.T.); #2558=FACE_BOUND('',#6311,.T.); #2559=FACE_BOUND('',#6313,.T.); #2560=FACE_BOUND('',#6315,.T.); #2561=FACE_BOUND('',#6317,.T.); #2562=FACE_BOUND('',#6319,.T.); #2563=FACE_BOUND('',#6321,.T.); #2564=FACE_BOUND('',#6323,.T.); #2565=FACE_BOUND('',#6325,.T.); #2566=FACE_BOUND('',#6327,.T.); #2567=FACE_BOUND('',#6329,.T.); #2568=FACE_BOUND('',#6331,.T.); #2569=FACE_BOUND('',#6333,.T.); #2570=FACE_BOUND('',#6335,.T.); #2571=FACE_BOUND('',#6337,.T.); #2572=FACE_BOUND('',#6339,.T.); #2573=FACE_BOUND('',#6341,.T.); #2574=FACE_BOUND('',#6343,.T.); #2575=FACE_BOUND('',#6345,.T.); #2576=FACE_BOUND('',#6347,.T.); #2577=FACE_BOUND('',#6349,.T.); #2578=FACE_BOUND('',#6351,.T.); #2579=FACE_BOUND('',#6353,.T.); #2580=FACE_BOUND('',#6355,.T.); #2581=FACE_BOUND('',#6357,.T.); #2582=FACE_BOUND('',#6360,.T.); #2583=FACE_BOUND('',#6363,.T.); #2584=FACE_BOUND('',#6366,.T.); #2585=FACE_BOUND('',#6369,.T.); #2586=FACE_BOUND('',#6372,.T.); #2587=FACE_BOUND('',#6375,.T.); #2588=FACE_BOUND('',#6378,.T.); #2589=FACE_BOUND('',#6381,.T.); #2590=FACE_BOUND('',#6384,.T.); #2591=FACE_BOUND('',#6387,.T.); #2592=FACE_BOUND('',#6390,.T.); #2593=FACE_BOUND('',#6393,.T.); #2594=FACE_BOUND('',#6396,.T.); #2595=FACE_BOUND('',#6399,.T.); #2596=FACE_BOUND('',#6402,.T.); #2597=FACE_BOUND('',#6405,.T.); #2598=FACE_BOUND('',#6408,.T.); #2599=FACE_BOUND('',#6411,.T.); #2600=FACE_BOUND('',#6414,.T.); #2601=FACE_BOUND('',#6417,.T.); #2602=FACE_BOUND('',#6420,.T.); #2603=FACE_BOUND('',#6422,.T.); #2604=FACE_BOUND('',#6424,.T.); #2605=FACE_BOUND('',#6426,.T.); #2606=FACE_BOUND('',#6428,.T.); #2607=FACE_BOUND('',#6430,.T.); #2608=FACE_BOUND('',#6432,.T.); #2609=FACE_BOUND('',#6434,.T.); #2610=FACE_BOUND('',#6436,.T.); #2611=FACE_BOUND('',#6438,.T.); #2612=FACE_BOUND('',#6440,.T.); #2613=FACE_BOUND('',#6454,.T.); #2614=FACE_BOUND('',#6460,.T.); #2615=FACE_BOUND('',#6462,.T.); #2616=FACE_BOUND('',#6464,.T.); #2617=FACE_BOUND('',#6466,.T.); #2618=FACE_BOUND('',#6468,.T.); #2619=FACE_BOUND('',#6470,.T.); #2620=FACE_BOUND('',#6472,.T.); #2621=FACE_BOUND('',#6474,.T.); #2622=FACE_BOUND('',#6476,.T.); #2623=FACE_BOUND('',#6478,.T.); #2624=FACE_BOUND('',#6480,.T.); #2625=FACE_BOUND('',#6482,.T.); #2626=FACE_BOUND('',#6484,.T.); #2627=FACE_BOUND('',#6486,.T.); #2628=FACE_BOUND('',#6488,.T.); #2629=FACE_BOUND('',#6490,.T.); #2630=FACE_BOUND('',#6492,.T.); #2631=FACE_BOUND('',#6494,.T.); #2632=FACE_BOUND('',#6496,.T.); #2633=FACE_BOUND('',#6498,.T.); #2634=FACE_BOUND('',#6500,.T.); #2635=FACE_BOUND('',#6502,.T.); #2636=FACE_BOUND('',#6504,.T.); #2637=FACE_BOUND('',#6506,.T.); #2638=FACE_BOUND('',#6508,.T.); #2639=FACE_BOUND('',#6510,.T.); #2640=FACE_BOUND('',#6512,.T.); #2641=FACE_BOUND('',#6514,.T.); #2642=FACE_BOUND('',#6516,.T.); #2643=FACE_BOUND('',#6518,.T.); #2644=FACE_BOUND('',#6520,.T.); #2645=FACE_BOUND('',#6522,.T.); #2646=FACE_BOUND('',#6524,.T.); #2647=FACE_BOUND('',#6526,.T.); #2648=FACE_BOUND('',#6528,.T.); #2649=FACE_BOUND('',#6530,.T.); #2650=FACE_BOUND('',#6532,.T.); #2651=FACE_BOUND('',#6534,.T.); #2652=FACE_BOUND('',#6536,.T.); #2653=FACE_BOUND('',#6538,.T.); #2654=FACE_BOUND('',#6540,.T.); #2655=FACE_BOUND('',#6542,.T.); #2656=FACE_BOUND('',#6544,.T.); #2657=FACE_BOUND('',#6546,.T.); #2658=FACE_BOUND('',#6548,.T.); #2659=FACE_BOUND('',#6550,.T.); #2660=FACE_BOUND('',#6552,.T.); #2661=FACE_BOUND('',#6554,.T.); #2662=FACE_BOUND('',#6556,.T.); #2663=FACE_BOUND('',#6558,.T.); #2664=FACE_BOUND('',#6560,.T.); #2665=FACE_BOUND('',#6562,.T.); #2666=FACE_BOUND('',#6564,.T.); #2667=FACE_BOUND('',#6566,.T.); #2668=FACE_BOUND('',#6568,.T.); #2669=FACE_BOUND('',#6584,.T.); #2670=FACE_BOUND('',#6589,.T.); #2671=FACE_BOUND('',#6592,.T.); #2672=FACE_BOUND('',#6595,.T.); #2673=FACE_BOUND('',#6598,.T.); #2674=FACE_BOUND('',#6601,.T.); #2675=FACE_BOUND('',#6604,.T.); #2676=FACE_BOUND('',#6607,.T.); #2677=FACE_BOUND('',#6610,.T.); #2678=FACE_BOUND('',#6613,.T.); #2679=FACE_BOUND('',#6616,.T.); #2680=FACE_BOUND('',#6619,.T.); #2681=FACE_BOUND('',#6622,.T.); #2682=FACE_BOUND('',#6625,.T.); #2683=FACE_BOUND('',#6628,.T.); #2684=FACE_BOUND('',#6631,.T.); #2685=FACE_BOUND('',#6634,.T.); #2686=FACE_BOUND('',#6637,.T.); #2687=FACE_BOUND('',#6640,.T.); #2688=FACE_BOUND('',#6643,.T.); #2689=FACE_BOUND('',#6646,.T.); #2690=FACE_BOUND('',#6649,.T.); #2691=FACE_BOUND('',#6652,.T.); #2692=FACE_BOUND('',#6655,.T.); #2693=FACE_BOUND('',#6658,.T.); #2694=FACE_BOUND('',#6661,.T.); #2695=FACE_BOUND('',#6664,.T.); #2696=FACE_BOUND('',#6667,.T.); #2697=FACE_BOUND('',#6670,.T.); #2698=FACE_BOUND('',#6673,.T.); #2699=FACE_BOUND('',#6676,.T.); #2700=FACE_BOUND('',#6679,.T.); #2701=FACE_BOUND('',#6682,.T.); #2702=FACE_BOUND('',#6685,.T.); #2703=FACE_BOUND('',#6688,.T.); #2704=FACE_BOUND('',#6691,.T.); #2705=FACE_BOUND('',#6693,.T.); #2706=FACE_BOUND('',#6694,.T.); #2707=FACE_BOUND('',#6695,.T.); #2708=FACE_BOUND('',#6696,.T.); #2709=FACE_BOUND('',#6697,.T.); #2710=FACE_BOUND('',#6698,.T.); #2711=FACE_BOUND('',#6699,.T.); #2712=FACE_BOUND('',#6701,.T.); #2713=FACE_BOUND('',#6702,.T.); #2714=FACE_BOUND('',#6703,.T.); #2715=FACE_BOUND('',#6704,.T.); #2716=FACE_BOUND('',#6705,.T.); #2717=FACE_BOUND('',#6706,.T.); #2718=FACE_BOUND('',#6707,.T.); #2719=FACE_BOUND('',#6708,.T.); #2720=FACE_BOUND('',#6709,.T.); #2721=FACE_BOUND('',#6710,.T.); #2722=FACE_BOUND('',#6711,.T.); #2723=FACE_BOUND('',#6712,.T.); #2724=FACE_BOUND('',#6713,.T.); #2725=FACE_BOUND('',#6714,.T.); #2726=FACE_BOUND('',#6715,.T.); #2727=FACE_BOUND('',#6716,.T.); #2728=FACE_BOUND('',#6717,.T.); #2729=FACE_BOUND('',#6718,.T.); #2730=FACE_BOUND('',#6719,.T.); #2731=FACE_BOUND('',#6720,.T.); #2732=FACE_BOUND('',#6721,.T.); #2733=FACE_BOUND('',#6722,.T.); #2734=FACE_BOUND('',#6723,.T.); #2735=FACE_BOUND('',#6724,.T.); #2736=FACE_BOUND('',#6725,.T.); #2737=FACE_BOUND('',#6726,.T.); #2738=FACE_BOUND('',#6727,.T.); #2739=FACE_BOUND('',#6728,.T.); #2740=FACE_BOUND('',#6729,.T.); #2741=FACE_BOUND('',#6730,.T.); #2742=FACE_BOUND('',#6731,.T.); #2743=FACE_BOUND('',#6732,.T.); #2744=FACE_BOUND('',#6733,.T.); #2745=FACE_BOUND('',#6734,.T.); #2746=FACE_BOUND('',#6735,.T.); #2747=FACE_BOUND('',#6736,.T.); #2748=FACE_BOUND('',#6737,.T.); #2749=FACE_BOUND('',#6738,.T.); #2750=FACE_BOUND('',#6739,.T.); #2751=FACE_BOUND('',#6740,.T.); #2752=FACE_BOUND('',#6741,.T.); #2753=FACE_BOUND('',#6742,.T.); #2754=FACE_BOUND('',#6743,.T.); #2755=FACE_BOUND('',#6744,.T.); #2756=FACE_BOUND('',#6745,.T.); #2757=FACE_BOUND('',#6746,.T.); #2758=FACE_BOUND('',#6747,.T.); #2759=FACE_BOUND('',#6748,.T.); #2760=FACE_BOUND('',#6749,.T.); #2761=FACE_BOUND('',#6750,.T.); #2762=FACE_BOUND('',#6751,.T.); #2763=FACE_BOUND('',#6752,.T.); #2764=FACE_BOUND('',#6753,.T.); #2765=FACE_BOUND('',#6754,.T.); #2766=FACE_BOUND('',#6755,.T.); #2767=FACE_BOUND('',#6756,.T.); #2768=FACE_BOUND('',#6757,.T.); #2769=FACE_BOUND('',#6758,.T.); #2770=FACE_BOUND('',#6759,.T.); #2771=FACE_BOUND('',#6760,.T.); #2772=FACE_BOUND('',#6761,.T.); #2773=FACE_BOUND('',#6762,.T.); #2774=FACE_BOUND('',#6763,.T.); #2775=FACE_BOUND('',#6764,.T.); #2776=FACE_BOUND('',#6765,.T.); #2777=FACE_BOUND('',#6766,.T.); #2778=FACE_BOUND('',#6767,.T.); #2779=FACE_BOUND('',#6768,.T.); #2780=FACE_BOUND('',#6769,.T.); #2781=FACE_BOUND('',#6770,.T.); #2782=FACE_BOUND('',#6771,.T.); #2783=FACE_BOUND('',#6772,.T.); #2784=FACE_BOUND('',#6773,.T.); #2785=FACE_BOUND('',#6774,.T.); #2786=FACE_BOUND('',#6775,.T.); #2787=FACE_BOUND('',#6776,.T.); #2788=FACE_BOUND('',#6777,.T.); #2789=FACE_BOUND('',#6778,.T.); #2790=FACE_BOUND('',#6779,.T.); #2791=FACE_BOUND('',#6780,.T.); #2792=FACE_BOUND('',#6781,.T.); #2793=FACE_BOUND('',#6782,.T.); #2794=FACE_BOUND('',#6783,.T.); #2795=FACE_BOUND('',#6784,.T.); #2796=FACE_BOUND('',#6785,.T.); #2797=FACE_BOUND('',#6786,.T.); #2798=FACE_BOUND('',#6787,.T.); #2799=FACE_BOUND('',#6788,.T.); #2800=FACE_BOUND('',#6789,.T.); #2801=FACE_BOUND('',#6790,.T.); #2802=FACE_BOUND('',#6791,.T.); #2803=FACE_BOUND('',#6792,.T.); #2804=FACE_BOUND('',#6793,.T.); #2805=FACE_BOUND('',#6794,.T.); #2806=FACE_BOUND('',#6795,.T.); #2807=FACE_BOUND('',#6796,.T.); #2808=FACE_BOUND('',#6797,.T.); #2809=FACE_BOUND('',#6798,.T.); #2810=FACE_BOUND('',#6799,.T.); #2811=FACE_BOUND('',#6800,.T.); #2812=FACE_BOUND('',#6801,.T.); #2813=FACE_BOUND('',#6802,.T.); #2814=FACE_BOUND('',#6804,.T.); #2815=FACE_BOUND('',#6805,.T.); #2816=FACE_BOUND('',#6806,.T.); #2817=FACE_BOUND('',#6807,.T.); #2818=FACE_BOUND('',#6808,.T.); #2819=FACE_BOUND('',#6809,.T.); #2820=FACE_BOUND('',#6810,.T.); #2821=FACE_BOUND('',#6812,.T.); #2822=FACE_BOUND('',#6813,.T.); #2823=FACE_BOUND('',#6814,.T.); #2824=FACE_BOUND('',#6815,.T.); #2825=FACE_BOUND('',#6816,.T.); #2826=FACE_BOUND('',#6817,.T.); #2827=FACE_BOUND('',#6818,.T.); #2828=FACE_BOUND('',#6821,.T.); #2829=FACE_BOUND('',#6822,.T.); #2830=FACE_BOUND('',#6823,.T.); #2831=FACE_BOUND('',#6824,.T.); #2832=FACE_BOUND('',#6825,.T.); #2833=FACE_BOUND('',#6826,.T.); #2834=FACE_BOUND('',#6827,.T.); #2835=FACE_BOUND('',#6828,.T.); #2836=FACE_BOUND('',#6829,.T.); #2837=FACE_BOUND('',#6830,.T.); #2838=FACE_BOUND('',#6831,.T.); #2839=FACE_BOUND('',#6832,.T.); #2840=FACE_BOUND('',#6833,.T.); #2841=FACE_BOUND('',#6834,.T.); #2842=FACE_BOUND('',#6835,.T.); #2843=FACE_BOUND('',#6836,.T.); #2844=FACE_BOUND('',#6837,.T.); #2845=FACE_BOUND('',#6838,.T.); #2846=FACE_BOUND('',#6839,.T.); #2847=FACE_BOUND('',#6840,.T.); #2848=FACE_BOUND('',#6841,.T.); #2849=FACE_BOUND('',#6842,.T.); #2850=FACE_BOUND('',#6843,.T.); #2851=FACE_BOUND('',#6844,.T.); #2852=FACE_BOUND('',#6845,.T.); #2853=FACE_BOUND('',#6846,.T.); #2854=FACE_BOUND('',#6847,.T.); #2855=FACE_BOUND('',#6848,.T.); #2856=FACE_BOUND('',#6849,.T.); #2857=FACE_BOUND('',#6850,.T.); #2858=FACE_BOUND('',#6851,.T.); #2859=FACE_BOUND('',#6852,.T.); #2860=FACE_BOUND('',#6853,.T.); #2861=FACE_BOUND('',#6854,.T.); #2862=FACE_BOUND('',#6855,.T.); #2863=FACE_BOUND('',#6856,.T.); #2864=FACE_BOUND('',#6857,.T.); #2865=FACE_BOUND('',#6858,.T.); #2866=FACE_BOUND('',#6859,.T.); #2867=FACE_BOUND('',#6860,.T.); #2868=FACE_BOUND('',#6861,.T.); #2869=FACE_BOUND('',#6862,.T.); #2870=FACE_BOUND('',#6863,.T.); #2871=FACE_BOUND('',#6864,.T.); #2872=FACE_BOUND('',#6865,.T.); #2873=FACE_BOUND('',#6866,.T.); #2874=FACE_BOUND('',#6867,.T.); #2875=FACE_BOUND('',#6868,.T.); #2876=FACE_BOUND('',#6869,.T.); #2877=FACE_BOUND('',#6870,.T.); #2878=FACE_BOUND('',#6871,.T.); #2879=FACE_BOUND('',#6872,.T.); #2880=FACE_BOUND('',#6873,.T.); #2881=FACE_BOUND('',#6874,.T.); #2882=FACE_BOUND('',#6875,.T.); #2883=FACE_BOUND('',#6876,.T.); #2884=FACE_BOUND('',#6877,.T.); #2885=FACE_BOUND('',#6878,.T.); #2886=FACE_BOUND('',#6879,.T.); #2887=FACE_BOUND('',#6880,.T.); #2888=FACE_BOUND('',#6881,.T.); #2889=FACE_BOUND('',#6882,.T.); #2890=FACE_BOUND('',#6883,.T.); #2891=FACE_BOUND('',#6884,.T.); #2892=FACE_BOUND('',#6885,.T.); #2893=FACE_BOUND('',#6886,.T.); #2894=FACE_BOUND('',#6887,.T.); #2895=FACE_BOUND('',#6888,.T.); #2896=FACE_BOUND('',#6889,.T.); #2897=FACE_BOUND('',#6890,.T.); #2898=FACE_BOUND('',#6891,.T.); #2899=FACE_BOUND('',#6892,.T.); #2900=FACE_BOUND('',#6893,.T.); #2901=FACE_BOUND('',#6894,.T.); #2902=FACE_BOUND('',#6895,.T.); #2903=FACE_BOUND('',#6896,.T.); #2904=FACE_BOUND('',#6897,.T.); #2905=FACE_BOUND('',#6898,.T.); #2906=FACE_BOUND('',#6899,.T.); #2907=FACE_BOUND('',#6900,.T.); #2908=FACE_BOUND('',#6901,.T.); #2909=FACE_BOUND('',#6902,.T.); #2910=FACE_BOUND('',#6903,.T.); #2911=FACE_BOUND('',#6904,.T.); #2912=FACE_BOUND('',#6905,.T.); #2913=FACE_BOUND('',#6906,.T.); #2914=FACE_BOUND('',#6907,.T.); #2915=FACE_BOUND('',#6908,.T.); #2916=FACE_BOUND('',#6909,.T.); #2917=FACE_BOUND('',#6910,.T.); #2918=FACE_BOUND('',#6911,.T.); #2919=FACE_BOUND('',#6912,.T.); #2920=FACE_BOUND('',#6913,.T.); #2921=FACE_BOUND('',#6914,.T.); #2922=FACE_BOUND('',#6915,.T.); #2923=FACE_BOUND('',#6916,.T.); #2924=FACE_BOUND('',#6917,.T.); #2925=FACE_BOUND('',#6918,.T.); #2926=FACE_BOUND('',#6919,.T.); #2927=FACE_BOUND('',#6920,.T.); #2928=FACE_BOUND('',#6921,.T.); #2929=FACE_BOUND('',#6922,.T.); #2930=FACE_BOUND('',#6923,.T.); #2931=FACE_BOUND('',#6924,.T.); #2932=FACE_BOUND('',#6925,.T.); #2933=FACE_BOUND('',#6926,.T.); #2934=FACE_BOUND('',#6927,.T.); #2935=FACE_BOUND('',#6928,.T.); #2936=FACE_BOUND('',#6929,.T.); #2937=FACE_BOUND('',#6930,.T.); #2938=FACE_BOUND('',#6931,.T.); #2939=FACE_BOUND('',#6932,.T.); #2940=FACE_BOUND('',#6933,.T.); #2941=FACE_BOUND('',#6934,.T.); #2942=FACE_BOUND('',#6935,.T.); #2943=FACE_BOUND('',#6936,.T.); #2944=FACE_BOUND('',#6937,.T.); #2945=FACE_BOUND('',#6938,.T.); #2946=FACE_BOUND('',#6939,.T.); #2947=FACE_BOUND('',#6940,.T.); #2948=FACE_BOUND('',#6941,.T.); #2949=FACE_BOUND('',#6942,.T.); #2950=FACE_BOUND('',#6943,.T.); #2951=FACE_BOUND('',#6944,.T.); #2952=FACE_BOUND('',#6945,.T.); #2953=FACE_BOUND('',#6946,.T.); #2954=FACE_BOUND('',#6947,.T.); #2955=FACE_BOUND('',#6948,.T.); #2956=FACE_BOUND('',#6949,.T.); #2957=FACE_BOUND('',#6950,.T.); #2958=FACE_BOUND('',#6951,.T.); #2959=FACE_BOUND('',#6952,.T.); #2960=FACE_BOUND('',#6953,.T.); #2961=FACE_BOUND('',#6954,.T.); #2962=FACE_BOUND('',#6955,.T.); #2963=FACE_BOUND('',#6956,.T.); #2964=FACE_BOUND('',#6957,.T.); #2965=FACE_BOUND('',#6962,.T.); #2966=FACE_BOUND('',#6965,.T.); #2967=FACE_BOUND('',#6968,.T.); #2968=FACE_BOUND('',#6971,.T.); #2969=FACE_BOUND('',#6974,.T.); #2970=FACE_BOUND('',#6977,.T.); #2971=FACE_BOUND('',#6980,.T.); #2972=FACE_BOUND('',#6982,.T.); #2973=FACE_BOUND('',#6984,.T.); #2974=FACE_BOUND('',#6986,.T.); #2975=FACE_BOUND('',#6988,.T.); #2976=FACE_BOUND('',#6990,.T.); #2977=FACE_BOUND('',#6992,.T.); #2978=FACE_BOUND('',#6994,.T.); #2979=FACE_BOUND('',#6996,.T.); #2980=FACE_BOUND('',#6998,.T.); #2981=FACE_BOUND('',#7000,.T.); #2982=FACE_BOUND('',#7002,.T.); #2983=FACE_BOUND('',#7004,.T.); #2984=FACE_BOUND('',#7006,.T.); #2985=FACE_BOUND('',#7008,.T.); #2986=FACE_BOUND('',#7010,.T.); #2987=FACE_BOUND('',#7012,.T.); #2988=FACE_BOUND('',#7016,.T.); #2989=FACE_BOUND('',#7017,.T.); #2990=FACE_BOUND('',#7018,.T.); #2991=FACE_BOUND('',#7019,.T.); #2992=FACE_BOUND('',#7020,.T.); #2993=FACE_BOUND('',#7021,.T.); #2994=FACE_BOUND('',#7022,.T.); #2995=FACE_BOUND('',#7023,.T.); #2996=FACE_BOUND('',#7026,.T.); #2997=FACE_BOUND('',#7027,.T.); #2998=FACE_BOUND('',#7028,.T.); #2999=FACE_BOUND('',#7029,.T.); #3000=FACE_BOUND('',#7030,.T.); #3001=FACE_BOUND('',#7031,.T.); #3002=FACE_BOUND('',#7032,.T.); #3003=FACE_BOUND('',#7033,.T.); #3004=FACE_BOUND('',#7036,.T.); #3005=FACE_BOUND('',#7037,.T.); #3006=FACE_BOUND('',#7038,.T.); #3007=FACE_BOUND('',#7039,.T.); #3008=FACE_BOUND('',#7040,.T.); #3009=FACE_BOUND('',#7041,.T.); #3010=FACE_BOUND('',#7042,.T.); #3011=FACE_BOUND('',#7045,.T.); #3012=FACE_BOUND('',#7046,.T.); #3013=FACE_BOUND('',#7047,.T.); #3014=FACE_BOUND('',#7048,.T.); #3015=FACE_BOUND('',#7049,.T.); #3016=FACE_BOUND('',#7050,.T.); #3017=FACE_BOUND('',#7051,.T.); #3018=FACE_BOUND('',#7052,.T.); #3019=FACE_BOUND('',#7055,.T.); #3020=FACE_BOUND('',#7056,.T.); #3021=FACE_BOUND('',#7057,.T.); #3022=FACE_BOUND('',#7058,.T.); #3023=FACE_BOUND('',#7059,.T.); #3024=FACE_BOUND('',#7060,.T.); #3025=FACE_BOUND('',#7061,.T.); #3026=FACE_BOUND('',#7062,.T.); #3027=FACE_BOUND('',#7066,.T.); #3028=FACE_BOUND('',#7069,.T.); #3029=FACE_BOUND('',#7072,.T.); #3030=FACE_BOUND('',#7075,.T.); #3031=FACE_BOUND('',#7078,.T.); #3032=FACE_BOUND('',#7080,.T.); #3033=FACE_BOUND('',#7082,.T.); #3034=FACE_BOUND('',#7084,.T.); #3035=FACE_BOUND('',#7086,.T.); #3036=FACE_BOUND('',#7088,.T.); #3037=FACE_BOUND('',#7090,.T.); #3038=FACE_BOUND('',#7092,.T.); #3039=FACE_BOUND('',#7094,.T.); #3040=FACE_BOUND('',#7096,.T.); #3041=FACE_BOUND('',#7098,.T.); #3042=FACE_BOUND('',#7100,.T.); #3043=FACE_BOUND('',#7102,.T.); #3044=FACE_BOUND('',#7104,.T.); #3045=FACE_BOUND('',#7106,.T.); #3046=FACE_BOUND('',#7108,.T.); #3047=FACE_BOUND('',#7110,.T.); #3048=FACE_BOUND('',#7112,.T.); #3049=FACE_BOUND('',#7115,.T.); #3050=FACE_BOUND('',#7116,.T.); #3051=FACE_BOUND('',#7117,.T.); #3052=FACE_BOUND('',#7118,.T.); #3053=FACE_BOUND('',#7119,.T.); #3054=FACE_BOUND('',#7120,.T.); #3055=FACE_BOUND('',#7121,.T.); #3056=FACE_BOUND('',#7122,.T.); #3057=FACE_BOUND('',#7125,.T.); #3058=FACE_BOUND('',#7126,.T.); #3059=FACE_BOUND('',#7127,.T.); #3060=FACE_BOUND('',#7128,.T.); #3061=FACE_BOUND('',#7129,.T.); #3062=FACE_BOUND('',#7130,.T.); #3063=FACE_BOUND('',#7131,.T.); #3064=FACE_BOUND('',#7132,.T.); #3065=FACE_BOUND('',#7133,.T.); #3066=FACE_BOUND('',#7134,.T.); #3067=FACE_BOUND('',#7135,.T.); #3068=FACE_BOUND('',#7136,.T.); #3069=FACE_BOUND('',#7137,.T.); #3070=FACE_BOUND('',#7138,.T.); #3071=FACE_BOUND('',#7139,.T.); #3072=FACE_BOUND('',#7140,.T.); #3073=FACE_BOUND('',#7141,.T.); #3074=FACE_BOUND('',#7143,.T.); #3075=FACE_BOUND('',#7144,.T.); #3076=FACE_BOUND('',#7145,.T.); #3077=FACE_BOUND('',#7146,.T.); #3078=FACE_BOUND('',#7147,.T.); #3079=FACE_BOUND('',#7151,.T.); #3080=FACE_BOUND('',#7152,.T.); #3081=FACE_BOUND('',#7153,.T.); #3082=FACE_BOUND('',#7154,.T.); #3083=FACE_BOUND('',#7155,.T.); #3084=FACE_BOUND('',#7156,.T.); #3085=FACE_BOUND('',#7157,.T.); #3086=FACE_BOUND('',#7158,.T.); #3087=FACE_BOUND('',#7159,.T.); #3088=FACE_BOUND('',#7162,.T.); #3089=FACE_BOUND('',#7165,.T.); #3090=FACE_BOUND('',#7168,.T.); #3091=FACE_BOUND('',#7171,.T.); #3092=FACE_BOUND('',#7174,.T.); #3093=FACE_BOUND('',#7176,.T.); #3094=FACE_BOUND('',#7178,.T.); #3095=FACE_BOUND('',#7180,.T.); #3096=FACE_BOUND('',#7182,.T.); #3097=FACE_BOUND('',#7184,.T.); #3098=FACE_BOUND('',#7186,.T.); #3099=FACE_BOUND('',#7188,.T.); #3100=FACE_BOUND('',#7190,.T.); #3101=FACE_BOUND('',#7192,.T.); #3102=FACE_BOUND('',#7194,.T.); #3103=FACE_BOUND('',#7196,.T.); #3104=FACE_BOUND('',#7198,.T.); #3105=FACE_BOUND('',#7200,.T.); #3106=FACE_BOUND('',#7202,.T.); #3107=FACE_BOUND('',#7204,.T.); #3108=FACE_BOUND('',#7206,.T.); #3109=FACE_BOUND('',#7208,.T.); #3110=FACE_BOUND('',#7210,.T.); #3111=FACE_BOUND('',#7211,.T.); #3112=FACE_BOUND('',#7212,.T.); #3113=FACE_BOUND('',#7213,.T.); #3114=FACE_BOUND('',#7214,.T.); #3115=FACE_BOUND('',#7215,.T.); #3116=FACE_BOUND('',#7216,.T.); #3117=FACE_BOUND('',#7217,.T.); #3118=FACE_BOUND('',#7218,.T.); #3119=FACE_BOUND('',#7219,.T.); #3120=FACE_BOUND('',#7220,.T.); #3121=FACE_BOUND('',#7221,.T.); #3122=FACE_BOUND('',#7222,.T.); #3123=FACE_BOUND('',#7223,.T.); #3124=FACE_BOUND('',#7224,.T.); #3125=FACE_BOUND('',#7225,.T.); #3126=FACE_BOUND('',#7226,.T.); #3127=FACE_BOUND('',#7228,.T.); #3128=FACE_BOUND('',#7229,.T.); #3129=FACE_BOUND('',#7230,.T.); #3130=FACE_BOUND('',#7231,.T.); #3131=FACE_BOUND('',#7232,.T.); #3132=FACE_BOUND('',#7236,.T.); #3133=FACE_BOUND('',#7237,.T.); #3134=FACE_BOUND('',#7238,.T.); #3135=FACE_BOUND('',#7239,.T.); #3136=FACE_BOUND('',#7240,.T.); #3137=FACE_BOUND('',#7241,.T.); #3138=FACE_BOUND('',#7242,.T.); #3139=FACE_BOUND('',#7243,.T.); #3140=FACE_BOUND('',#7246,.T.); #3141=FACE_BOUND('',#7247,.T.); #3142=FACE_BOUND('',#7248,.T.); #3143=FACE_BOUND('',#7249,.T.); #3144=FACE_BOUND('',#7250,.T.); #3145=FACE_BOUND('',#7251,.T.); #3146=FACE_BOUND('',#7252,.T.); #3147=FACE_BOUND('',#7253,.T.); #3148=FACE_BOUND('',#7254,.T.); #3149=FACE_BOUND('',#7258,.T.); #3150=FACE_BOUND('',#7261,.T.); #3151=FACE_BOUND('',#7264,.T.); #3152=FACE_BOUND('',#7267,.T.); #3153=FACE_BOUND('',#7270,.T.); #3154=FACE_BOUND('',#7273,.T.); #3155=FACE_BOUND('',#7276,.T.); #3156=FACE_BOUND('',#7279,.T.); #3157=FACE_BOUND('',#7282,.T.); #3158=FACE_BOUND('',#7285,.T.); #3159=FACE_BOUND('',#7288,.T.); #3160=FACE_BOUND('',#7290,.T.); #3161=FACE_BOUND('',#7292,.T.); #3162=FACE_BOUND('',#7294,.T.); #3163=FACE_BOUND('',#7297,.T.); #3164=FACE_BOUND('',#7300,.T.); #3165=FACE_BOUND('',#7303,.T.); #3166=FACE_BOUND('',#7306,.T.); #3167=FACE_BOUND('',#7330,.T.); #3168=FACE_BOUND('',#7333,.T.); #3169=FACE_BOUND('',#7336,.T.); #3170=FACE_BOUND('',#7339,.T.); #3171=FACE_BOUND('',#7343,.T.); #3172=FACE_BOUND('',#7346,.T.); #3173=FACE_BOUND('',#7349,.T.); #3174=FACE_BOUND('',#7352,.T.); #3175=FACE_BOUND('',#7355,.T.); #3176=FACE_BOUND('',#7358,.T.); #3177=FACE_BOUND('',#7361,.T.); #3178=FACE_BOUND('',#7364,.T.); #3179=FACE_BOUND('',#7367,.T.); #3180=FACE_BOUND('',#7370,.T.); #3181=FACE_BOUND('',#7373,.T.); #3182=FACE_BOUND('',#7376,.T.); #3183=FACE_BOUND('',#7379,.T.); #3184=FACE_BOUND('',#7382,.T.); #3185=FACE_BOUND('',#7385,.T.); #3186=FACE_BOUND('',#7388,.T.); #3187=FACE_BOUND('',#7391,.T.); #3188=FACE_BOUND('',#7394,.T.); #3189=FACE_BOUND('',#7397,.T.); #3190=FACE_BOUND('',#7400,.T.); #3191=FACE_BOUND('',#7403,.T.); #3192=FACE_BOUND('',#7406,.T.); #3193=FACE_BOUND('',#7409,.T.); #3194=FACE_BOUND('',#7412,.T.); #3195=FACE_BOUND('',#7415,.T.); #3196=FACE_BOUND('',#7418,.T.); #3197=FACE_BOUND('',#7421,.T.); #3198=FACE_BOUND('',#7424,.T.); #3199=FACE_BOUND('',#7427,.T.); #3200=FACE_BOUND('',#7430,.T.); #3201=FACE_BOUND('',#7433,.T.); #3202=FACE_BOUND('',#7436,.T.); #3203=FACE_BOUND('',#7439,.T.); #3204=FACE_BOUND('',#7442,.T.); #3205=FACE_BOUND('',#7445,.T.); #3206=FACE_BOUND('',#7448,.T.); #3207=FACE_BOUND('',#7451,.T.); #3208=FACE_BOUND('',#7454,.T.); #3209=FACE_BOUND('',#7457,.T.); #3210=FACE_BOUND('',#7460,.T.); #3211=FACE_BOUND('',#7463,.T.); #3212=FACE_BOUND('',#7466,.T.); #3213=FACE_BOUND('',#7469,.T.); #3214=FACE_BOUND('',#7472,.T.); #3215=FACE_BOUND('',#7475,.T.); #3216=FACE_BOUND('',#7478,.T.); #3217=FACE_BOUND('',#7481,.T.); #3218=FACE_BOUND('',#7483,.T.); #3219=FACE_BOUND('',#7484,.T.); #3220=FACE_BOUND('',#7485,.T.); #3221=FACE_BOUND('',#7486,.T.); #3222=FACE_BOUND('',#7487,.T.); #3223=FACE_BOUND('',#7488,.T.); #3224=FACE_BOUND('',#7490,.T.); #3225=FACE_BOUND('',#7491,.T.); #3226=FACE_BOUND('',#7492,.T.); #3227=FACE_BOUND('',#7493,.T.); #3228=FACE_BOUND('',#7494,.T.); #3229=FACE_BOUND('',#7495,.T.); #3230=FACE_BOUND('',#7496,.T.); #3231=FACE_BOUND('',#7497,.T.); #3232=FACE_BOUND('',#7498,.T.); #3233=FACE_BOUND('',#7499,.T.); #3234=FACE_BOUND('',#7500,.T.); #3235=FACE_BOUND('',#7501,.T.); #3236=FACE_BOUND('',#7502,.T.); #3237=FACE_BOUND('',#7503,.T.); #3238=FACE_BOUND('',#7504,.T.); #3239=FACE_BOUND('',#7505,.T.); #3240=FACE_BOUND('',#7506,.T.); #3241=FACE_BOUND('',#7507,.T.); #3242=FACE_BOUND('',#7508,.T.); #3243=FACE_BOUND('',#7509,.T.); #3244=FACE_BOUND('',#7510,.T.); #3245=FACE_BOUND('',#7511,.T.); #3246=FACE_BOUND('',#7512,.T.); #3247=FACE_BOUND('',#7513,.T.); #3248=FACE_BOUND('',#7514,.T.); #3249=FACE_BOUND('',#7515,.T.); #3250=FACE_BOUND('',#7516,.T.); #3251=FACE_BOUND('',#7517,.T.); #3252=FACE_BOUND('',#7518,.T.); #3253=FACE_BOUND('',#7519,.T.); #3254=FACE_BOUND('',#7520,.T.); #3255=FACE_BOUND('',#7521,.T.); #3256=FACE_BOUND('',#7522,.T.); #3257=FACE_BOUND('',#7523,.T.); #3258=FACE_BOUND('',#7524,.T.); #3259=FACE_BOUND('',#7525,.T.); #3260=FACE_BOUND('',#7526,.T.); #3261=FACE_BOUND('',#7527,.T.); #3262=FACE_BOUND('',#7528,.T.); #3263=FACE_BOUND('',#7529,.T.); #3264=FACE_BOUND('',#7531,.T.); #3265=FACE_BOUND('',#7532,.T.); #3266=FACE_BOUND('',#7533,.T.); #3267=FACE_BOUND('',#7534,.T.); #3268=FACE_BOUND('',#7535,.T.); #3269=FACE_BOUND('',#7536,.T.); #3270=FACE_BOUND('',#7537,.T.); #3271=FACE_BOUND('',#7538,.T.); #3272=FACE_BOUND('',#7539,.T.); #3273=FACE_BOUND('',#7543,.T.); #3274=FACE_BOUND('',#7544,.T.); #3275=FACE_BOUND('',#7545,.T.); #3276=FACE_BOUND('',#7546,.T.); #3277=FACE_BOUND('',#7547,.T.); #3278=FACE_BOUND('',#7548,.T.); #3279=FACE_BOUND('',#7549,.T.); #3280=FACE_BOUND('',#7550,.T.); #3281=FACE_BOUND('',#7551,.T.); #3282=FACE_BOUND('',#7553,.T.); #3283=FACE_BOUND('',#7554,.T.); #3284=FACE_BOUND('',#7555,.T.); #3285=FACE_BOUND('',#7556,.T.); #3286=FACE_BOUND('',#7560,.T.); #3287=FACE_BOUND('',#7570,.T.); #3288=FACE_BOUND('',#7572,.T.); #3289=FACE_BOUND('',#7574,.T.); #3290=FACE_BOUND('',#7577,.T.); #3291=FACE_BOUND('',#7579,.T.); #3292=FACE_BOUND('',#7581,.T.); #3293=FACE_BOUND('',#7583,.T.); #3294=FACE_BOUND('',#7585,.T.); #3295=FACE_BOUND('',#7587,.T.); #3296=FACE_BOUND('',#7589,.T.); #3297=FACE_BOUND('',#7591,.T.); #3298=FACE_BOUND('',#7593,.T.); #3299=FACE_BOUND('',#7595,.T.); #3300=FACE_BOUND('',#7597,.T.); #3301=FACE_BOUND('',#7599,.T.); #3302=FACE_BOUND('',#7600,.T.); #3303=FACE_BOUND('',#7602,.T.); #3304=FACE_BOUND('',#7603,.T.); #3305=FACE_BOUND('',#7605,.T.); #3306=FACE_BOUND('',#7607,.T.); #3307=FACE_BOUND('',#7609,.T.); #3308=FACE_BOUND('',#7611,.T.); #3309=FACE_BOUND('',#7613,.T.); #3310=FACE_BOUND('',#7615,.T.); #3311=FACE_BOUND('',#7617,.T.); #3312=FACE_BOUND('',#7619,.T.); #3313=FACE_BOUND('',#7623,.T.); #3314=FACE_BOUND('',#7624,.T.); #3315=FACE_BOUND('',#7625,.T.); #3316=FACE_BOUND('',#7626,.T.); #3317=FACE_BOUND('',#7627,.T.); #3318=FACE_BOUND('',#7628,.T.); #3319=FACE_BOUND('',#7629,.T.); #3320=FACE_BOUND('',#7630,.T.); #3321=FACE_BOUND('',#7631,.T.); #3322=FACE_BOUND('',#7632,.T.); #3323=FACE_BOUND('',#7633,.T.); #3324=FACE_BOUND('',#7634,.T.); #3325=FACE_BOUND('',#7635,.T.); #3326=FACE_BOUND('',#7636,.T.); #3327=FACE_BOUND('',#7637,.T.); #3328=FACE_BOUND('',#7638,.T.); #3329=FACE_BOUND('',#7639,.T.); #3330=FACE_BOUND('',#7640,.T.); #3331=FACE_BOUND('',#7643,.T.); #3332=FACE_BOUND('',#7644,.T.); #3333=FACE_BOUND('',#7645,.T.); #3334=FACE_BOUND('',#7646,.T.); #3335=FACE_BOUND('',#7648,.T.); #3336=FACE_BOUND('',#7649,.T.); #3337=FACE_BOUND('',#7650,.T.); #3338=FACE_BOUND('',#7651,.T.); #3339=FACE_BOUND('',#7652,.T.); #3340=FACE_BOUND('',#7653,.T.); #3341=FACE_BOUND('',#7654,.T.); #3342=FACE_BOUND('',#7655,.T.); #3343=FACE_BOUND('',#7656,.T.); #3344=FACE_BOUND('',#7657,.T.); #3345=FACE_BOUND('',#7658,.T.); #3346=FACE_BOUND('',#7659,.T.); #3347=FACE_BOUND('',#7660,.T.); #3348=FACE_BOUND('',#7661,.T.); #3349=FACE_BOUND('',#7662,.T.); #3350=FACE_BOUND('',#7663,.T.); #3351=FACE_BOUND('',#7664,.T.); #3352=FACE_BOUND('',#7665,.T.); #3353=FACE_BOUND('',#7668,.T.); #3354=FACE_BOUND('',#7669,.T.); #3355=FACE_BOUND('',#7670,.T.); #3356=FACE_BOUND('',#7671,.T.); #3357=FACE_BOUND('',#7672,.T.); #3358=FACE_BOUND('',#7673,.T.); #3359=FACE_BOUND('',#7674,.T.); #3360=FACE_BOUND('',#7675,.T.); #3361=FACE_BOUND('',#7678,.T.); #3362=FACE_BOUND('',#7681,.T.); #3363=FACE_BOUND('',#7684,.T.); #3364=FACE_BOUND('',#7687,.T.); #3365=FACE_BOUND('',#7690,.T.); #3366=FACE_BOUND('',#7693,.T.); #3367=FACE_BOUND('',#7696,.T.); #3368=FACE_BOUND('',#7699,.T.); #3369=FACE_BOUND('',#7701,.T.); #3370=FACE_BOUND('',#7702,.T.); #3371=FACE_BOUND('',#7707,.T.); #3372=FACE_BOUND('',#7710,.T.); #3373=FACE_BOUND('',#7713,.T.); #3374=FACE_BOUND('',#7716,.T.); #3375=FACE_BOUND('',#7719,.T.); #3376=FACE_BOUND('',#7722,.T.); #3377=FACE_BOUND('',#7725,.T.); #3378=FACE_BOUND('',#7728,.T.); #3379=FACE_BOUND('',#7731,.T.); #3380=FACE_BOUND('',#7733,.T.); #3381=FACE_BOUND('',#7736,.T.); #3382=FACE_BOUND('',#7739,.T.); #3383=FACE_BOUND('',#7742,.T.); #3384=FACE_BOUND('',#7745,.T.); #3385=FACE_BOUND('',#7748,.T.); #3386=FACE_BOUND('',#7751,.T.); #3387=FACE_BOUND('',#7754,.T.); #3388=FACE_BOUND('',#7757,.T.); #3389=FACE_BOUND('',#7760,.T.); #3390=FACE_BOUND('',#7763,.T.); #3391=FACE_BOUND('',#7766,.T.); #3392=FACE_BOUND('',#7768,.T.); #3393=FACE_BOUND('',#7771,.T.); #3394=FACE_BOUND('',#7774,.T.); #3395=FACE_BOUND('',#7777,.T.); #3396=FACE_BOUND('',#7780,.T.); #3397=FACE_BOUND('',#7783,.T.); #3398=FACE_BOUND('',#7786,.T.); #3399=FACE_BOUND('',#7789,.T.); #3400=FACE_BOUND('',#7792,.T.); #3401=FACE_BOUND('',#7795,.T.); #3402=FACE_BOUND('',#7798,.T.); #3403=FACE_BOUND('',#7801,.T.); #3404=FACE_BOUND('',#7804,.T.); #3405=FACE_BOUND('',#7807,.T.); #3406=FACE_BOUND('',#7810,.T.); #3407=FACE_BOUND('',#7813,.T.); #3408=FACE_BOUND('',#7816,.T.); #3409=FACE_BOUND('',#7819,.T.); #3410=FACE_BOUND('',#7822,.T.); #3411=FACE_BOUND('',#7825,.T.); #3412=FACE_BOUND('',#7828,.T.); #3413=FACE_BOUND('',#7831,.T.); #3414=FACE_BOUND('',#7834,.T.); #3415=FACE_BOUND('',#7837,.T.); #3416=FACE_BOUND('',#7840,.T.); #3417=FACE_BOUND('',#7843,.T.); #3418=FACE_BOUND('',#7846,.T.); #3419=FACE_BOUND('',#7849,.T.); #3420=FACE_BOUND('',#7852,.T.); #3421=FACE_BOUND('',#7855,.T.); #3422=FACE_BOUND('',#7858,.T.); #3423=FACE_BOUND('',#7861,.T.); #3424=FACE_BOUND('',#7864,.T.); #3425=FACE_BOUND('',#7867,.T.); #3426=FACE_BOUND('',#7870,.T.); #3427=FACE_BOUND('',#7873,.T.); #3428=FACE_BOUND('',#7876,.T.); #3429=FACE_BOUND('',#7879,.T.); #3430=FACE_BOUND('',#7882,.T.); #3431=FACE_BOUND('',#7885,.T.); #3432=FACE_BOUND('',#7888,.T.); #3433=FACE_BOUND('',#7891,.T.); #3434=FACE_BOUND('',#7894,.T.); #3435=FACE_BOUND('',#7897,.T.); #3436=FACE_BOUND('',#7900,.T.); #3437=FACE_BOUND('',#7903,.T.); #3438=FACE_BOUND('',#7906,.T.); #3439=FACE_BOUND('',#7909,.T.); #3440=FACE_BOUND('',#7912,.T.); #3441=FACE_BOUND('',#7915,.T.); #3442=FACE_BOUND('',#7918,.T.); #3443=FACE_BOUND('',#7921,.T.); #3444=FACE_BOUND('',#7924,.T.); #3445=FACE_BOUND('',#7927,.T.); #3446=FACE_BOUND('',#7930,.T.); #3447=FACE_BOUND('',#7933,.T.); #3448=FACE_BOUND('',#7936,.T.); #3449=FACE_BOUND('',#7939,.T.); #3450=FACE_BOUND('',#7942,.T.); #3451=FACE_BOUND('',#7945,.T.); #3452=FACE_BOUND('',#7948,.T.); #3453=FACE_BOUND('',#7951,.T.); #3454=FACE_BOUND('',#7954,.T.); #3455=FACE_BOUND('',#7957,.T.); #3456=FACE_BOUND('',#7960,.T.); #3457=FACE_BOUND('',#7963,.T.); #3458=FACE_BOUND('',#7966,.T.); #3459=FACE_BOUND('',#7969,.T.); #3460=FACE_BOUND('',#7972,.T.); #3461=FACE_BOUND('',#7975,.T.); #3462=FACE_BOUND('',#7978,.T.); #3463=FACE_BOUND('',#7981,.T.); #3464=FACE_BOUND('',#7984,.T.); #3465=FACE_BOUND('',#7987,.T.); #3466=FACE_BOUND('',#7990,.T.); #3467=FACE_BOUND('',#7993,.T.); #3468=FACE_BOUND('',#7996,.T.); #3469=FACE_BOUND('',#7999,.T.); #3470=FACE_BOUND('',#8002,.T.); #3471=FACE_BOUND('',#8005,.T.); #3472=FACE_BOUND('',#8008,.T.); #3473=FACE_BOUND('',#8011,.T.); #3474=FACE_BOUND('',#8013,.T.); #3475=FACE_BOUND('',#8015,.T.); #3476=FACE_BOUND('',#8016,.T.); #3477=FACE_BOUND('',#8017,.T.); #3478=FACE_BOUND('',#8018,.T.); #3479=FACE_BOUND('',#8019,.T.); #3480=FACE_BOUND('',#8020,.T.); #3481=FACE_BOUND('',#8021,.T.); #3482=FACE_BOUND('',#8022,.T.); #3483=FACE_BOUND('',#8023,.T.); #3484=FACE_BOUND('',#8025,.T.); #3485=FACE_BOUND('',#8027,.T.); #3486=FACE_BOUND('',#8028,.T.); #3487=FACE_BOUND('',#8029,.T.); #3488=FACE_BOUND('',#8030,.T.); #3489=FACE_BOUND('',#8031,.T.); #3490=FACE_BOUND('',#8032,.T.); #3491=FACE_BOUND('',#8034,.T.); #3492=FACE_BOUND('',#8035,.T.); #3493=FACE_BOUND('',#8036,.T.); #3494=FACE_BOUND('',#8037,.T.); #3495=FACE_BOUND('',#8038,.T.); #3496=FACE_BOUND('',#8039,.T.); #3497=FACE_BOUND('',#8040,.T.); #3498=FACE_BOUND('',#8041,.T.); #3499=FACE_BOUND('',#8042,.T.); #3500=FACE_BOUND('',#8043,.T.); #3501=FACE_BOUND('',#8044,.T.); #3502=FACE_BOUND('',#8045,.T.); #3503=FACE_BOUND('',#8046,.T.); #3504=FACE_BOUND('',#8047,.T.); #3505=FACE_BOUND('',#8048,.T.); #3506=FACE_BOUND('',#8049,.T.); #3507=FACE_BOUND('',#8050,.T.); #3508=FACE_BOUND('',#8051,.T.); #3509=FACE_BOUND('',#8052,.T.); #3510=FACE_BOUND('',#8053,.T.); #3511=FACE_BOUND('',#8054,.T.); #3512=FACE_BOUND('',#8055,.T.); #3513=FACE_BOUND('',#8056,.T.); #3514=FACE_BOUND('',#8057,.T.); #3515=FACE_BOUND('',#8058,.T.); #3516=FACE_BOUND('',#8059,.T.); #3517=FACE_BOUND('',#8060,.T.); #3518=FACE_BOUND('',#8061,.T.); #3519=FACE_BOUND('',#8062,.T.); #3520=FACE_BOUND('',#8063,.T.); #3521=FACE_BOUND('',#8064,.T.); #3522=FACE_BOUND('',#8065,.T.); #3523=FACE_BOUND('',#8066,.T.); #3524=FACE_BOUND('',#8067,.T.); #3525=FACE_BOUND('',#8068,.T.); #3526=FACE_BOUND('',#8069,.T.); #3527=FACE_BOUND('',#8070,.T.); #3528=FACE_BOUND('',#8071,.T.); #3529=FACE_BOUND('',#8072,.T.); #3530=FACE_BOUND('',#8073,.T.); #3531=FACE_BOUND('',#8074,.T.); #3532=FACE_BOUND('',#8075,.T.); #3533=FACE_BOUND('',#8076,.T.); #3534=FACE_BOUND('',#8077,.T.); #3535=FACE_BOUND('',#8078,.T.); #3536=FACE_BOUND('',#8079,.T.); #3537=FACE_BOUND('',#8080,.T.); #3538=FACE_BOUND('',#8081,.T.); #3539=FACE_BOUND('',#8082,.T.); #3540=FACE_BOUND('',#8083,.T.); #3541=FACE_BOUND('',#8084,.T.); #3542=FACE_BOUND('',#8085,.T.); #3543=FACE_BOUND('',#8086,.T.); #3544=FACE_BOUND('',#8087,.T.); #3545=FACE_BOUND('',#8088,.T.); #3546=FACE_BOUND('',#8089,.T.); #3547=FACE_BOUND('',#8091,.T.); #3548=FACE_BOUND('',#8092,.T.); #3549=FACE_BOUND('',#8093,.T.); #3550=FACE_BOUND('',#8094,.T.); #3551=FACE_BOUND('',#8095,.T.); #3552=FACE_BOUND('',#8096,.T.); #3553=FACE_BOUND('',#8098,.T.); #3554=FACE_BOUND('',#8099,.T.); #3555=FACE_BOUND('',#8100,.T.); #3556=FACE_BOUND('',#8101,.T.); #3557=FACE_BOUND('',#8102,.T.); #3558=FACE_BOUND('',#8103,.T.); #3559=FACE_BOUND('',#8104,.T.); #3560=FACE_BOUND('',#8105,.T.); #3561=FACE_BOUND('',#8106,.T.); #3562=FACE_BOUND('',#8108,.T.); #3563=FACE_BOUND('',#8119,.T.); #3564=FACE_BOUND('',#8120,.T.); #3565=FACE_BOUND('',#8121,.T.); #3566=FACE_BOUND('',#8122,.T.); #3567=FACE_BOUND('',#8123,.T.); #3568=FACE_BOUND('',#8124,.T.); #3569=FACE_BOUND('',#8125,.T.); #3570=FACE_BOUND('',#8126,.T.); #3571=FACE_BOUND('',#8127,.T.); #3572=FACE_BOUND('',#8129,.T.); #3573=FACE_BOUND('',#8130,.T.); #3574=FACE_BOUND('',#8131,.T.); #3575=FACE_BOUND('',#8132,.T.); #3576=FACE_BOUND('',#8133,.T.); #3577=FACE_BOUND('',#8134,.T.); #3578=FACE_BOUND('',#8135,.T.); #3579=FACE_BOUND('',#8136,.T.); #3580=FACE_BOUND('',#8137,.T.); #3581=FACE_BOUND('',#8168,.T.); #3582=FACE_BOUND('',#8171,.T.); #3583=FACE_BOUND('',#8174,.T.); #3584=FACE_BOUND('',#8177,.T.); #3585=FACE_BOUND('',#8180,.T.); #3586=FACE_BOUND('',#8183,.T.); #3587=FACE_BOUND('',#8186,.T.); #3588=FACE_BOUND('',#8189,.T.); #3589=FACE_BOUND('',#8192,.T.); #3590=FACE_BOUND('',#8195,.T.); #3591=FACE_BOUND('',#8198,.T.); #3592=FACE_BOUND('',#8201,.T.); #3593=FACE_BOUND('',#8203,.T.); #3594=FACE_BOUND('',#8204,.T.); #3595=FACE_BOUND('',#8209,.T.); #3596=FACE_BOUND('',#8212,.T.); #3597=FACE_BOUND('',#8215,.T.); #3598=FACE_BOUND('',#8218,.T.); #3599=FACE_BOUND('',#8221,.T.); #3600=FACE_BOUND('',#8224,.T.); #3601=FACE_BOUND('',#8227,.T.); #3602=FACE_BOUND('',#8230,.T.); #3603=FACE_BOUND('',#8233,.T.); #3604=FACE_BOUND('',#8236,.T.); #3605=FACE_BOUND('',#8239,.T.); #3606=FACE_BOUND('',#8242,.T.); #3607=FACE_BOUND('',#8245,.T.); #3608=FACE_BOUND('',#8248,.T.); #3609=FACE_BOUND('',#8251,.T.); #3610=FACE_BOUND('',#8254,.T.); #3611=FACE_BOUND('',#8257,.T.); #3612=FACE_BOUND('',#8260,.T.); #3613=FACE_BOUND('',#8263,.T.); #3614=FACE_BOUND('',#8266,.T.); #3615=FACE_BOUND('',#8269,.T.); #3616=FACE_BOUND('',#8272,.T.); #3617=FACE_BOUND('',#8275,.T.); #3618=FACE_BOUND('',#8278,.T.); #3619=FACE_BOUND('',#8281,.T.); #3620=FACE_BOUND('',#8284,.T.); #3621=FACE_BOUND('',#8287,.T.); #3622=FACE_BOUND('',#8290,.T.); #3623=FACE_BOUND('',#8293,.T.); #3624=FACE_BOUND('',#8296,.T.); #3625=FACE_BOUND('',#8298,.T.); #3626=FACE_BOUND('',#8300,.T.); #3627=FACE_BOUND('',#8301,.T.); #3628=FACE_BOUND('',#8302,.T.); #3629=FACE_BOUND('',#8303,.T.); #3630=FACE_BOUND('',#8304,.T.); #3631=FACE_BOUND('',#8305,.T.); #3632=FACE_BOUND('',#8306,.T.); #3633=FACE_BOUND('',#8307,.T.); #3634=FACE_BOUND('',#8308,.T.); #3635=FACE_BOUND('',#8310,.T.); #3636=FACE_BOUND('',#8311,.T.); #3637=FACE_BOUND('',#8312,.T.); #3638=FACE_BOUND('',#8313,.T.); #3639=FACE_BOUND('',#8317,.T.); #3640=FACE_BOUND('',#8318,.T.); #3641=FACE_BOUND('',#8319,.T.); #3642=FACE_BOUND('',#8320,.T.); #3643=FACE_BOUND('',#8321,.T.); #3644=FACE_BOUND('',#8322,.T.); #3645=FACE_BOUND('',#8325,.T.); #3646=FACE_BOUND('',#8326,.T.); #3647=FACE_BOUND('',#8327,.T.); #3648=FACE_BOUND('',#8328,.T.); #3649=FACE_BOUND('',#8329,.T.); #3650=FACE_BOUND('',#8330,.T.); #3651=FACE_BOUND('',#8331,.T.); #3652=FACE_BOUND('',#8332,.T.); #3653=FACE_BOUND('',#8333,.T.); #3654=FACE_BOUND('',#8335,.T.); #3655=FACE_BOUND('',#8336,.T.); #3656=FACE_BOUND('',#8337,.T.); #3657=FACE_BOUND('',#8338,.T.); #3658=FACE_BOUND('',#8339,.T.); #3659=FACE_BOUND('',#8340,.T.); #3660=FACE_BOUND('',#8341,.T.); #3661=FACE_BOUND('',#8342,.T.); #3662=FACE_BOUND('',#8343,.T.); #3663=FACE_BOUND('',#8344,.T.); #3664=FACE_BOUND('',#8345,.T.); #3665=FACE_BOUND('',#8346,.T.); #3666=FACE_BOUND('',#8347,.T.); #3667=FACE_BOUND('',#8348,.T.); #3668=FACE_BOUND('',#8349,.T.); #3669=FACE_BOUND('',#8350,.T.); #3670=FACE_BOUND('',#8352,.T.); #3671=FACE_BOUND('',#8364,.T.); #3672=FACE_BOUND('',#8365,.T.); #3673=FACE_BOUND('',#8366,.T.); #3674=FACE_BOUND('',#8367,.T.); #3675=FACE_BOUND('',#8368,.T.); #3676=FACE_BOUND('',#8369,.T.); #3677=FACE_BOUND('',#8370,.T.); #3678=FACE_BOUND('',#8371,.T.); #3679=FACE_BOUND('',#8372,.T.); #3680=FACE_BOUND('',#8373,.T.); #3681=FACE_BOUND('',#8374,.T.); #3682=FACE_BOUND('',#8375,.T.); #3683=FACE_BOUND('',#8376,.T.); #3684=FACE_BOUND('',#8377,.T.); #3685=FACE_BOUND('',#8378,.T.); #3686=FACE_BOUND('',#8379,.T.); #3687=FACE_BOUND('',#8380,.T.); #3688=FACE_BOUND('',#8381,.T.); #3689=FACE_BOUND('',#8382,.T.); #3690=FACE_BOUND('',#8383,.T.); #3691=FACE_BOUND('',#8384,.T.); #3692=FACE_BOUND('',#8385,.T.); #3693=FACE_BOUND('',#8386,.T.); #3694=FACE_BOUND('',#8387,.T.); #3695=FACE_BOUND('',#8388,.T.); #3696=FACE_BOUND('',#8389,.T.); #3697=FACE_BOUND('',#8390,.T.); #3698=FACE_BOUND('',#8391,.T.); #3699=FACE_BOUND('',#8392,.T.); #3700=FACE_BOUND('',#8393,.T.); #3701=FACE_BOUND('',#8394,.T.); #3702=FACE_BOUND('',#8395,.T.); #3703=FACE_BOUND('',#8396,.T.); #3704=FACE_BOUND('',#8397,.T.); #3705=FACE_BOUND('',#8398,.T.); #3706=FACE_BOUND('',#8399,.T.); #3707=FACE_BOUND('',#8401,.T.); #3708=FACE_BOUND('',#8403,.T.); #3709=FACE_BOUND('',#8405,.T.); #3710=FACE_BOUND('',#8407,.T.); #3711=FACE_BOUND('',#8409,.T.); #3712=FACE_BOUND('',#8411,.T.); #3713=FACE_BOUND('',#8413,.T.); #3714=FACE_BOUND('',#8415,.T.); #3715=FACE_BOUND('',#8417,.T.); #3716=FACE_BOUND('',#8419,.T.); #3717=FACE_BOUND('',#8421,.T.); #3718=FACE_BOUND('',#8423,.T.); #3719=FACE_BOUND('',#8425,.T.); #3720=FACE_BOUND('',#8427,.T.); #3721=FACE_BOUND('',#8429,.T.); #3722=FACE_BOUND('',#8431,.T.); #3723=FACE_BOUND('',#8433,.T.); #3724=FACE_BOUND('',#8435,.T.); #3725=FACE_BOUND('',#8437,.T.); #3726=FACE_BOUND('',#8439,.T.); #3727=FACE_BOUND('',#8441,.T.); #3728=FACE_BOUND('',#8443,.T.); #3729=FACE_BOUND('',#8445,.T.); #3730=FACE_BOUND('',#8447,.T.); #3731=FACE_BOUND('',#8449,.T.); #3732=FACE_BOUND('',#8451,.T.); #3733=FACE_BOUND('',#8453,.T.); #3734=FACE_BOUND('',#8455,.T.); #3735=FACE_BOUND('',#8457,.T.); #3736=FACE_BOUND('',#8459,.T.); #3737=FACE_BOUND('',#8461,.T.); #3738=FACE_BOUND('',#8463,.T.); #3739=FACE_BOUND('',#8465,.T.); #3740=FACE_BOUND('',#8467,.T.); #3741=FACE_BOUND('',#8469,.T.); #3742=FACE_BOUND('',#8471,.T.); #3743=FACE_BOUND('',#8474,.T.); #3744=FACE_BOUND('',#8477,.T.); #3745=FACE_BOUND('',#8480,.T.); #3746=FACE_BOUND('',#8483,.T.); #3747=FACE_BOUND('',#8486,.T.); #3748=FACE_BOUND('',#8489,.T.); #3749=FACE_BOUND('',#8492,.T.); #3750=FACE_BOUND('',#8494,.T.); #3751=FACE_BOUND('',#8495,.T.); #3752=FACE_BOUND('',#8496,.T.); #3753=FACE_BOUND('',#8497,.T.); #3754=FACE_BOUND('',#8498,.T.); #3755=FACE_BOUND('',#8499,.T.); #3756=FACE_BOUND('',#8500,.T.); #3757=FACE_BOUND('',#8503,.T.); #3758=FACE_BOUND('',#8504,.T.); #3759=FACE_BOUND('',#8505,.T.); #3760=FACE_BOUND('',#8506,.T.); #3761=FACE_BOUND('',#8507,.T.); #3762=FACE_BOUND('',#8508,.T.); #3763=FACE_BOUND('',#8509,.T.); #3764=FACE_BOUND('',#8510,.T.); #3765=FACE_BOUND('',#8511,.T.); #3766=FACE_BOUND('',#8512,.T.); #3767=FACE_BOUND('',#8513,.T.); #3768=FACE_BOUND('',#8514,.T.); #3769=FACE_BOUND('',#8515,.T.); #3770=FACE_BOUND('',#8516,.T.); #3771=FACE_BOUND('',#8517,.T.); #3772=FACE_BOUND('',#8518,.T.); #3773=FACE_BOUND('',#8519,.T.); #3774=FACE_BOUND('',#8520,.T.); #3775=FACE_BOUND('',#8521,.T.); #3776=FACE_BOUND('',#8522,.T.); #3777=FACE_BOUND('',#8523,.T.); #3778=FACE_BOUND('',#8524,.T.); #3779=FACE_BOUND('',#8525,.T.); #3780=FACE_BOUND('',#8526,.T.); #3781=FACE_BOUND('',#8527,.T.); #3782=FACE_BOUND('',#8528,.T.); #3783=FACE_BOUND('',#8529,.T.); #3784=FACE_BOUND('',#8530,.T.); #3785=FACE_BOUND('',#8531,.T.); #3786=FACE_BOUND('',#8532,.T.); #3787=FACE_BOUND('',#8533,.T.); #3788=FACE_BOUND('',#8534,.T.); #3789=FACE_BOUND('',#8535,.T.); #3790=FACE_BOUND('',#8536,.T.); #3791=FACE_BOUND('',#8537,.T.); #3792=FACE_BOUND('',#8538,.T.); #3793=FACE_BOUND('',#8540,.T.); #3794=FACE_BOUND('',#8542,.T.); #3795=FACE_BOUND('',#8544,.T.); #3796=FACE_BOUND('',#8546,.T.); #3797=FACE_BOUND('',#8548,.T.); #3798=FACE_BOUND('',#8550,.T.); #3799=FACE_BOUND('',#8552,.T.); #3800=FACE_BOUND('',#8554,.T.); #3801=FACE_BOUND('',#8556,.T.); #3802=FACE_BOUND('',#8558,.T.); #3803=FACE_BOUND('',#8560,.T.); #3804=FACE_BOUND('',#8562,.T.); #3805=FACE_BOUND('',#8564,.T.); #3806=FACE_BOUND('',#8566,.T.); #3807=FACE_BOUND('',#8568,.T.); #3808=FACE_BOUND('',#8570,.T.); #3809=FACE_BOUND('',#8572,.T.); #3810=FACE_BOUND('',#8574,.T.); #3811=FACE_BOUND('',#8576,.T.); #3812=FACE_BOUND('',#8578,.T.); #3813=FACE_BOUND('',#8580,.T.); #3814=FACE_BOUND('',#8582,.T.); #3815=FACE_BOUND('',#8584,.T.); #3816=FACE_BOUND('',#8586,.T.); #3817=FACE_BOUND('',#8588,.T.); #3818=FACE_BOUND('',#8590,.T.); #3819=FACE_BOUND('',#8592,.T.); #3820=FACE_BOUND('',#8594,.T.); #3821=FACE_BOUND('',#8596,.T.); #3822=FACE_BOUND('',#8598,.T.); #3823=FACE_BOUND('',#8600,.T.); #3824=FACE_BOUND('',#8602,.T.); #3825=FACE_BOUND('',#8606,.T.); #3826=FACE_BOUND('',#8607,.T.); #3827=FACE_BOUND('',#8608,.T.); #3828=FACE_BOUND('',#8609,.T.); #3829=FACE_BOUND('',#8610,.T.); #3830=FACE_BOUND('',#8611,.T.); #3831=FACE_BOUND('',#8612,.T.); #3832=FACE_BOUND('',#8613,.T.); #3833=FACE_BOUND('',#8614,.T.); #3834=FACE_BOUND('',#8615,.T.); #3835=FACE_BOUND('',#8616,.T.); #3836=FACE_BOUND('',#8617,.T.); #3837=FACE_BOUND('',#8618,.T.); #3838=FACE_BOUND('',#8619,.T.); #3839=FACE_BOUND('',#8620,.T.); #3840=FACE_BOUND('',#8621,.T.); #3841=FACE_BOUND('',#8622,.T.); #3842=FACE_BOUND('',#8623,.T.); #3843=FACE_BOUND('',#8624,.T.); #3844=FACE_BOUND('',#8625,.T.); #3845=FACE_BOUND('',#8626,.T.); #3846=FACE_BOUND('',#8627,.T.); #3847=FACE_BOUND('',#8628,.T.); #3848=FACE_BOUND('',#8629,.T.); #3849=FACE_BOUND('',#8630,.T.); #3850=FACE_BOUND('',#8631,.T.); #3851=FACE_BOUND('',#8632,.T.); #3852=FACE_BOUND('',#8633,.T.); #3853=FACE_BOUND('',#8634,.T.); #3854=FACE_BOUND('',#8635,.T.); #3855=FACE_BOUND('',#8636,.T.); #3856=FACE_BOUND('',#8637,.T.); #3857=FACE_BOUND('',#8640,.T.); #3858=FACE_BOUND('',#8641,.T.); #3859=FACE_BOUND('',#8642,.T.); #3860=FACE_BOUND('',#8643,.T.); #3861=FACE_BOUND('',#8644,.T.); #3862=FACE_BOUND('',#8645,.T.); #3863=FACE_BOUND('',#8646,.T.); #3864=FACE_BOUND('',#8647,.T.); #3865=FACE_BOUND('',#8648,.T.); #3866=FACE_BOUND('',#8649,.T.); #3867=FACE_BOUND('',#8650,.T.); #3868=FACE_BOUND('',#8651,.T.); #3869=FACE_BOUND('',#8652,.T.); #3870=FACE_BOUND('',#8653,.T.); #3871=FACE_BOUND('',#8654,.T.); #3872=FACE_BOUND('',#8655,.T.); #3873=FACE_BOUND('',#8656,.T.); #3874=FACE_BOUND('',#8657,.T.); #3875=FACE_BOUND('',#8658,.T.); #3876=FACE_BOUND('',#8659,.T.); #3877=FACE_BOUND('',#8660,.T.); #3878=FACE_BOUND('',#8661,.T.); #3879=FACE_BOUND('',#8662,.T.); #3880=FACE_BOUND('',#8663,.T.); #3881=FACE_BOUND('',#8664,.T.); #3882=FACE_BOUND('',#8665,.T.); #3883=FACE_BOUND('',#8666,.T.); #3884=FACE_BOUND('',#8667,.T.); #3885=FACE_BOUND('',#8668,.T.); #3886=FACE_BOUND('',#8669,.T.); #3887=FACE_BOUND('',#8670,.T.); #3888=FACE_BOUND('',#8671,.T.); #3889=FACE_BOUND('',#8675,.T.); #3890=FACE_BOUND('',#8678,.T.); #3891=FACE_BOUND('',#8681,.T.); #3892=FACE_BOUND('',#8684,.T.); #3893=FACE_BOUND('',#8687,.T.); #3894=FACE_BOUND('',#8690,.T.); #3895=FACE_BOUND('',#8693,.T.); #3896=FACE_BOUND('',#8696,.T.); #3897=FACE_BOUND('',#8699,.T.); #3898=FACE_BOUND('',#8702,.T.); #3899=FACE_BOUND('',#8705,.T.); #3900=FACE_BOUND('',#8708,.T.); #3901=FACE_BOUND('',#8711,.T.); #3902=FACE_BOUND('',#8714,.T.); #3903=FACE_BOUND('',#8717,.T.); #3904=FACE_BOUND('',#8720,.T.); #3905=FACE_BOUND('',#8723,.T.); #3906=FACE_BOUND('',#8726,.T.); #3907=FACE_BOUND('',#8729,.T.); #3908=FACE_BOUND('',#8732,.T.); #3909=FACE_BOUND('',#8735,.T.); #3910=FACE_BOUND('',#8738,.T.); #3911=FACE_BOUND('',#8741,.T.); #3912=FACE_BOUND('',#8744,.T.); #3913=FACE_BOUND('',#8746,.T.); #3914=FACE_BOUND('',#8748,.T.); #3915=FACE_BOUND('',#8750,.T.); #3916=FACE_BOUND('',#8752,.T.); #3917=FACE_BOUND('',#8754,.T.); #3918=FACE_BOUND('',#8756,.T.); #3919=FACE_BOUND('',#8758,.T.); #3920=FACE_BOUND('',#8760,.T.); #3921=FACE_BOUND('',#8762,.T.); #3922=FACE_BOUND('',#8764,.T.); #3923=FACE_BOUND('',#8766,.T.); #3924=FACE_BOUND('',#8768,.T.); #3925=FACE_BOUND('',#8770,.T.); #3926=FACE_BOUND('',#8772,.T.); #3927=FACE_BOUND('',#8774,.T.); #3928=FACE_BOUND('',#8776,.T.); #3929=FACE_BOUND('',#8778,.T.); #3930=FACE_BOUND('',#8780,.T.); #3931=FACE_BOUND('',#8782,.T.); #3932=FACE_BOUND('',#8783,.T.); #3933=FACE_BOUND('',#8784,.T.); #3934=FACE_BOUND('',#8785,.T.); #3935=FACE_BOUND('',#8786,.T.); #3936=FACE_BOUND('',#8787,.T.); #3937=FACE_BOUND('',#8788,.T.); #3938=FACE_BOUND('',#8790,.T.); #3939=FACE_BOUND('',#8791,.T.); #3940=FACE_BOUND('',#8792,.T.); #3941=FACE_BOUND('',#8793,.T.); #3942=FACE_BOUND('',#8794,.T.); #3943=FACE_BOUND('',#8795,.T.); #3944=FACE_BOUND('',#8796,.T.); #3945=FACE_BOUND('',#8797,.T.); #3946=FACE_BOUND('',#8798,.T.); #3947=FACE_BOUND('',#8799,.T.); #3948=FACE_BOUND('',#8800,.T.); #3949=FACE_BOUND('',#8801,.T.); #3950=FACE_BOUND('',#8802,.T.); #3951=FACE_BOUND('',#8803,.T.); #3952=FACE_BOUND('',#8804,.T.); #3953=FACE_BOUND('',#8805,.T.); #3954=FACE_BOUND('',#8806,.T.); #3955=FACE_BOUND('',#8807,.T.); #3956=FACE_BOUND('',#8810,.T.); #3957=FACE_BOUND('',#8811,.T.); #3958=FACE_BOUND('',#8812,.T.); #3959=FACE_BOUND('',#8813,.T.); #3960=FACE_BOUND('',#8814,.T.); #3961=FACE_BOUND('',#8815,.T.); #3962=FACE_BOUND('',#8816,.T.); #3963=FACE_BOUND('',#8818,.T.); #3964=FACE_BOUND('',#8819,.T.); #3965=FACE_BOUND('',#8820,.T.); #3966=FACE_BOUND('',#8821,.T.); #3967=FACE_BOUND('',#8822,.T.); #3968=FACE_BOUND('',#8823,.T.); #3969=FACE_BOUND('',#8824,.T.); #3970=FACE_BOUND('',#8825,.T.); #3971=FACE_BOUND('',#8826,.T.); #3972=FACE_BOUND('',#8827,.T.); #3973=FACE_BOUND('',#8828,.T.); #3974=FACE_BOUND('',#8829,.T.); #3975=FACE_BOUND('',#8830,.T.); #3976=FACE_BOUND('',#8831,.T.); #3977=FACE_BOUND('',#8832,.T.); #3978=FACE_BOUND('',#8833,.T.); #3979=FACE_BOUND('',#8834,.T.); #3980=FACE_BOUND('',#8835,.T.); #3981=FACE_BOUND('',#8836,.T.); #3982=FACE_BOUND('',#8837,.T.); #3983=FACE_BOUND('',#8838,.T.); #3984=FACE_BOUND('',#8839,.T.); #3985=FACE_BOUND('',#8840,.T.); #3986=FACE_BOUND('',#8841,.T.); #3987=FACE_BOUND('',#8842,.T.); #3988=FACE_BOUND('',#8843,.T.); #3989=FACE_BOUND('',#8844,.T.); #3990=FACE_BOUND('',#8845,.T.); #3991=FACE_BOUND('',#8851,.T.); #3992=FACE_BOUND('',#8852,.T.); #3993=FACE_BOUND('',#8853,.T.); #3994=FACE_BOUND('',#8854,.T.); #3995=FACE_BOUND('',#8855,.T.); #3996=FACE_BOUND('',#8856,.T.); #3997=FACE_BOUND('',#8857,.T.); #3998=FACE_BOUND('',#8859,.T.); #3999=FACE_BOUND('',#8860,.T.); #4000=FACE_BOUND('',#8861,.T.); #4001=FACE_BOUND('',#8862,.T.); #4002=FACE_BOUND('',#8863,.T.); #4003=FACE_BOUND('',#8864,.T.); #4004=FACE_BOUND('',#8866,.T.); #4005=FACE_BOUND('',#8867,.T.); #4006=FACE_BOUND('',#8868,.T.); #4007=FACE_BOUND('',#8869,.T.); #4008=FACE_BOUND('',#8870,.T.); #4009=FACE_BOUND('',#8871,.T.); #4010=FACE_BOUND('',#8872,.T.); #4011=FACE_BOUND('',#8873,.T.); #4012=FACE_BOUND('',#8875,.T.); #4013=FACE_BOUND('',#8877,.T.); #4014=FACE_BOUND('',#8879,.T.); #4015=FACE_BOUND('',#8881,.T.); #4016=FACE_BOUND('',#8883,.T.); #4017=FACE_BOUND('',#8885,.T.); #4018=FACE_BOUND('',#8888,.T.); #4019=FACE_BOUND('',#8889,.T.); #4020=FACE_BOUND('',#8890,.T.); #4021=FACE_BOUND('',#8891,.T.); #4022=FACE_BOUND('',#8892,.T.); #4023=FACE_BOUND('',#8893,.T.); #4024=FACE_BOUND('',#8894,.T.); #4025=FACE_BOUND('',#8895,.T.); #4026=FACE_BOUND('',#8896,.T.); #4027=FACE_BOUND('',#8897,.T.); #4028=FACE_BOUND('',#8898,.T.); #4029=FACE_BOUND('',#8899,.T.); #4030=FACE_BOUND('',#8900,.T.); #4031=FACE_BOUND('',#8901,.T.); #4032=FACE_BOUND('',#8902,.T.); #4033=FACE_BOUND('',#8903,.T.); #4034=FACE_BOUND('',#8904,.T.); #4035=FACE_BOUND('',#8905,.T.); #4036=FACE_BOUND('',#8906,.T.); #4037=FACE_BOUND('',#8907,.T.); #4038=FACE_BOUND('',#8908,.T.); #4039=FACE_BOUND('',#8911,.T.); #4040=FACE_BOUND('',#8913,.T.); #4041=FACE_BOUND('',#8915,.T.); #4042=FACE_BOUND('',#8917,.T.); #4043=FACE_BOUND('',#8919,.T.); #4044=FACE_BOUND('',#8921,.T.); #4045=FACE_BOUND('',#8923,.T.); #4046=FACE_BOUND('',#8925,.T.); #4047=FACE_BOUND('',#8927,.T.); #4048=FACE_BOUND('',#8929,.T.); #4049=FACE_BOUND('',#8931,.T.); #4050=FACE_BOUND('',#8933,.T.); #4051=FACE_BOUND('',#8935,.T.); #4052=FACE_BOUND('',#8937,.T.); #4053=FACE_BOUND('',#8939,.T.); #4054=FACE_BOUND('',#8947,.T.); #4055=FACE_BOUND('',#8950,.T.); #4056=FACE_BOUND('',#8953,.T.); #4057=FACE_BOUND('',#8956,.T.); #4058=FACE_BOUND('',#8959,.T.); #4059=FACE_BOUND('',#8962,.T.); #4060=FACE_BOUND('',#8965,.T.); #4061=FACE_BOUND('',#8968,.T.); #4062=FACE_BOUND('',#8971,.T.); #4063=FACE_BOUND('',#8974,.T.); #4064=FACE_BOUND('',#8977,.T.); #4065=FACE_BOUND('',#8980,.T.); #4066=FACE_BOUND('',#8983,.T.); #4067=FACE_BOUND('',#8986,.T.); #4068=FACE_BOUND('',#8989,.T.); #4069=FACE_BOUND('',#8992,.T.); #4070=FACE_BOUND('',#8995,.T.); #4071=FACE_BOUND('',#8998,.T.); #4072=FACE_BOUND('',#9001,.T.); #4073=FACE_BOUND('',#9004,.T.); #4074=FACE_BOUND('',#9007,.T.); #4075=FACE_BOUND('',#9010,.T.); #4076=FACE_BOUND('',#9013,.T.); #4077=FACE_BOUND('',#9016,.T.); #4078=FACE_BOUND('',#9019,.T.); #4079=FACE_BOUND('',#9022,.T.); #4080=FACE_BOUND('',#9025,.T.); #4081=FACE_BOUND('',#9028,.T.); #4082=FACE_BOUND('',#9031,.T.); #4083=FACE_BOUND('',#9034,.T.); #4084=FACE_BOUND('',#9037,.T.); #4085=FACE_BOUND('',#9040,.T.); #4086=FACE_BOUND('',#9043,.T.); #4087=FACE_BOUND('',#9046,.T.); #4088=FACE_BOUND('',#9049,.T.); #4089=FACE_BOUND('',#9052,.T.); #4090=FACE_BOUND('',#9054,.T.); #4091=FACE_BOUND('',#9055,.T.); #4092=FACE_BOUND('',#9056,.T.); #4093=FACE_BOUND('',#9057,.T.); #4094=FACE_BOUND('',#9058,.T.); #4095=FACE_BOUND('',#9059,.T.); #4096=FACE_BOUND('',#9061,.T.); #4097=FACE_BOUND('',#9062,.T.); #4098=FACE_BOUND('',#9064,.T.); #4099=FACE_BOUND('',#9065,.T.); #4100=FACE_BOUND('',#9066,.T.); #4101=FACE_BOUND('',#9067,.T.); #4102=FACE_BOUND('',#9068,.T.); #4103=FACE_BOUND('',#9069,.T.); #4104=FACE_BOUND('',#9072,.T.); #4105=FACE_BOUND('',#9073,.T.); #4106=FACE_BOUND('',#9074,.T.); #4107=FACE_BOUND('',#9075,.T.); #4108=FACE_BOUND('',#9076,.T.); #4109=FACE_BOUND('',#9077,.T.); #4110=FACE_BOUND('',#9078,.T.); #4111=FACE_BOUND('',#9079,.T.); #4112=FACE_BOUND('',#9080,.T.); #4113=FACE_BOUND('',#9082,.T.); #4114=FACE_BOUND('',#9083,.T.); #4115=FACE_BOUND('',#9084,.T.); #4116=FACE_BOUND('',#9085,.T.); #4117=FACE_BOUND('',#9087,.T.); #4118=FACE_BOUND('',#9088,.T.); #4119=FACE_BOUND('',#9089,.T.); #4120=FACE_BOUND('',#9090,.T.); #4121=FACE_BOUND('',#9091,.T.); #4122=FACE_BOUND('',#9092,.T.); #4123=FACE_BOUND('',#9093,.T.); #4124=FACE_BOUND('',#9094,.T.); #4125=FACE_BOUND('',#9095,.T.); #4126=FACE_BOUND('',#9103,.T.); #4127=FACE_BOUND('',#9104,.T.); #4128=FACE_BOUND('',#9105,.T.); #4129=FACE_BOUND('',#9106,.T.); #4130=FACE_BOUND('',#9107,.T.); #4131=FACE_BOUND('',#9108,.T.); #4132=FACE_BOUND('',#9109,.T.); #4133=FACE_BOUND('',#9110,.T.); #4134=FACE_BOUND('',#9111,.T.); #4135=FACE_BOUND('',#9112,.T.); #4136=FACE_BOUND('',#9113,.T.); #4137=FACE_BOUND('',#9114,.T.); #4138=FACE_BOUND('',#9115,.T.); #4139=FACE_BOUND('',#9116,.T.); #4140=FACE_BOUND('',#9117,.T.); #4141=FACE_BOUND('',#9118,.T.); #4142=FACE_BOUND('',#9119,.T.); #4143=FACE_BOUND('',#9120,.T.); #4144=FACE_BOUND('',#9121,.T.); #4145=FACE_BOUND('',#9122,.T.); #4146=FACE_BOUND('',#9123,.T.); #4147=FACE_BOUND('',#9128,.T.); #4148=FACE_BOUND('',#9129,.T.); #4149=FACE_BOUND('',#9130,.T.); #4150=FACE_BOUND('',#9131,.T.); #4151=FACE_BOUND('',#9132,.T.); #4152=FACE_BOUND('',#9133,.T.); #4153=FACE_BOUND('',#9134,.T.); #4154=FACE_BOUND('',#9135,.T.); #4155=FACE_BOUND('',#9136,.T.); #4156=FACE_BOUND('',#9137,.T.); #4157=FACE_BOUND('',#9138,.T.); #4158=FACE_BOUND('',#9139,.T.); #4159=FACE_BOUND('',#9145,.T.); #4160=FACE_BOUND('',#9146,.T.); #4161=FACE_BOUND('',#9147,.T.); #4162=FACE_BOUND('',#9148,.T.); #4163=FACE_BOUND('',#9149,.T.); #4164=FACE_BOUND('',#9150,.T.); #4165=FACE_BOUND('',#9151,.T.); #4166=FACE_BOUND('',#9152,.T.); #4167=FACE_BOUND('',#9155,.T.); #4168=FACE_BOUND('',#9157,.T.); #4169=FACE_BOUND('',#9159,.T.); #4170=FACE_BOUND('',#9161,.T.); #4171=FACE_BOUND('',#9163,.T.); #4172=FACE_BOUND('',#9165,.T.); #4173=FACE_BOUND('',#9167,.T.); #4174=FACE_BOUND('',#9169,.T.); #4175=FACE_BOUND('',#9171,.T.); #4176=FACE_BOUND('',#9173,.T.); #4177=FACE_BOUND('',#9175,.T.); #4178=FACE_BOUND('',#9177,.T.); #4179=FACE_BOUND('',#9180,.T.); #4180=FACE_BOUND('',#9181,.T.); #4181=FACE_BOUND('',#9182,.T.); #4182=FACE_BOUND('',#9183,.T.); #4183=FACE_BOUND('',#9184,.T.); #4184=FACE_BOUND('',#9185,.T.); #4185=FACE_BOUND('',#9186,.T.); #4186=FACE_BOUND('',#9187,.T.); #4187=FACE_BOUND('',#9188,.T.); #4188=FACE_BOUND('',#9189,.T.); #4189=FACE_BOUND('',#9190,.T.); #4190=FACE_BOUND('',#9191,.T.); #4191=FACE_BOUND('',#9192,.T.); #4192=FACE_BOUND('',#9193,.T.); #4193=FACE_BOUND('',#9194,.T.); #4194=FACE_BOUND('',#9195,.T.); #4195=FACE_BOUND('',#9196,.T.); #4196=FACE_BOUND('',#9197,.T.); #4197=FACE_BOUND('',#9198,.T.); #4198=FACE_BOUND('',#9199,.T.); #4199=FACE_BOUND('',#9200,.T.); #4200=FACE_BOUND('',#9201,.T.); #4201=FACE_BOUND('',#9202,.T.); #4202=FACE_BOUND('',#9203,.T.); #4203=FACE_BOUND('',#9204,.T.); #4204=FACE_BOUND('',#9205,.T.); #4205=FACE_BOUND('',#9206,.T.); #4206=FACE_BOUND('',#9207,.T.); #4207=FACE_BOUND('',#9208,.T.); #4208=FACE_BOUND('',#9209,.T.); #4209=FACE_BOUND('',#9210,.T.); #4210=FACE_BOUND('',#9211,.T.); #4211=FACE_BOUND('',#9212,.T.); #4212=FACE_BOUND('',#9213,.T.); #4213=FACE_BOUND('',#9214,.T.); #4214=FACE_BOUND('',#9215,.T.); #4215=FACE_BOUND('',#9216,.T.); #4216=FACE_BOUND('',#9217,.T.); #4217=FACE_BOUND('',#9218,.T.); #4218=FACE_BOUND('',#9219,.T.); #4219=FACE_BOUND('',#9220,.T.); #4220=FACE_BOUND('',#9222,.T.); #4221=FACE_BOUND('',#9224,.T.); #4222=FACE_BOUND('',#9226,.T.); #4223=FACE_BOUND('',#9228,.T.); #4224=FACE_BOUND('',#9230,.T.); #4225=FACE_BOUND('',#9232,.T.); #4226=FACE_BOUND('',#9234,.T.); #4227=FACE_BOUND('',#9236,.T.); #4228=FACE_BOUND('',#9238,.T.); #4229=FACE_BOUND('',#9240,.T.); #4230=FACE_BOUND('',#9242,.T.); #4231=FACE_BOUND('',#9244,.T.); #4232=FACE_BOUND('',#9246,.T.); #4233=FACE_BOUND('',#9248,.T.); #4234=FACE_BOUND('',#9250,.T.); #4235=FACE_BOUND('',#9252,.T.); #4236=FACE_BOUND('',#9254,.T.); #4237=FACE_BOUND('',#9256,.T.); #4238=FACE_BOUND('',#9258,.T.); #4239=FACE_BOUND('',#9260,.T.); #4240=FACE_BOUND('',#9262,.T.); #4241=FACE_BOUND('',#9264,.T.); #4242=FACE_BOUND('',#9266,.T.); #4243=FACE_BOUND('',#9268,.T.); #4244=FACE_BOUND('',#9270,.T.); #4245=FACE_BOUND('',#9272,.T.); #4246=FACE_BOUND('',#9274,.T.); #4247=FACE_BOUND('',#9276,.T.); #4248=FACE_BOUND('',#9278,.T.); #4249=FACE_BOUND('',#9286,.T.); #4250=FACE_BOUND('',#9288,.T.); #4251=FACE_BOUND('',#9290,.T.); #4252=FACE_BOUND('',#9292,.T.); #4253=FACE_BOUND('',#9295,.T.); #4254=FACE_BOUND('',#9298,.T.); #4255=FACE_BOUND('',#9301,.T.); #4256=FACE_BOUND('',#9303,.T.); #4257=FACE_BOUND('',#9304,.T.); #4258=FACE_BOUND('',#9305,.T.); #4259=FACE_BOUND('',#9306,.T.); #4260=FACE_BOUND('',#9307,.T.); #4261=FACE_BOUND('',#9309,.T.); #4262=FACE_BOUND('',#9310,.T.); #4263=FACE_BOUND('',#9311,.T.); #4264=FACE_BOUND('',#9312,.T.); #4265=FACE_BOUND('',#9314,.T.); #4266=FACE_BOUND('',#9316,.T.); #4267=FACE_BOUND('',#9318,.T.); #4268=FACE_BOUND('',#9320,.T.); #4269=FACE_BOUND('',#9322,.T.); #4270=FACE_BOUND('',#9324,.T.); #4271=FACE_BOUND('',#9325,.T.); #4272=FACE_BOUND('',#9326,.T.); #4273=FACE_BOUND('',#9328,.T.); #4274=FACE_BOUND('',#9329,.T.); #4275=FACE_BOUND('',#9330,.T.); #4276=FACE_BOUND('',#9331,.T.); #4277=FACE_BOUND('',#9334,.T.); #4278=FACE_BOUND('',#9337,.T.); #4279=FACE_BOUND('',#9339,.T.); #4280=FACE_BOUND('',#9342,.T.); #4281=FACE_BOUND('',#9343,.T.); #4282=FACE_BOUND('',#9344,.T.); #4283=FACE_BOUND('',#9346,.T.); #4284=FACE_BOUND('',#9347,.T.); #4285=FACE_BOUND('',#9348,.T.); #4286=FACE_BOUND('',#9349,.T.); #4287=FACE_BOUND('',#9350,.T.); #4288=FACE_BOUND('',#9351,.T.); #4289=FACE_BOUND('',#9354,.T.); #4290=FACE_BOUND('',#9356,.T.); #4291=FACE_BOUND('',#9358,.T.); #4292=FACE_BOUND('',#9360,.T.); #4293=FACE_BOUND('',#9362,.T.); #4294=FACE_BOUND('',#9364,.T.); #4295=FACE_BOUND('',#9366,.T.); #4296=FACE_BOUND('',#9368,.T.); #4297=FACE_BOUND('',#9370,.T.); #4298=FACE_BOUND('',#9372,.T.); #4299=FACE_BOUND('',#9374,.T.); #4300=FACE_BOUND('',#9376,.T.); #4301=FACE_BOUND('',#9378,.T.); #4302=FACE_BOUND('',#9380,.T.); #4303=FACE_BOUND('',#9382,.T.); #4304=FACE_BOUND('',#9384,.T.); #4305=FACE_BOUND('',#9386,.T.); #4306=FACE_BOUND('',#9388,.T.); #4307=FACE_BOUND('',#9390,.T.); #4308=FACE_BOUND('',#9392,.T.); #4309=FACE_BOUND('',#9394,.T.); #4310=FACE_BOUND('',#9396,.T.); #4311=FACE_BOUND('',#9398,.T.); #4312=FACE_BOUND('',#9400,.T.); #4313=FACE_BOUND('',#9402,.T.); #4314=FACE_BOUND('',#9404,.T.); #4315=FACE_BOUND('',#9406,.T.); #4316=FACE_BOUND('',#9408,.T.); #4317=FACE_BOUND('',#9410,.T.); #4318=FACE_BOUND('',#9412,.T.); #4319=FACE_BOUND('',#9417,.T.); #4320=FACE_BOUND('',#9418,.T.); #4321=FACE_BOUND('',#9419,.T.); #4322=FACE_BOUND('',#9420,.T.); #4323=FACE_BOUND('',#9421,.T.); #4324=FACE_BOUND('',#9422,.T.); #4325=FACE_BOUND('',#9423,.T.); #4326=FACE_BOUND('',#9424,.T.); #4327=FACE_BOUND('',#9425,.T.); #4328=FACE_BOUND('',#9426,.T.); #4329=FACE_BOUND('',#9428,.T.); #4330=FACE_BOUND('',#9429,.T.); #4331=FACE_BOUND('',#9430,.T.); #4332=FACE_BOUND('',#9431,.T.); #4333=FACE_BOUND('',#9432,.T.); #4334=FACE_BOUND('',#9433,.T.); #4335=FACE_BOUND('',#9434,.T.); #4336=FACE_BOUND('',#9435,.T.); #4337=FACE_BOUND('',#9436,.T.); #4338=FACE_BOUND('',#9437,.T.); #4339=FACE_BOUND('',#9439,.T.); #4340=FACE_BOUND('',#9441,.T.); #4341=FACE_BOUND('',#9443,.T.); #4342=FACE_BOUND('',#9445,.T.); #4343=FACE_BOUND('',#9447,.T.); #4344=FACE_BOUND('',#9449,.T.); #4345=FACE_BOUND('',#9451,.T.); #4346=FACE_BOUND('',#9453,.T.); #4347=FACE_BOUND('',#9455,.T.); #4348=FACE_BOUND('',#9457,.T.); #4349=FACE_BOUND('',#9459,.T.); #4350=FACE_BOUND('',#9461,.T.); #4351=FACE_BOUND('',#9463,.T.); #4352=FACE_BOUND('',#9465,.T.); #4353=FACE_BOUND('',#9467,.T.); #4354=FACE_BOUND('',#9469,.T.); #4355=FACE_BOUND('',#9471,.T.); #4356=FACE_BOUND('',#9473,.T.); #4357=FACE_BOUND('',#9475,.T.); #4358=FACE_BOUND('',#9477,.T.); #4359=FACE_BOUND('',#9479,.T.); #4360=FACE_BOUND('',#9481,.T.); #4361=FACE_BOUND('',#9483,.T.); #4362=FACE_BOUND('',#9485,.T.); #4363=FACE_BOUND('',#9487,.T.); #4364=FACE_BOUND('',#9489,.T.); #4365=FACE_BOUND('',#9491,.T.); #4366=FACE_BOUND('',#9493,.T.); #4367=FACE_BOUND('',#9495,.T.); #4368=FACE_BOUND('',#9497,.T.); #4369=FACE_BOUND('',#9499,.T.); #4370=FACE_BOUND('',#9501,.T.); #4371=FACE_BOUND('',#9503,.T.); #4372=FACE_BOUND('',#9505,.T.); #4373=FACE_BOUND('',#9507,.T.); #4374=FACE_BOUND('',#9509,.T.); #4375=FACE_BOUND('',#9511,.T.); #4376=FACE_BOUND('',#9513,.T.); #4377=FACE_BOUND('',#9515,.T.); #4378=FACE_BOUND('',#9517,.T.); #4379=FACE_BOUND('',#9519,.T.); #4380=FACE_BOUND('',#9521,.T.); #4381=FACE_BOUND('',#9523,.T.); #4382=FACE_BOUND('',#9525,.T.); #4383=FACE_BOUND('',#9527,.T.); #4384=FACE_BOUND('',#9529,.T.); #4385=FACE_BOUND('',#9531,.T.); #4386=FACE_BOUND('',#9533,.T.); #4387=FACE_BOUND('',#9535,.T.); #4388=FACE_BOUND('',#9537,.T.); #4389=FACE_BOUND('',#9539,.T.); #4390=FACE_BOUND('',#9541,.T.); #4391=FACE_BOUND('',#9543,.T.); #4392=FACE_BOUND('',#9545,.T.); #4393=FACE_BOUND('',#9547,.T.); #4394=FACE_BOUND('',#9549,.T.); #4395=FACE_BOUND('',#9551,.T.); #4396=FACE_BOUND('',#9553,.T.); #4397=FACE_BOUND('',#9555,.T.); #4398=FACE_BOUND('',#9557,.T.); #4399=FACE_BOUND('',#9559,.T.); #4400=FACE_BOUND('',#9561,.T.); #4401=FACE_BOUND('',#9563,.T.); #4402=FACE_BOUND('',#9565,.T.); #4403=FACE_BOUND('',#9567,.T.); #4404=FACE_BOUND('',#9569,.T.); #4405=FACE_BOUND('',#9571,.T.); #4406=FACE_BOUND('',#9573,.T.); #4407=FACE_BOUND('',#9575,.T.); #4408=FACE_BOUND('',#9577,.T.); #4409=FACE_BOUND('',#9579,.T.); #4410=FACE_BOUND('',#9581,.T.); #4411=FACE_BOUND('',#9583,.T.); #4412=FACE_BOUND('',#9585,.T.); #4413=FACE_BOUND('',#9587,.T.); #4414=FACE_BOUND('',#9589,.T.); #4415=FACE_BOUND('',#9591,.T.); #4416=FACE_BOUND('',#9593,.T.); #4417=FACE_BOUND('',#9595,.T.); #4418=FACE_BOUND('',#9597,.T.); #4419=FACE_BOUND('',#9599,.T.); #4420=FACE_BOUND('',#9601,.T.); #4421=FACE_BOUND('',#9603,.T.); #4422=FACE_BOUND('',#9605,.T.); #4423=FACE_BOUND('',#9607,.T.); #4424=FACE_BOUND('',#9609,.T.); #4425=FACE_BOUND('',#9611,.T.); #4426=FACE_BOUND('',#9613,.T.); #4427=FACE_BOUND('',#9615,.T.); #4428=FACE_BOUND('',#9617,.T.); #4429=FACE_BOUND('',#9619,.T.); #4430=FACE_BOUND('',#9621,.T.); #4431=FACE_BOUND('',#9623,.T.); #4432=FACE_BOUND('',#9625,.T.); #4433=FACE_BOUND('',#9627,.T.); #4434=FACE_BOUND('',#9629,.T.); #4435=FACE_BOUND('',#9643,.T.); #4436=FACE_BOUND('',#9644,.T.); #4437=FACE_BOUND('',#9645,.T.); #4438=FACE_BOUND('',#9646,.T.); #4439=FACE_BOUND('',#9647,.T.); #4440=FACE_BOUND('',#9648,.T.); #4441=FACE_BOUND('',#9649,.T.); #4442=FACE_BOUND('',#9650,.T.); #4443=FACE_BOUND('',#9651,.T.); #4444=FACE_BOUND('',#9652,.T.); #4445=FACE_BOUND('',#9653,.T.); #4446=FACE_BOUND('',#9654,.T.); #4447=FACE_BOUND('',#9655,.T.); #4448=FACE_BOUND('',#9656,.T.); #4449=FACE_BOUND('',#9657,.T.); #4450=FACE_BOUND('',#9658,.T.); #4451=FACE_BOUND('',#9659,.T.); #4452=FACE_BOUND('',#9660,.T.); #4453=FACE_BOUND('',#9661,.T.); #4454=FACE_BOUND('',#9662,.T.); #4455=FACE_BOUND('',#9663,.T.); #4456=FACE_BOUND('',#9664,.T.); #4457=FACE_BOUND('',#9665,.T.); #4458=FACE_BOUND('',#9666,.T.); #4459=FACE_BOUND('',#9667,.T.); #4460=FACE_BOUND('',#9668,.T.); #4461=FACE_BOUND('',#9669,.T.); #4462=FACE_BOUND('',#9670,.T.); #4463=FACE_BOUND('',#9671,.T.); #4464=FACE_BOUND('',#9672,.T.); #4465=FACE_BOUND('',#9673,.T.); #4466=FACE_BOUND('',#9674,.T.); #4467=FACE_BOUND('',#9675,.T.); #4468=FACE_BOUND('',#9676,.T.); #4469=FACE_BOUND('',#9677,.T.); #4470=FACE_BOUND('',#9678,.T.); #4471=FACE_BOUND('',#9679,.T.); #4472=FACE_BOUND('',#9680,.T.); #4473=FACE_BOUND('',#9681,.T.); #4474=FACE_BOUND('',#9682,.T.); #4475=FACE_BOUND('',#9683,.T.); #4476=FACE_BOUND('',#9684,.T.); #4477=FACE_BOUND('',#9685,.T.); #4478=FACE_BOUND('',#9686,.T.); #4479=FACE_BOUND('',#9687,.T.); #4480=FACE_BOUND('',#9688,.T.); #4481=FACE_BOUND('',#9689,.T.); #4482=FACE_BOUND('',#9690,.T.); #4483=FACE_BOUND('',#9691,.T.); #4484=FACE_BOUND('',#9692,.T.); #4485=FACE_BOUND('',#9693,.T.); #4486=FACE_BOUND('',#9694,.T.); #4487=FACE_BOUND('',#9695,.T.); #4488=FACE_BOUND('',#9696,.T.); #4489=FACE_BOUND('',#9697,.T.); #4490=FACE_BOUND('',#9698,.T.); #4491=FACE_BOUND('',#9699,.T.); #4492=FACE_BOUND('',#9700,.T.); #4493=FACE_BOUND('',#9701,.T.); #4494=FACE_BOUND('',#9702,.T.); #4495=FACE_BOUND('',#9703,.T.); #4496=FACE_BOUND('',#9704,.T.); #4497=FACE_BOUND('',#9705,.T.); #4498=FACE_BOUND('',#9706,.T.); #4499=FACE_BOUND('',#9707,.T.); #4500=FACE_BOUND('',#9708,.T.); #4501=FACE_BOUND('',#9709,.T.); #4502=FACE_BOUND('',#9710,.T.); #4503=FACE_BOUND('',#9711,.T.); #4504=FACE_BOUND('',#9712,.T.); #4505=FACE_BOUND('',#9713,.T.); #4506=FACE_BOUND('',#9714,.T.); #4507=FACE_BOUND('',#9715,.T.); #4508=FACE_BOUND('',#9716,.T.); #4509=FACE_BOUND('',#9717,.T.); #4510=FACE_BOUND('',#9718,.T.); #4511=FACE_BOUND('',#9719,.T.); #4512=FACE_BOUND('',#9720,.T.); #4513=FACE_BOUND('',#9721,.T.); #4514=FACE_BOUND('',#9722,.T.); #4515=FACE_BOUND('',#9723,.T.); #4516=FACE_BOUND('',#9724,.T.); #4517=FACE_BOUND('',#9725,.T.); #4518=FACE_BOUND('',#9726,.T.); #4519=FACE_BOUND('',#9727,.T.); #4520=FACE_BOUND('',#9728,.T.); #4521=FACE_BOUND('',#9729,.T.); #4522=FACE_BOUND('',#9730,.T.); #4523=FACE_BOUND('',#9731,.T.); #4524=FACE_BOUND('',#9732,.T.); #4525=FACE_BOUND('',#9733,.T.); #4526=FACE_BOUND('',#9734,.T.); #4527=FACE_BOUND('',#9735,.T.); #4528=FACE_BOUND('',#9736,.T.); #4529=FACE_BOUND('',#9737,.T.); #4530=FACE_BOUND('',#9738,.T.); #4531=FACE_BOUND('',#9739,.T.); #4532=FACE_BOUND('',#9749,.T.); #4533=FACE_BOUND('',#9750,.T.); #4534=FACE_BOUND('',#9751,.T.); #4535=FACE_BOUND('',#9752,.T.); #4536=FACE_BOUND('',#9753,.T.); #4537=FACE_BOUND('',#9754,.T.); #4538=FACE_BOUND('',#9755,.T.); #4539=FACE_BOUND('',#9756,.T.); #4540=FACE_BOUND('',#9757,.T.); #4541=FACE_BOUND('',#9758,.T.); #4542=FACE_BOUND('',#9759,.T.); #4543=FACE_BOUND('',#9760,.T.); #4544=FACE_BOUND('',#9761,.T.); #4545=FACE_BOUND('',#9762,.T.); #4546=FACE_BOUND('',#9763,.T.); #4547=FACE_BOUND('',#9764,.T.); #4548=FACE_BOUND('',#9765,.T.); #4549=FACE_BOUND('',#9766,.T.); #4550=FACE_BOUND('',#9767,.T.); #4551=FACE_BOUND('',#9768,.T.); #4552=FACE_BOUND('',#9769,.T.); #4553=FACE_BOUND('',#9770,.T.); #4554=FACE_BOUND('',#9771,.T.); #4555=FACE_BOUND('',#9772,.T.); #4556=FACE_BOUND('',#9773,.T.); #4557=FACE_BOUND('',#9774,.T.); #4558=FACE_BOUND('',#9775,.T.); #4559=FACE_BOUND('',#9776,.T.); #4560=FACE_BOUND('',#9777,.T.); #4561=FACE_BOUND('',#9778,.T.); #4562=FACE_BOUND('',#9779,.T.); #4563=FACE_BOUND('',#9780,.T.); #4564=FACE_BOUND('',#9781,.T.); #4565=FACE_BOUND('',#9782,.T.); #4566=FACE_BOUND('',#9783,.T.); #4567=FACE_BOUND('',#9784,.T.); #4568=FACE_BOUND('',#9785,.T.); #4569=FACE_BOUND('',#9786,.T.); #4570=FACE_BOUND('',#9787,.T.); #4571=FACE_BOUND('',#9788,.T.); #4572=FACE_BOUND('',#9789,.T.); #4573=FACE_BOUND('',#9790,.T.); #4574=FACE_BOUND('',#9791,.T.); #4575=FACE_BOUND('',#9792,.T.); #4576=FACE_BOUND('',#9793,.T.); #4577=FACE_BOUND('',#9794,.T.); #4578=FACE_BOUND('',#9795,.T.); #4579=FACE_BOUND('',#9796,.T.); #4580=FACE_BOUND('',#9797,.T.); #4581=FACE_BOUND('',#9798,.T.); #4582=FACE_BOUND('',#9799,.T.); #4583=FACE_BOUND('',#9800,.T.); #4584=FACE_BOUND('',#9801,.T.); #4585=FACE_BOUND('',#9802,.T.); #4586=FACE_BOUND('',#9803,.T.); #4587=FACE_BOUND('',#9804,.T.); #4588=FACE_BOUND('',#9805,.T.); #4589=FACE_BOUND('',#9806,.T.); #4590=FACE_BOUND('',#9807,.T.); #4591=FACE_BOUND('',#9808,.T.); #4592=FACE_BOUND('',#9809,.T.); #4593=FACE_BOUND('',#9810,.T.); #4594=FACE_BOUND('',#9811,.T.); #4595=FACE_BOUND('',#9812,.T.); #4596=FACE_BOUND('',#9813,.T.); #4597=FACE_BOUND('',#9814,.T.); #4598=FACE_BOUND('',#9815,.T.); #4599=FACE_BOUND('',#9816,.T.); #4600=FACE_BOUND('',#9817,.T.); #4601=FACE_BOUND('',#9818,.T.); #4602=FACE_BOUND('',#9819,.T.); #4603=FACE_BOUND('',#9820,.T.); #4604=FACE_BOUND('',#9821,.T.); #4605=FACE_BOUND('',#9822,.T.); #4606=FACE_BOUND('',#9823,.T.); #4607=FACE_BOUND('',#9824,.T.); #4608=FACE_BOUND('',#9825,.T.); #4609=FACE_BOUND('',#9826,.T.); #4610=FACE_BOUND('',#9827,.T.); #4611=FACE_BOUND('',#9828,.T.); #4612=FACE_BOUND('',#9829,.T.); #4613=FACE_BOUND('',#9830,.T.); #4614=FACE_BOUND('',#9831,.T.); #4615=FACE_BOUND('',#9832,.T.); #4616=FACE_BOUND('',#9833,.T.); #4617=FACE_BOUND('',#9834,.T.); #4618=FACE_BOUND('',#9835,.T.); #4619=FACE_BOUND('',#9836,.T.); #4620=FACE_BOUND('',#9837,.T.); #4621=FACE_BOUND('',#9838,.T.); #4622=FACE_BOUND('',#9839,.T.); #4623=FACE_BOUND('',#9840,.T.); #4624=FACE_BOUND('',#9841,.T.); #4625=FACE_BOUND('',#9842,.T.); #4626=FACE_BOUND('',#9843,.T.); #4627=FACE_BOUND('',#9844,.T.); #4628=FACE_BOUND('',#9845,.T.); #4629=FACE_OUTER_BOUND('',#6166,.T.); #4630=FACE_OUTER_BOUND('',#6168,.T.); #4631=FACE_OUTER_BOUND('',#6170,.T.); #4632=FACE_OUTER_BOUND('',#6172,.T.); #4633=FACE_OUTER_BOUND('',#6174,.T.); #4634=FACE_OUTER_BOUND('',#6176,.T.); #4635=FACE_OUTER_BOUND('',#6178,.T.); #4636=FACE_OUTER_BOUND('',#6180,.T.); #4637=FACE_OUTER_BOUND('',#6182,.T.); #4638=FACE_OUTER_BOUND('',#6184,.T.); #4639=FACE_OUTER_BOUND('',#6186,.T.); #4640=FACE_OUTER_BOUND('',#6188,.T.); #4641=FACE_OUTER_BOUND('',#6190,.T.); #4642=FACE_OUTER_BOUND('',#6192,.T.); #4643=FACE_OUTER_BOUND('',#6194,.T.); #4644=FACE_OUTER_BOUND('',#6196,.T.); #4645=FACE_OUTER_BOUND('',#6198,.T.); #4646=FACE_OUTER_BOUND('',#6200,.T.); #4647=FACE_OUTER_BOUND('',#6202,.T.); #4648=FACE_OUTER_BOUND('',#6204,.T.); #4649=FACE_OUTER_BOUND('',#6206,.T.); #4650=FACE_OUTER_BOUND('',#6208,.T.); #4651=FACE_OUTER_BOUND('',#6210,.T.); #4652=FACE_OUTER_BOUND('',#6212,.T.); #4653=FACE_OUTER_BOUND('',#6214,.T.); #4654=FACE_OUTER_BOUND('',#6216,.T.); #4655=FACE_OUTER_BOUND('',#6218,.T.); #4656=FACE_OUTER_BOUND('',#6220,.T.); #4657=FACE_OUTER_BOUND('',#6222,.T.); #4658=FACE_OUTER_BOUND('',#6224,.T.); #4659=FACE_OUTER_BOUND('',#6226,.T.); #4660=FACE_OUTER_BOUND('',#6228,.T.); #4661=FACE_OUTER_BOUND('',#6230,.T.); #4662=FACE_OUTER_BOUND('',#6232,.T.); #4663=FACE_OUTER_BOUND('',#6234,.T.); #4664=FACE_OUTER_BOUND('',#6236,.T.); #4665=FACE_OUTER_BOUND('',#6238,.T.); #4666=FACE_OUTER_BOUND('',#6240,.T.); #4667=FACE_OUTER_BOUND('',#6242,.T.); #4668=FACE_OUTER_BOUND('',#6244,.T.); #4669=FACE_OUTER_BOUND('',#6246,.T.); #4670=FACE_OUTER_BOUND('',#6248,.T.); #4671=FACE_OUTER_BOUND('',#6250,.T.); #4672=FACE_OUTER_BOUND('',#6252,.T.); #4673=FACE_OUTER_BOUND('',#6254,.T.); #4674=FACE_OUTER_BOUND('',#6256,.T.); #4675=FACE_OUTER_BOUND('',#6258,.T.); #4676=FACE_OUTER_BOUND('',#6260,.T.); #4677=FACE_OUTER_BOUND('',#6262,.T.); #4678=FACE_OUTER_BOUND('',#6264,.T.); #4679=FACE_OUTER_BOUND('',#6266,.T.); #4680=FACE_OUTER_BOUND('',#6268,.T.); #4681=FACE_OUTER_BOUND('',#6270,.T.); #4682=FACE_OUTER_BOUND('',#6272,.T.); #4683=FACE_OUTER_BOUND('',#6274,.T.); #4684=FACE_OUTER_BOUND('',#6276,.T.); #4685=FACE_OUTER_BOUND('',#6278,.T.); #4686=FACE_OUTER_BOUND('',#6280,.T.); #4687=FACE_OUTER_BOUND('',#6282,.T.); #4688=FACE_OUTER_BOUND('',#6284,.T.); #4689=FACE_OUTER_BOUND('',#6286,.T.); #4690=FACE_OUTER_BOUND('',#6288,.T.); #4691=FACE_OUTER_BOUND('',#6290,.T.); #4692=FACE_OUTER_BOUND('',#6292,.T.); #4693=FACE_OUTER_BOUND('',#6294,.T.); #4694=FACE_OUTER_BOUND('',#6296,.T.); #4695=FACE_OUTER_BOUND('',#6298,.T.); #4696=FACE_OUTER_BOUND('',#6300,.T.); #4697=FACE_OUTER_BOUND('',#6302,.T.); #4698=FACE_OUTER_BOUND('',#6304,.T.); #4699=FACE_OUTER_BOUND('',#6306,.T.); #4700=FACE_OUTER_BOUND('',#6308,.T.); #4701=FACE_OUTER_BOUND('',#6310,.T.); #4702=FACE_OUTER_BOUND('',#6312,.T.); #4703=FACE_OUTER_BOUND('',#6314,.T.); #4704=FACE_OUTER_BOUND('',#6316,.T.); #4705=FACE_OUTER_BOUND('',#6318,.T.); #4706=FACE_OUTER_BOUND('',#6320,.T.); #4707=FACE_OUTER_BOUND('',#6322,.T.); #4708=FACE_OUTER_BOUND('',#6324,.T.); #4709=FACE_OUTER_BOUND('',#6326,.T.); #4710=FACE_OUTER_BOUND('',#6328,.T.); #4711=FACE_OUTER_BOUND('',#6330,.T.); #4712=FACE_OUTER_BOUND('',#6332,.T.); #4713=FACE_OUTER_BOUND('',#6334,.T.); #4714=FACE_OUTER_BOUND('',#6336,.T.); #4715=FACE_OUTER_BOUND('',#6338,.T.); #4716=FACE_OUTER_BOUND('',#6340,.T.); #4717=FACE_OUTER_BOUND('',#6342,.T.); #4718=FACE_OUTER_BOUND('',#6344,.T.); #4719=FACE_OUTER_BOUND('',#6346,.T.); #4720=FACE_OUTER_BOUND('',#6348,.T.); #4721=FACE_OUTER_BOUND('',#6350,.T.); #4722=FACE_OUTER_BOUND('',#6352,.T.); #4723=FACE_OUTER_BOUND('',#6354,.T.); #4724=FACE_OUTER_BOUND('',#6356,.T.); #4725=FACE_OUTER_BOUND('',#6358,.T.); #4726=FACE_OUTER_BOUND('',#6359,.T.); #4727=FACE_OUTER_BOUND('',#6361,.T.); #4728=FACE_OUTER_BOUND('',#6362,.T.); #4729=FACE_OUTER_BOUND('',#6364,.T.); #4730=FACE_OUTER_BOUND('',#6365,.T.); #4731=FACE_OUTER_BOUND('',#6367,.T.); #4732=FACE_OUTER_BOUND('',#6368,.T.); #4733=FACE_OUTER_BOUND('',#6370,.T.); #4734=FACE_OUTER_BOUND('',#6371,.T.); #4735=FACE_OUTER_BOUND('',#6373,.T.); #4736=FACE_OUTER_BOUND('',#6374,.T.); #4737=FACE_OUTER_BOUND('',#6376,.T.); #4738=FACE_OUTER_BOUND('',#6377,.T.); #4739=FACE_OUTER_BOUND('',#6379,.T.); #4740=FACE_OUTER_BOUND('',#6380,.T.); #4741=FACE_OUTER_BOUND('',#6382,.T.); #4742=FACE_OUTER_BOUND('',#6383,.T.); #4743=FACE_OUTER_BOUND('',#6385,.T.); #4744=FACE_OUTER_BOUND('',#6386,.T.); #4745=FACE_OUTER_BOUND('',#6388,.T.); #4746=FACE_OUTER_BOUND('',#6389,.T.); #4747=FACE_OUTER_BOUND('',#6391,.T.); #4748=FACE_OUTER_BOUND('',#6392,.T.); #4749=FACE_OUTER_BOUND('',#6394,.T.); #4750=FACE_OUTER_BOUND('',#6395,.T.); #4751=FACE_OUTER_BOUND('',#6397,.T.); #4752=FACE_OUTER_BOUND('',#6398,.T.); #4753=FACE_OUTER_BOUND('',#6400,.T.); #4754=FACE_OUTER_BOUND('',#6401,.T.); #4755=FACE_OUTER_BOUND('',#6403,.T.); #4756=FACE_OUTER_BOUND('',#6404,.T.); #4757=FACE_OUTER_BOUND('',#6406,.T.); #4758=FACE_OUTER_BOUND('',#6407,.T.); #4759=FACE_OUTER_BOUND('',#6409,.T.); #4760=FACE_OUTER_BOUND('',#6410,.T.); #4761=FACE_OUTER_BOUND('',#6412,.T.); #4762=FACE_OUTER_BOUND('',#6413,.T.); #4763=FACE_OUTER_BOUND('',#6415,.T.); #4764=FACE_OUTER_BOUND('',#6416,.T.); #4765=FACE_OUTER_BOUND('',#6418,.T.); #4766=FACE_OUTER_BOUND('',#6419,.T.); #4767=FACE_OUTER_BOUND('',#6421,.T.); #4768=FACE_OUTER_BOUND('',#6423,.T.); #4769=FACE_OUTER_BOUND('',#6425,.T.); #4770=FACE_OUTER_BOUND('',#6427,.T.); #4771=FACE_OUTER_BOUND('',#6429,.T.); #4772=FACE_OUTER_BOUND('',#6431,.T.); #4773=FACE_OUTER_BOUND('',#6433,.T.); #4774=FACE_OUTER_BOUND('',#6435,.T.); #4775=FACE_OUTER_BOUND('',#6437,.T.); #4776=FACE_OUTER_BOUND('',#6439,.T.); #4777=FACE_OUTER_BOUND('',#6441,.T.); #4778=FACE_OUTER_BOUND('',#6442,.T.); #4779=FACE_OUTER_BOUND('',#6443,.T.); #4780=FACE_OUTER_BOUND('',#6444,.T.); #4781=FACE_OUTER_BOUND('',#6445,.T.); #4782=FACE_OUTER_BOUND('',#6446,.T.); #4783=FACE_OUTER_BOUND('',#6447,.T.); #4784=FACE_OUTER_BOUND('',#6448,.T.); #4785=FACE_OUTER_BOUND('',#6449,.T.); #4786=FACE_OUTER_BOUND('',#6450,.T.); #4787=FACE_OUTER_BOUND('',#6451,.T.); #4788=FACE_OUTER_BOUND('',#6452,.T.); #4789=FACE_OUTER_BOUND('',#6453,.T.); #4790=FACE_OUTER_BOUND('',#6455,.T.); #4791=FACE_OUTER_BOUND('',#6456,.T.); #4792=FACE_OUTER_BOUND('',#6457,.T.); #4793=FACE_OUTER_BOUND('',#6458,.T.); #4794=FACE_OUTER_BOUND('',#6459,.T.); #4795=FACE_OUTER_BOUND('',#6461,.T.); #4796=FACE_OUTER_BOUND('',#6463,.T.); #4797=FACE_OUTER_BOUND('',#6465,.T.); #4798=FACE_OUTER_BOUND('',#6467,.T.); #4799=FACE_OUTER_BOUND('',#6469,.T.); #4800=FACE_OUTER_BOUND('',#6471,.T.); #4801=FACE_OUTER_BOUND('',#6473,.T.); #4802=FACE_OUTER_BOUND('',#6475,.T.); #4803=FACE_OUTER_BOUND('',#6477,.T.); #4804=FACE_OUTER_BOUND('',#6479,.T.); #4805=FACE_OUTER_BOUND('',#6481,.T.); #4806=FACE_OUTER_BOUND('',#6483,.T.); #4807=FACE_OUTER_BOUND('',#6485,.T.); #4808=FACE_OUTER_BOUND('',#6487,.T.); #4809=FACE_OUTER_BOUND('',#6489,.T.); #4810=FACE_OUTER_BOUND('',#6491,.T.); #4811=FACE_OUTER_BOUND('',#6493,.T.); #4812=FACE_OUTER_BOUND('',#6495,.T.); #4813=FACE_OUTER_BOUND('',#6497,.T.); #4814=FACE_OUTER_BOUND('',#6499,.T.); #4815=FACE_OUTER_BOUND('',#6501,.T.); #4816=FACE_OUTER_BOUND('',#6503,.T.); #4817=FACE_OUTER_BOUND('',#6505,.T.); #4818=FACE_OUTER_BOUND('',#6507,.T.); #4819=FACE_OUTER_BOUND('',#6509,.T.); #4820=FACE_OUTER_BOUND('',#6511,.T.); #4821=FACE_OUTER_BOUND('',#6513,.T.); #4822=FACE_OUTER_BOUND('',#6515,.T.); #4823=FACE_OUTER_BOUND('',#6517,.T.); #4824=FACE_OUTER_BOUND('',#6519,.T.); #4825=FACE_OUTER_BOUND('',#6521,.T.); #4826=FACE_OUTER_BOUND('',#6523,.T.); #4827=FACE_OUTER_BOUND('',#6525,.T.); #4828=FACE_OUTER_BOUND('',#6527,.T.); #4829=FACE_OUTER_BOUND('',#6529,.T.); #4830=FACE_OUTER_BOUND('',#6531,.T.); #4831=FACE_OUTER_BOUND('',#6533,.T.); #4832=FACE_OUTER_BOUND('',#6535,.T.); #4833=FACE_OUTER_BOUND('',#6537,.T.); #4834=FACE_OUTER_BOUND('',#6539,.T.); #4835=FACE_OUTER_BOUND('',#6541,.T.); #4836=FACE_OUTER_BOUND('',#6543,.T.); #4837=FACE_OUTER_BOUND('',#6545,.T.); #4838=FACE_OUTER_BOUND('',#6547,.T.); #4839=FACE_OUTER_BOUND('',#6549,.T.); #4840=FACE_OUTER_BOUND('',#6551,.T.); #4841=FACE_OUTER_BOUND('',#6553,.T.); #4842=FACE_OUTER_BOUND('',#6555,.T.); #4843=FACE_OUTER_BOUND('',#6557,.T.); #4844=FACE_OUTER_BOUND('',#6559,.T.); #4845=FACE_OUTER_BOUND('',#6561,.T.); #4846=FACE_OUTER_BOUND('',#6563,.T.); #4847=FACE_OUTER_BOUND('',#6565,.T.); #4848=FACE_OUTER_BOUND('',#6567,.T.); #4849=FACE_OUTER_BOUND('',#6569,.T.); #4850=FACE_OUTER_BOUND('',#6570,.T.); #4851=FACE_OUTER_BOUND('',#6571,.T.); #4852=FACE_OUTER_BOUND('',#6572,.T.); #4853=FACE_OUTER_BOUND('',#6573,.T.); #4854=FACE_OUTER_BOUND('',#6574,.T.); #4855=FACE_OUTER_BOUND('',#6575,.T.); #4856=FACE_OUTER_BOUND('',#6576,.T.); #4857=FACE_OUTER_BOUND('',#6577,.T.); #4858=FACE_OUTER_BOUND('',#6578,.T.); #4859=FACE_OUTER_BOUND('',#6579,.T.); #4860=FACE_OUTER_BOUND('',#6580,.T.); #4861=FACE_OUTER_BOUND('',#6581,.T.); #4862=FACE_OUTER_BOUND('',#6582,.T.); #4863=FACE_OUTER_BOUND('',#6583,.T.); #4864=FACE_OUTER_BOUND('',#6585,.T.); #4865=FACE_OUTER_BOUND('',#6586,.T.); #4866=FACE_OUTER_BOUND('',#6587,.T.); #4867=FACE_OUTER_BOUND('',#6588,.T.); #4868=FACE_OUTER_BOUND('',#6590,.T.); #4869=FACE_OUTER_BOUND('',#6591,.T.); #4870=FACE_OUTER_BOUND('',#6593,.T.); #4871=FACE_OUTER_BOUND('',#6594,.T.); #4872=FACE_OUTER_BOUND('',#6596,.T.); #4873=FACE_OUTER_BOUND('',#6597,.T.); #4874=FACE_OUTER_BOUND('',#6599,.T.); #4875=FACE_OUTER_BOUND('',#6600,.T.); #4876=FACE_OUTER_BOUND('',#6602,.T.); #4877=FACE_OUTER_BOUND('',#6603,.T.); #4878=FACE_OUTER_BOUND('',#6605,.T.); #4879=FACE_OUTER_BOUND('',#6606,.T.); #4880=FACE_OUTER_BOUND('',#6608,.T.); #4881=FACE_OUTER_BOUND('',#6609,.T.); #4882=FACE_OUTER_BOUND('',#6611,.T.); #4883=FACE_OUTER_BOUND('',#6612,.T.); #4884=FACE_OUTER_BOUND('',#6614,.T.); #4885=FACE_OUTER_BOUND('',#6615,.T.); #4886=FACE_OUTER_BOUND('',#6617,.T.); #4887=FACE_OUTER_BOUND('',#6618,.T.); #4888=FACE_OUTER_BOUND('',#6620,.T.); #4889=FACE_OUTER_BOUND('',#6621,.T.); #4890=FACE_OUTER_BOUND('',#6623,.T.); #4891=FACE_OUTER_BOUND('',#6624,.T.); #4892=FACE_OUTER_BOUND('',#6626,.T.); #4893=FACE_OUTER_BOUND('',#6627,.T.); #4894=FACE_OUTER_BOUND('',#6629,.T.); #4895=FACE_OUTER_BOUND('',#6630,.T.); #4896=FACE_OUTER_BOUND('',#6632,.T.); #4897=FACE_OUTER_BOUND('',#6633,.T.); #4898=FACE_OUTER_BOUND('',#6635,.T.); #4899=FACE_OUTER_BOUND('',#6636,.T.); #4900=FACE_OUTER_BOUND('',#6638,.T.); #4901=FACE_OUTER_BOUND('',#6639,.T.); #4902=FACE_OUTER_BOUND('',#6641,.T.); #4903=FACE_OUTER_BOUND('',#6642,.T.); #4904=FACE_OUTER_BOUND('',#6644,.T.); #4905=FACE_OUTER_BOUND('',#6645,.T.); #4906=FACE_OUTER_BOUND('',#6647,.T.); #4907=FACE_OUTER_BOUND('',#6648,.T.); #4908=FACE_OUTER_BOUND('',#6650,.T.); #4909=FACE_OUTER_BOUND('',#6651,.T.); #4910=FACE_OUTER_BOUND('',#6653,.T.); #4911=FACE_OUTER_BOUND('',#6654,.T.); #4912=FACE_OUTER_BOUND('',#6656,.T.); #4913=FACE_OUTER_BOUND('',#6657,.T.); #4914=FACE_OUTER_BOUND('',#6659,.T.); #4915=FACE_OUTER_BOUND('',#6660,.T.); #4916=FACE_OUTER_BOUND('',#6662,.T.); #4917=FACE_OUTER_BOUND('',#6663,.T.); #4918=FACE_OUTER_BOUND('',#6665,.T.); #4919=FACE_OUTER_BOUND('',#6666,.T.); #4920=FACE_OUTER_BOUND('',#6668,.T.); #4921=FACE_OUTER_BOUND('',#6669,.T.); #4922=FACE_OUTER_BOUND('',#6671,.T.); #4923=FACE_OUTER_BOUND('',#6672,.T.); #4924=FACE_OUTER_BOUND('',#6674,.T.); #4925=FACE_OUTER_BOUND('',#6675,.T.); #4926=FACE_OUTER_BOUND('',#6677,.T.); #4927=FACE_OUTER_BOUND('',#6678,.T.); #4928=FACE_OUTER_BOUND('',#6680,.T.); #4929=FACE_OUTER_BOUND('',#6681,.T.); #4930=FACE_OUTER_BOUND('',#6683,.T.); #4931=FACE_OUTER_BOUND('',#6684,.T.); #4932=FACE_OUTER_BOUND('',#6686,.T.); #4933=FACE_OUTER_BOUND('',#6687,.T.); #4934=FACE_OUTER_BOUND('',#6689,.T.); #4935=FACE_OUTER_BOUND('',#6690,.T.); #4936=FACE_OUTER_BOUND('',#6692,.T.); #4937=FACE_OUTER_BOUND('',#6700,.T.); #4938=FACE_OUTER_BOUND('',#6803,.T.); #4939=FACE_OUTER_BOUND('',#6811,.T.); #4940=FACE_OUTER_BOUND('',#6819,.T.); #4941=FACE_OUTER_BOUND('',#6820,.T.); #4942=FACE_OUTER_BOUND('',#6958,.T.); #4943=FACE_OUTER_BOUND('',#6959,.T.); #4944=FACE_OUTER_BOUND('',#6960,.T.); #4945=FACE_OUTER_BOUND('',#6961,.T.); #4946=FACE_OUTER_BOUND('',#6963,.T.); #4947=FACE_OUTER_BOUND('',#6964,.T.); #4948=FACE_OUTER_BOUND('',#6966,.T.); #4949=FACE_OUTER_BOUND('',#6967,.T.); #4950=FACE_OUTER_BOUND('',#6969,.T.); #4951=FACE_OUTER_BOUND('',#6970,.T.); #4952=FACE_OUTER_BOUND('',#6972,.T.); #4953=FACE_OUTER_BOUND('',#6973,.T.); #4954=FACE_OUTER_BOUND('',#6975,.T.); #4955=FACE_OUTER_BOUND('',#6976,.T.); #4956=FACE_OUTER_BOUND('',#6978,.T.); #4957=FACE_OUTER_BOUND('',#6979,.T.); #4958=FACE_OUTER_BOUND('',#6981,.T.); #4959=FACE_OUTER_BOUND('',#6983,.T.); #4960=FACE_OUTER_BOUND('',#6985,.T.); #4961=FACE_OUTER_BOUND('',#6987,.T.); #4962=FACE_OUTER_BOUND('',#6989,.T.); #4963=FACE_OUTER_BOUND('',#6991,.T.); #4964=FACE_OUTER_BOUND('',#6993,.T.); #4965=FACE_OUTER_BOUND('',#6995,.T.); #4966=FACE_OUTER_BOUND('',#6997,.T.); #4967=FACE_OUTER_BOUND('',#6999,.T.); #4968=FACE_OUTER_BOUND('',#7001,.T.); #4969=FACE_OUTER_BOUND('',#7003,.T.); #4970=FACE_OUTER_BOUND('',#7005,.T.); #4971=FACE_OUTER_BOUND('',#7007,.T.); #4972=FACE_OUTER_BOUND('',#7009,.T.); #4973=FACE_OUTER_BOUND('',#7011,.T.); #4974=FACE_OUTER_BOUND('',#7013,.T.); #4975=FACE_OUTER_BOUND('',#7014,.T.); #4976=FACE_OUTER_BOUND('',#7015,.T.); #4977=FACE_OUTER_BOUND('',#7024,.T.); #4978=FACE_OUTER_BOUND('',#7025,.T.); #4979=FACE_OUTER_BOUND('',#7034,.T.); #4980=FACE_OUTER_BOUND('',#7035,.T.); #4981=FACE_OUTER_BOUND('',#7043,.T.); #4982=FACE_OUTER_BOUND('',#7044,.T.); #4983=FACE_OUTER_BOUND('',#7053,.T.); #4984=FACE_OUTER_BOUND('',#7054,.T.); #4985=FACE_OUTER_BOUND('',#7063,.T.); #4986=FACE_OUTER_BOUND('',#7064,.T.); #4987=FACE_OUTER_BOUND('',#7065,.T.); #4988=FACE_OUTER_BOUND('',#7067,.T.); #4989=FACE_OUTER_BOUND('',#7068,.T.); #4990=FACE_OUTER_BOUND('',#7070,.T.); #4991=FACE_OUTER_BOUND('',#7071,.T.); #4992=FACE_OUTER_BOUND('',#7073,.T.); #4993=FACE_OUTER_BOUND('',#7074,.T.); #4994=FACE_OUTER_BOUND('',#7076,.T.); #4995=FACE_OUTER_BOUND('',#7077,.T.); #4996=FACE_OUTER_BOUND('',#7079,.T.); #4997=FACE_OUTER_BOUND('',#7081,.T.); #4998=FACE_OUTER_BOUND('',#7083,.T.); #4999=FACE_OUTER_BOUND('',#7085,.T.); #5000=FACE_OUTER_BOUND('',#7087,.T.); #5001=FACE_OUTER_BOUND('',#7089,.T.); #5002=FACE_OUTER_BOUND('',#7091,.T.); #5003=FACE_OUTER_BOUND('',#7093,.T.); #5004=FACE_OUTER_BOUND('',#7095,.T.); #5005=FACE_OUTER_BOUND('',#7097,.T.); #5006=FACE_OUTER_BOUND('',#7099,.T.); #5007=FACE_OUTER_BOUND('',#7101,.T.); #5008=FACE_OUTER_BOUND('',#7103,.T.); #5009=FACE_OUTER_BOUND('',#7105,.T.); #5010=FACE_OUTER_BOUND('',#7107,.T.); #5011=FACE_OUTER_BOUND('',#7109,.T.); #5012=FACE_OUTER_BOUND('',#7111,.T.); #5013=FACE_OUTER_BOUND('',#7113,.T.); #5014=FACE_OUTER_BOUND('',#7114,.T.); #5015=FACE_OUTER_BOUND('',#7123,.T.); #5016=FACE_OUTER_BOUND('',#7124,.T.); #5017=FACE_OUTER_BOUND('',#7142,.T.); #5018=FACE_OUTER_BOUND('',#7148,.T.); #5019=FACE_OUTER_BOUND('',#7149,.T.); #5020=FACE_OUTER_BOUND('',#7150,.T.); #5021=FACE_OUTER_BOUND('',#7160,.T.); #5022=FACE_OUTER_BOUND('',#7161,.T.); #5023=FACE_OUTER_BOUND('',#7163,.T.); #5024=FACE_OUTER_BOUND('',#7164,.T.); #5025=FACE_OUTER_BOUND('',#7166,.T.); #5026=FACE_OUTER_BOUND('',#7167,.T.); #5027=FACE_OUTER_BOUND('',#7169,.T.); #5028=FACE_OUTER_BOUND('',#7170,.T.); #5029=FACE_OUTER_BOUND('',#7172,.T.); #5030=FACE_OUTER_BOUND('',#7173,.T.); #5031=FACE_OUTER_BOUND('',#7175,.T.); #5032=FACE_OUTER_BOUND('',#7177,.T.); #5033=FACE_OUTER_BOUND('',#7179,.T.); #5034=FACE_OUTER_BOUND('',#7181,.T.); #5035=FACE_OUTER_BOUND('',#7183,.T.); #5036=FACE_OUTER_BOUND('',#7185,.T.); #5037=FACE_OUTER_BOUND('',#7187,.T.); #5038=FACE_OUTER_BOUND('',#7189,.T.); #5039=FACE_OUTER_BOUND('',#7191,.T.); #5040=FACE_OUTER_BOUND('',#7193,.T.); #5041=FACE_OUTER_BOUND('',#7195,.T.); #5042=FACE_OUTER_BOUND('',#7197,.T.); #5043=FACE_OUTER_BOUND('',#7199,.T.); #5044=FACE_OUTER_BOUND('',#7201,.T.); #5045=FACE_OUTER_BOUND('',#7203,.T.); #5046=FACE_OUTER_BOUND('',#7205,.T.); #5047=FACE_OUTER_BOUND('',#7207,.T.); #5048=FACE_OUTER_BOUND('',#7209,.T.); #5049=FACE_OUTER_BOUND('',#7227,.T.); #5050=FACE_OUTER_BOUND('',#7233,.T.); #5051=FACE_OUTER_BOUND('',#7234,.T.); #5052=FACE_OUTER_BOUND('',#7235,.T.); #5053=FACE_OUTER_BOUND('',#7244,.T.); #5054=FACE_OUTER_BOUND('',#7245,.T.); #5055=FACE_OUTER_BOUND('',#7255,.T.); #5056=FACE_OUTER_BOUND('',#7256,.T.); #5057=FACE_OUTER_BOUND('',#7257,.T.); #5058=FACE_OUTER_BOUND('',#7259,.T.); #5059=FACE_OUTER_BOUND('',#7260,.T.); #5060=FACE_OUTER_BOUND('',#7262,.T.); #5061=FACE_OUTER_BOUND('',#7263,.T.); #5062=FACE_OUTER_BOUND('',#7265,.T.); #5063=FACE_OUTER_BOUND('',#7266,.T.); #5064=FACE_OUTER_BOUND('',#7268,.T.); #5065=FACE_OUTER_BOUND('',#7269,.T.); #5066=FACE_OUTER_BOUND('',#7271,.T.); #5067=FACE_OUTER_BOUND('',#7272,.T.); #5068=FACE_OUTER_BOUND('',#7274,.T.); #5069=FACE_OUTER_BOUND('',#7275,.T.); #5070=FACE_OUTER_BOUND('',#7277,.T.); #5071=FACE_OUTER_BOUND('',#7278,.T.); #5072=FACE_OUTER_BOUND('',#7280,.T.); #5073=FACE_OUTER_BOUND('',#7281,.T.); #5074=FACE_OUTER_BOUND('',#7283,.T.); #5075=FACE_OUTER_BOUND('',#7284,.T.); #5076=FACE_OUTER_BOUND('',#7286,.T.); #5077=FACE_OUTER_BOUND('',#7287,.T.); #5078=FACE_OUTER_BOUND('',#7289,.T.); #5079=FACE_OUTER_BOUND('',#7291,.T.); #5080=FACE_OUTER_BOUND('',#7293,.T.); #5081=FACE_OUTER_BOUND('',#7295,.T.); #5082=FACE_OUTER_BOUND('',#7296,.T.); #5083=FACE_OUTER_BOUND('',#7298,.T.); #5084=FACE_OUTER_BOUND('',#7299,.T.); #5085=FACE_OUTER_BOUND('',#7301,.T.); #5086=FACE_OUTER_BOUND('',#7302,.T.); #5087=FACE_OUTER_BOUND('',#7304,.T.); #5088=FACE_OUTER_BOUND('',#7305,.T.); #5089=FACE_OUTER_BOUND('',#7307,.T.); #5090=FACE_OUTER_BOUND('',#7308,.T.); #5091=FACE_OUTER_BOUND('',#7309,.T.); #5092=FACE_OUTER_BOUND('',#7310,.T.); #5093=FACE_OUTER_BOUND('',#7311,.T.); #5094=FACE_OUTER_BOUND('',#7312,.T.); #5095=FACE_OUTER_BOUND('',#7313,.T.); #5096=FACE_OUTER_BOUND('',#7314,.T.); #5097=FACE_OUTER_BOUND('',#7315,.T.); #5098=FACE_OUTER_BOUND('',#7316,.T.); #5099=FACE_OUTER_BOUND('',#7317,.T.); #5100=FACE_OUTER_BOUND('',#7318,.T.); #5101=FACE_OUTER_BOUND('',#7319,.T.); #5102=FACE_OUTER_BOUND('',#7320,.T.); #5103=FACE_OUTER_BOUND('',#7321,.T.); #5104=FACE_OUTER_BOUND('',#7322,.T.); #5105=FACE_OUTER_BOUND('',#7323,.T.); #5106=FACE_OUTER_BOUND('',#7324,.T.); #5107=FACE_OUTER_BOUND('',#7325,.T.); #5108=FACE_OUTER_BOUND('',#7326,.T.); #5109=FACE_OUTER_BOUND('',#7327,.T.); #5110=FACE_OUTER_BOUND('',#7328,.T.); #5111=FACE_OUTER_BOUND('',#7329,.T.); #5112=FACE_OUTER_BOUND('',#7331,.T.); #5113=FACE_OUTER_BOUND('',#7332,.T.); #5114=FACE_OUTER_BOUND('',#7334,.T.); #5115=FACE_OUTER_BOUND('',#7335,.T.); #5116=FACE_OUTER_BOUND('',#7337,.T.); #5117=FACE_OUTER_BOUND('',#7338,.T.); #5118=FACE_OUTER_BOUND('',#7340,.T.); #5119=FACE_OUTER_BOUND('',#7341,.T.); #5120=FACE_OUTER_BOUND('',#7342,.T.); #5121=FACE_OUTER_BOUND('',#7344,.T.); #5122=FACE_OUTER_BOUND('',#7345,.T.); #5123=FACE_OUTER_BOUND('',#7347,.T.); #5124=FACE_OUTER_BOUND('',#7348,.T.); #5125=FACE_OUTER_BOUND('',#7350,.T.); #5126=FACE_OUTER_BOUND('',#7351,.T.); #5127=FACE_OUTER_BOUND('',#7353,.T.); #5128=FACE_OUTER_BOUND('',#7354,.T.); #5129=FACE_OUTER_BOUND('',#7356,.T.); #5130=FACE_OUTER_BOUND('',#7357,.T.); #5131=FACE_OUTER_BOUND('',#7359,.T.); #5132=FACE_OUTER_BOUND('',#7360,.T.); #5133=FACE_OUTER_BOUND('',#7362,.T.); #5134=FACE_OUTER_BOUND('',#7363,.T.); #5135=FACE_OUTER_BOUND('',#7365,.T.); #5136=FACE_OUTER_BOUND('',#7366,.T.); #5137=FACE_OUTER_BOUND('',#7368,.T.); #5138=FACE_OUTER_BOUND('',#7369,.T.); #5139=FACE_OUTER_BOUND('',#7371,.T.); #5140=FACE_OUTER_BOUND('',#7372,.T.); #5141=FACE_OUTER_BOUND('',#7374,.T.); #5142=FACE_OUTER_BOUND('',#7375,.T.); #5143=FACE_OUTER_BOUND('',#7377,.T.); #5144=FACE_OUTER_BOUND('',#7378,.T.); #5145=FACE_OUTER_BOUND('',#7380,.T.); #5146=FACE_OUTER_BOUND('',#7381,.T.); #5147=FACE_OUTER_BOUND('',#7383,.T.); #5148=FACE_OUTER_BOUND('',#7384,.T.); #5149=FACE_OUTER_BOUND('',#7386,.T.); #5150=FACE_OUTER_BOUND('',#7387,.T.); #5151=FACE_OUTER_BOUND('',#7389,.T.); #5152=FACE_OUTER_BOUND('',#7390,.T.); #5153=FACE_OUTER_BOUND('',#7392,.T.); #5154=FACE_OUTER_BOUND('',#7393,.T.); #5155=FACE_OUTER_BOUND('',#7395,.T.); #5156=FACE_OUTER_BOUND('',#7396,.T.); #5157=FACE_OUTER_BOUND('',#7398,.T.); #5158=FACE_OUTER_BOUND('',#7399,.T.); #5159=FACE_OUTER_BOUND('',#7401,.T.); #5160=FACE_OUTER_BOUND('',#7402,.T.); #5161=FACE_OUTER_BOUND('',#7404,.T.); #5162=FACE_OUTER_BOUND('',#7405,.T.); #5163=FACE_OUTER_BOUND('',#7407,.T.); #5164=FACE_OUTER_BOUND('',#7408,.T.); #5165=FACE_OUTER_BOUND('',#7410,.T.); #5166=FACE_OUTER_BOUND('',#7411,.T.); #5167=FACE_OUTER_BOUND('',#7413,.T.); #5168=FACE_OUTER_BOUND('',#7414,.T.); #5169=FACE_OUTER_BOUND('',#7416,.T.); #5170=FACE_OUTER_BOUND('',#7417,.T.); #5171=FACE_OUTER_BOUND('',#7419,.T.); #5172=FACE_OUTER_BOUND('',#7420,.T.); #5173=FACE_OUTER_BOUND('',#7422,.T.); #5174=FACE_OUTER_BOUND('',#7423,.T.); #5175=FACE_OUTER_BOUND('',#7425,.T.); #5176=FACE_OUTER_BOUND('',#7426,.T.); #5177=FACE_OUTER_BOUND('',#7428,.T.); #5178=FACE_OUTER_BOUND('',#7429,.T.); #5179=FACE_OUTER_BOUND('',#7431,.T.); #5180=FACE_OUTER_BOUND('',#7432,.T.); #5181=FACE_OUTER_BOUND('',#7434,.T.); #5182=FACE_OUTER_BOUND('',#7435,.T.); #5183=FACE_OUTER_BOUND('',#7437,.T.); #5184=FACE_OUTER_BOUND('',#7438,.T.); #5185=FACE_OUTER_BOUND('',#7440,.T.); #5186=FACE_OUTER_BOUND('',#7441,.T.); #5187=FACE_OUTER_BOUND('',#7443,.T.); #5188=FACE_OUTER_BOUND('',#7444,.T.); #5189=FACE_OUTER_BOUND('',#7446,.T.); #5190=FACE_OUTER_BOUND('',#7447,.T.); #5191=FACE_OUTER_BOUND('',#7449,.T.); #5192=FACE_OUTER_BOUND('',#7450,.T.); #5193=FACE_OUTER_BOUND('',#7452,.T.); #5194=FACE_OUTER_BOUND('',#7453,.T.); #5195=FACE_OUTER_BOUND('',#7455,.T.); #5196=FACE_OUTER_BOUND('',#7456,.T.); #5197=FACE_OUTER_BOUND('',#7458,.T.); #5198=FACE_OUTER_BOUND('',#7459,.T.); #5199=FACE_OUTER_BOUND('',#7461,.T.); #5200=FACE_OUTER_BOUND('',#7462,.T.); #5201=FACE_OUTER_BOUND('',#7464,.T.); #5202=FACE_OUTER_BOUND('',#7465,.T.); #5203=FACE_OUTER_BOUND('',#7467,.T.); #5204=FACE_OUTER_BOUND('',#7468,.T.); #5205=FACE_OUTER_BOUND('',#7470,.T.); #5206=FACE_OUTER_BOUND('',#7471,.T.); #5207=FACE_OUTER_BOUND('',#7473,.T.); #5208=FACE_OUTER_BOUND('',#7474,.T.); #5209=FACE_OUTER_BOUND('',#7476,.T.); #5210=FACE_OUTER_BOUND('',#7477,.T.); #5211=FACE_OUTER_BOUND('',#7479,.T.); #5212=FACE_OUTER_BOUND('',#7480,.T.); #5213=FACE_OUTER_BOUND('',#7482,.T.); #5214=FACE_OUTER_BOUND('',#7489,.T.); #5215=FACE_OUTER_BOUND('',#7530,.T.); #5216=FACE_OUTER_BOUND('',#7540,.T.); #5217=FACE_OUTER_BOUND('',#7541,.T.); #5218=FACE_OUTER_BOUND('',#7542,.T.); #5219=FACE_OUTER_BOUND('',#7552,.T.); #5220=FACE_OUTER_BOUND('',#7557,.T.); #5221=FACE_OUTER_BOUND('',#7558,.T.); #5222=FACE_OUTER_BOUND('',#7559,.T.); #5223=FACE_OUTER_BOUND('',#7561,.T.); #5224=FACE_OUTER_BOUND('',#7562,.T.); #5225=FACE_OUTER_BOUND('',#7563,.T.); #5226=FACE_OUTER_BOUND('',#7564,.T.); #5227=FACE_OUTER_BOUND('',#7565,.T.); #5228=FACE_OUTER_BOUND('',#7566,.T.); #5229=FACE_OUTER_BOUND('',#7567,.T.); #5230=FACE_OUTER_BOUND('',#7568,.T.); #5231=FACE_OUTER_BOUND('',#7569,.T.); #5232=FACE_OUTER_BOUND('',#7571,.T.); #5233=FACE_OUTER_BOUND('',#7573,.T.); #5234=FACE_OUTER_BOUND('',#7575,.T.); #5235=FACE_OUTER_BOUND('',#7576,.T.); #5236=FACE_OUTER_BOUND('',#7578,.T.); #5237=FACE_OUTER_BOUND('',#7580,.T.); #5238=FACE_OUTER_BOUND('',#7582,.T.); #5239=FACE_OUTER_BOUND('',#7584,.T.); #5240=FACE_OUTER_BOUND('',#7586,.T.); #5241=FACE_OUTER_BOUND('',#7588,.T.); #5242=FACE_OUTER_BOUND('',#7590,.T.); #5243=FACE_OUTER_BOUND('',#7592,.T.); #5244=FACE_OUTER_BOUND('',#7594,.T.); #5245=FACE_OUTER_BOUND('',#7596,.T.); #5246=FACE_OUTER_BOUND('',#7598,.T.); #5247=FACE_OUTER_BOUND('',#7601,.T.); #5248=FACE_OUTER_BOUND('',#7604,.T.); #5249=FACE_OUTER_BOUND('',#7606,.T.); #5250=FACE_OUTER_BOUND('',#7608,.T.); #5251=FACE_OUTER_BOUND('',#7610,.T.); #5252=FACE_OUTER_BOUND('',#7612,.T.); #5253=FACE_OUTER_BOUND('',#7614,.T.); #5254=FACE_OUTER_BOUND('',#7616,.T.); #5255=FACE_OUTER_BOUND('',#7618,.T.); #5256=FACE_OUTER_BOUND('',#7620,.T.); #5257=FACE_OUTER_BOUND('',#7621,.T.); #5258=FACE_OUTER_BOUND('',#7622,.T.); #5259=FACE_OUTER_BOUND('',#7641,.T.); #5260=FACE_OUTER_BOUND('',#7642,.T.); #5261=FACE_OUTER_BOUND('',#7647,.T.); #5262=FACE_OUTER_BOUND('',#7666,.T.); #5263=FACE_OUTER_BOUND('',#7667,.T.); #5264=FACE_OUTER_BOUND('',#7676,.T.); #5265=FACE_OUTER_BOUND('',#7677,.T.); #5266=FACE_OUTER_BOUND('',#7679,.T.); #5267=FACE_OUTER_BOUND('',#7680,.T.); #5268=FACE_OUTER_BOUND('',#7682,.T.); #5269=FACE_OUTER_BOUND('',#7683,.T.); #5270=FACE_OUTER_BOUND('',#7685,.T.); #5271=FACE_OUTER_BOUND('',#7686,.T.); #5272=FACE_OUTER_BOUND('',#7688,.T.); #5273=FACE_OUTER_BOUND('',#7689,.T.); #5274=FACE_OUTER_BOUND('',#7691,.T.); #5275=FACE_OUTER_BOUND('',#7692,.T.); #5276=FACE_OUTER_BOUND('',#7694,.T.); #5277=FACE_OUTER_BOUND('',#7695,.T.); #5278=FACE_OUTER_BOUND('',#7697,.T.); #5279=FACE_OUTER_BOUND('',#7698,.T.); #5280=FACE_OUTER_BOUND('',#7700,.T.); #5281=FACE_OUTER_BOUND('',#7703,.T.); #5282=FACE_OUTER_BOUND('',#7704,.T.); #5283=FACE_OUTER_BOUND('',#7705,.T.); #5284=FACE_OUTER_BOUND('',#7706,.T.); #5285=FACE_OUTER_BOUND('',#7708,.T.); #5286=FACE_OUTER_BOUND('',#7709,.T.); #5287=FACE_OUTER_BOUND('',#7711,.T.); #5288=FACE_OUTER_BOUND('',#7712,.T.); #5289=FACE_OUTER_BOUND('',#7714,.T.); #5290=FACE_OUTER_BOUND('',#7715,.T.); #5291=FACE_OUTER_BOUND('',#7717,.T.); #5292=FACE_OUTER_BOUND('',#7718,.T.); #5293=FACE_OUTER_BOUND('',#7720,.T.); #5294=FACE_OUTER_BOUND('',#7721,.T.); #5295=FACE_OUTER_BOUND('',#7723,.T.); #5296=FACE_OUTER_BOUND('',#7724,.T.); #5297=FACE_OUTER_BOUND('',#7726,.T.); #5298=FACE_OUTER_BOUND('',#7727,.T.); #5299=FACE_OUTER_BOUND('',#7729,.T.); #5300=FACE_OUTER_BOUND('',#7730,.T.); #5301=FACE_OUTER_BOUND('',#7732,.T.); #5302=FACE_OUTER_BOUND('',#7734,.T.); #5303=FACE_OUTER_BOUND('',#7735,.T.); #5304=FACE_OUTER_BOUND('',#7737,.T.); #5305=FACE_OUTER_BOUND('',#7738,.T.); #5306=FACE_OUTER_BOUND('',#7740,.T.); #5307=FACE_OUTER_BOUND('',#7741,.T.); #5308=FACE_OUTER_BOUND('',#7743,.T.); #5309=FACE_OUTER_BOUND('',#7744,.T.); #5310=FACE_OUTER_BOUND('',#7746,.T.); #5311=FACE_OUTER_BOUND('',#7747,.T.); #5312=FACE_OUTER_BOUND('',#7749,.T.); #5313=FACE_OUTER_BOUND('',#7750,.T.); #5314=FACE_OUTER_BOUND('',#7752,.T.); #5315=FACE_OUTER_BOUND('',#7753,.T.); #5316=FACE_OUTER_BOUND('',#7755,.T.); #5317=FACE_OUTER_BOUND('',#7756,.T.); #5318=FACE_OUTER_BOUND('',#7758,.T.); #5319=FACE_OUTER_BOUND('',#7759,.T.); #5320=FACE_OUTER_BOUND('',#7761,.T.); #5321=FACE_OUTER_BOUND('',#7762,.T.); #5322=FACE_OUTER_BOUND('',#7764,.T.); #5323=FACE_OUTER_BOUND('',#7765,.T.); #5324=FACE_OUTER_BOUND('',#7767,.T.); #5325=FACE_OUTER_BOUND('',#7769,.T.); #5326=FACE_OUTER_BOUND('',#7770,.T.); #5327=FACE_OUTER_BOUND('',#7772,.T.); #5328=FACE_OUTER_BOUND('',#7773,.T.); #5329=FACE_OUTER_BOUND('',#7775,.T.); #5330=FACE_OUTER_BOUND('',#7776,.T.); #5331=FACE_OUTER_BOUND('',#7778,.T.); #5332=FACE_OUTER_BOUND('',#7779,.T.); #5333=FACE_OUTER_BOUND('',#7781,.T.); #5334=FACE_OUTER_BOUND('',#7782,.T.); #5335=FACE_OUTER_BOUND('',#7784,.T.); #5336=FACE_OUTER_BOUND('',#7785,.T.); #5337=FACE_OUTER_BOUND('',#7787,.T.); #5338=FACE_OUTER_BOUND('',#7788,.T.); #5339=FACE_OUTER_BOUND('',#7790,.T.); #5340=FACE_OUTER_BOUND('',#7791,.T.); #5341=FACE_OUTER_BOUND('',#7793,.T.); #5342=FACE_OUTER_BOUND('',#7794,.T.); #5343=FACE_OUTER_BOUND('',#7796,.T.); #5344=FACE_OUTER_BOUND('',#7797,.T.); #5345=FACE_OUTER_BOUND('',#7799,.T.); #5346=FACE_OUTER_BOUND('',#7800,.T.); #5347=FACE_OUTER_BOUND('',#7802,.T.); #5348=FACE_OUTER_BOUND('',#7803,.T.); #5349=FACE_OUTER_BOUND('',#7805,.T.); #5350=FACE_OUTER_BOUND('',#7806,.T.); #5351=FACE_OUTER_BOUND('',#7808,.T.); #5352=FACE_OUTER_BOUND('',#7809,.T.); #5353=FACE_OUTER_BOUND('',#7811,.T.); #5354=FACE_OUTER_BOUND('',#7812,.T.); #5355=FACE_OUTER_BOUND('',#7814,.T.); #5356=FACE_OUTER_BOUND('',#7815,.T.); #5357=FACE_OUTER_BOUND('',#7817,.T.); #5358=FACE_OUTER_BOUND('',#7818,.T.); #5359=FACE_OUTER_BOUND('',#7820,.T.); #5360=FACE_OUTER_BOUND('',#7821,.T.); #5361=FACE_OUTER_BOUND('',#7823,.T.); #5362=FACE_OUTER_BOUND('',#7824,.T.); #5363=FACE_OUTER_BOUND('',#7826,.T.); #5364=FACE_OUTER_BOUND('',#7827,.T.); #5365=FACE_OUTER_BOUND('',#7829,.T.); #5366=FACE_OUTER_BOUND('',#7830,.T.); #5367=FACE_OUTER_BOUND('',#7832,.T.); #5368=FACE_OUTER_BOUND('',#7833,.T.); #5369=FACE_OUTER_BOUND('',#7835,.T.); #5370=FACE_OUTER_BOUND('',#7836,.T.); #5371=FACE_OUTER_BOUND('',#7838,.T.); #5372=FACE_OUTER_BOUND('',#7839,.T.); #5373=FACE_OUTER_BOUND('',#7841,.T.); #5374=FACE_OUTER_BOUND('',#7842,.T.); #5375=FACE_OUTER_BOUND('',#7844,.T.); #5376=FACE_OUTER_BOUND('',#7845,.T.); #5377=FACE_OUTER_BOUND('',#7847,.T.); #5378=FACE_OUTER_BOUND('',#7848,.T.); #5379=FACE_OUTER_BOUND('',#7850,.T.); #5380=FACE_OUTER_BOUND('',#7851,.T.); #5381=FACE_OUTER_BOUND('',#7853,.T.); #5382=FACE_OUTER_BOUND('',#7854,.T.); #5383=FACE_OUTER_BOUND('',#7856,.T.); #5384=FACE_OUTER_BOUND('',#7857,.T.); #5385=FACE_OUTER_BOUND('',#7859,.T.); #5386=FACE_OUTER_BOUND('',#7860,.T.); #5387=FACE_OUTER_BOUND('',#7862,.T.); #5388=FACE_OUTER_BOUND('',#7863,.T.); #5389=FACE_OUTER_BOUND('',#7865,.T.); #5390=FACE_OUTER_BOUND('',#7866,.T.); #5391=FACE_OUTER_BOUND('',#7868,.T.); #5392=FACE_OUTER_BOUND('',#7869,.T.); #5393=FACE_OUTER_BOUND('',#7871,.T.); #5394=FACE_OUTER_BOUND('',#7872,.T.); #5395=FACE_OUTER_BOUND('',#7874,.T.); #5396=FACE_OUTER_BOUND('',#7875,.T.); #5397=FACE_OUTER_BOUND('',#7877,.T.); #5398=FACE_OUTER_BOUND('',#7878,.T.); #5399=FACE_OUTER_BOUND('',#7880,.T.); #5400=FACE_OUTER_BOUND('',#7881,.T.); #5401=FACE_OUTER_BOUND('',#7883,.T.); #5402=FACE_OUTER_BOUND('',#7884,.T.); #5403=FACE_OUTER_BOUND('',#7886,.T.); #5404=FACE_OUTER_BOUND('',#7887,.T.); #5405=FACE_OUTER_BOUND('',#7889,.T.); #5406=FACE_OUTER_BOUND('',#7890,.T.); #5407=FACE_OUTER_BOUND('',#7892,.T.); #5408=FACE_OUTER_BOUND('',#7893,.T.); #5409=FACE_OUTER_BOUND('',#7895,.T.); #5410=FACE_OUTER_BOUND('',#7896,.T.); #5411=FACE_OUTER_BOUND('',#7898,.T.); #5412=FACE_OUTER_BOUND('',#7899,.T.); #5413=FACE_OUTER_BOUND('',#7901,.T.); #5414=FACE_OUTER_BOUND('',#7902,.T.); #5415=FACE_OUTER_BOUND('',#7904,.T.); #5416=FACE_OUTER_BOUND('',#7905,.T.); #5417=FACE_OUTER_BOUND('',#7907,.T.); #5418=FACE_OUTER_BOUND('',#7908,.T.); #5419=FACE_OUTER_BOUND('',#7910,.T.); #5420=FACE_OUTER_BOUND('',#7911,.T.); #5421=FACE_OUTER_BOUND('',#7913,.T.); #5422=FACE_OUTER_BOUND('',#7914,.T.); #5423=FACE_OUTER_BOUND('',#7916,.T.); #5424=FACE_OUTER_BOUND('',#7917,.T.); #5425=FACE_OUTER_BOUND('',#7919,.T.); #5426=FACE_OUTER_BOUND('',#7920,.T.); #5427=FACE_OUTER_BOUND('',#7922,.T.); #5428=FACE_OUTER_BOUND('',#7923,.T.); #5429=FACE_OUTER_BOUND('',#7925,.T.); #5430=FACE_OUTER_BOUND('',#7926,.T.); #5431=FACE_OUTER_BOUND('',#7928,.T.); #5432=FACE_OUTER_BOUND('',#7929,.T.); #5433=FACE_OUTER_BOUND('',#7931,.T.); #5434=FACE_OUTER_BOUND('',#7932,.T.); #5435=FACE_OUTER_BOUND('',#7934,.T.); #5436=FACE_OUTER_BOUND('',#7935,.T.); #5437=FACE_OUTER_BOUND('',#7937,.T.); #5438=FACE_OUTER_BOUND('',#7938,.T.); #5439=FACE_OUTER_BOUND('',#7940,.T.); #5440=FACE_OUTER_BOUND('',#7941,.T.); #5441=FACE_OUTER_BOUND('',#7943,.T.); #5442=FACE_OUTER_BOUND('',#7944,.T.); #5443=FACE_OUTER_BOUND('',#7946,.T.); #5444=FACE_OUTER_BOUND('',#7947,.T.); #5445=FACE_OUTER_BOUND('',#7949,.T.); #5446=FACE_OUTER_BOUND('',#7950,.T.); #5447=FACE_OUTER_BOUND('',#7952,.T.); #5448=FACE_OUTER_BOUND('',#7953,.T.); #5449=FACE_OUTER_BOUND('',#7955,.T.); #5450=FACE_OUTER_BOUND('',#7956,.T.); #5451=FACE_OUTER_BOUND('',#7958,.T.); #5452=FACE_OUTER_BOUND('',#7959,.T.); #5453=FACE_OUTER_BOUND('',#7961,.T.); #5454=FACE_OUTER_BOUND('',#7962,.T.); #5455=FACE_OUTER_BOUND('',#7964,.T.); #5456=FACE_OUTER_BOUND('',#7965,.T.); #5457=FACE_OUTER_BOUND('',#7967,.T.); #5458=FACE_OUTER_BOUND('',#7968,.T.); #5459=FACE_OUTER_BOUND('',#7970,.T.); #5460=FACE_OUTER_BOUND('',#7971,.T.); #5461=FACE_OUTER_BOUND('',#7973,.T.); #5462=FACE_OUTER_BOUND('',#7974,.T.); #5463=FACE_OUTER_BOUND('',#7976,.T.); #5464=FACE_OUTER_BOUND('',#7977,.T.); #5465=FACE_OUTER_BOUND('',#7979,.T.); #5466=FACE_OUTER_BOUND('',#7980,.T.); #5467=FACE_OUTER_BOUND('',#7982,.T.); #5468=FACE_OUTER_BOUND('',#7983,.T.); #5469=FACE_OUTER_BOUND('',#7985,.T.); #5470=FACE_OUTER_BOUND('',#7986,.T.); #5471=FACE_OUTER_BOUND('',#7988,.T.); #5472=FACE_OUTER_BOUND('',#7989,.T.); #5473=FACE_OUTER_BOUND('',#7991,.T.); #5474=FACE_OUTER_BOUND('',#7992,.T.); #5475=FACE_OUTER_BOUND('',#7994,.T.); #5476=FACE_OUTER_BOUND('',#7995,.T.); #5477=FACE_OUTER_BOUND('',#7997,.T.); #5478=FACE_OUTER_BOUND('',#7998,.T.); #5479=FACE_OUTER_BOUND('',#8000,.T.); #5480=FACE_OUTER_BOUND('',#8001,.T.); #5481=FACE_OUTER_BOUND('',#8003,.T.); #5482=FACE_OUTER_BOUND('',#8004,.T.); #5483=FACE_OUTER_BOUND('',#8006,.T.); #5484=FACE_OUTER_BOUND('',#8007,.T.); #5485=FACE_OUTER_BOUND('',#8009,.T.); #5486=FACE_OUTER_BOUND('',#8010,.T.); #5487=FACE_OUTER_BOUND('',#8012,.T.); #5488=FACE_OUTER_BOUND('',#8014,.T.); #5489=FACE_OUTER_BOUND('',#8024,.T.); #5490=FACE_OUTER_BOUND('',#8026,.T.); #5491=FACE_OUTER_BOUND('',#8033,.T.); #5492=FACE_OUTER_BOUND('',#8090,.T.); #5493=FACE_OUTER_BOUND('',#8097,.T.); #5494=FACE_OUTER_BOUND('',#8107,.T.); #5495=FACE_OUTER_BOUND('',#8109,.T.); #5496=FACE_OUTER_BOUND('',#8110,.T.); #5497=FACE_OUTER_BOUND('',#8111,.T.); #5498=FACE_OUTER_BOUND('',#8112,.T.); #5499=FACE_OUTER_BOUND('',#8113,.T.); #5500=FACE_OUTER_BOUND('',#8114,.T.); #5501=FACE_OUTER_BOUND('',#8115,.T.); #5502=FACE_OUTER_BOUND('',#8116,.T.); #5503=FACE_OUTER_BOUND('',#8117,.T.); #5504=FACE_OUTER_BOUND('',#8118,.T.); #5505=FACE_OUTER_BOUND('',#8128,.T.); #5506=FACE_OUTER_BOUND('',#8138,.T.); #5507=FACE_OUTER_BOUND('',#8139,.T.); #5508=FACE_OUTER_BOUND('',#8140,.T.); #5509=FACE_OUTER_BOUND('',#8141,.T.); #5510=FACE_OUTER_BOUND('',#8142,.T.); #5511=FACE_OUTER_BOUND('',#8143,.T.); #5512=FACE_OUTER_BOUND('',#8144,.T.); #5513=FACE_OUTER_BOUND('',#8145,.T.); #5514=FACE_OUTER_BOUND('',#8146,.T.); #5515=FACE_OUTER_BOUND('',#8147,.T.); #5516=FACE_OUTER_BOUND('',#8148,.T.); #5517=FACE_OUTER_BOUND('',#8149,.T.); #5518=FACE_OUTER_BOUND('',#8150,.T.); #5519=FACE_OUTER_BOUND('',#8151,.T.); #5520=FACE_OUTER_BOUND('',#8152,.T.); #5521=FACE_OUTER_BOUND('',#8153,.T.); #5522=FACE_OUTER_BOUND('',#8154,.T.); #5523=FACE_OUTER_BOUND('',#8155,.T.); #5524=FACE_OUTER_BOUND('',#8156,.T.); #5525=FACE_OUTER_BOUND('',#8157,.T.); #5526=FACE_OUTER_BOUND('',#8158,.T.); #5527=FACE_OUTER_BOUND('',#8159,.T.); #5528=FACE_OUTER_BOUND('',#8160,.T.); #5529=FACE_OUTER_BOUND('',#8161,.T.); #5530=FACE_OUTER_BOUND('',#8162,.T.); #5531=FACE_OUTER_BOUND('',#8163,.T.); #5532=FACE_OUTER_BOUND('',#8164,.T.); #5533=FACE_OUTER_BOUND('',#8165,.T.); #5534=FACE_OUTER_BOUND('',#8166,.T.); #5535=FACE_OUTER_BOUND('',#8167,.T.); #5536=FACE_OUTER_BOUND('',#8169,.T.); #5537=FACE_OUTER_BOUND('',#8170,.T.); #5538=FACE_OUTER_BOUND('',#8172,.T.); #5539=FACE_OUTER_BOUND('',#8173,.T.); #5540=FACE_OUTER_BOUND('',#8175,.T.); #5541=FACE_OUTER_BOUND('',#8176,.T.); #5542=FACE_OUTER_BOUND('',#8178,.T.); #5543=FACE_OUTER_BOUND('',#8179,.T.); #5544=FACE_OUTER_BOUND('',#8181,.T.); #5545=FACE_OUTER_BOUND('',#8182,.T.); #5546=FACE_OUTER_BOUND('',#8184,.T.); #5547=FACE_OUTER_BOUND('',#8185,.T.); #5548=FACE_OUTER_BOUND('',#8187,.T.); #5549=FACE_OUTER_BOUND('',#8188,.T.); #5550=FACE_OUTER_BOUND('',#8190,.T.); #5551=FACE_OUTER_BOUND('',#8191,.T.); #5552=FACE_OUTER_BOUND('',#8193,.T.); #5553=FACE_OUTER_BOUND('',#8194,.T.); #5554=FACE_OUTER_BOUND('',#8196,.T.); #5555=FACE_OUTER_BOUND('',#8197,.T.); #5556=FACE_OUTER_BOUND('',#8199,.T.); #5557=FACE_OUTER_BOUND('',#8200,.T.); #5558=FACE_OUTER_BOUND('',#8202,.T.); #5559=FACE_OUTER_BOUND('',#8205,.T.); #5560=FACE_OUTER_BOUND('',#8206,.T.); #5561=FACE_OUTER_BOUND('',#8207,.T.); #5562=FACE_OUTER_BOUND('',#8208,.T.); #5563=FACE_OUTER_BOUND('',#8210,.T.); #5564=FACE_OUTER_BOUND('',#8211,.T.); #5565=FACE_OUTER_BOUND('',#8213,.T.); #5566=FACE_OUTER_BOUND('',#8214,.T.); #5567=FACE_OUTER_BOUND('',#8216,.T.); #5568=FACE_OUTER_BOUND('',#8217,.T.); #5569=FACE_OUTER_BOUND('',#8219,.T.); #5570=FACE_OUTER_BOUND('',#8220,.T.); #5571=FACE_OUTER_BOUND('',#8222,.T.); #5572=FACE_OUTER_BOUND('',#8223,.T.); #5573=FACE_OUTER_BOUND('',#8225,.T.); #5574=FACE_OUTER_BOUND('',#8226,.T.); #5575=FACE_OUTER_BOUND('',#8228,.T.); #5576=FACE_OUTER_BOUND('',#8229,.T.); #5577=FACE_OUTER_BOUND('',#8231,.T.); #5578=FACE_OUTER_BOUND('',#8232,.T.); #5579=FACE_OUTER_BOUND('',#8234,.T.); #5580=FACE_OUTER_BOUND('',#8235,.T.); #5581=FACE_OUTER_BOUND('',#8237,.T.); #5582=FACE_OUTER_BOUND('',#8238,.T.); #5583=FACE_OUTER_BOUND('',#8240,.T.); #5584=FACE_OUTER_BOUND('',#8241,.T.); #5585=FACE_OUTER_BOUND('',#8243,.T.); #5586=FACE_OUTER_BOUND('',#8244,.T.); #5587=FACE_OUTER_BOUND('',#8246,.T.); #5588=FACE_OUTER_BOUND('',#8247,.T.); #5589=FACE_OUTER_BOUND('',#8249,.T.); #5590=FACE_OUTER_BOUND('',#8250,.T.); #5591=FACE_OUTER_BOUND('',#8252,.T.); #5592=FACE_OUTER_BOUND('',#8253,.T.); #5593=FACE_OUTER_BOUND('',#8255,.T.); #5594=FACE_OUTER_BOUND('',#8256,.T.); #5595=FACE_OUTER_BOUND('',#8258,.T.); #5596=FACE_OUTER_BOUND('',#8259,.T.); #5597=FACE_OUTER_BOUND('',#8261,.T.); #5598=FACE_OUTER_BOUND('',#8262,.T.); #5599=FACE_OUTER_BOUND('',#8264,.T.); #5600=FACE_OUTER_BOUND('',#8265,.T.); #5601=FACE_OUTER_BOUND('',#8267,.T.); #5602=FACE_OUTER_BOUND('',#8268,.T.); #5603=FACE_OUTER_BOUND('',#8270,.T.); #5604=FACE_OUTER_BOUND('',#8271,.T.); #5605=FACE_OUTER_BOUND('',#8273,.T.); #5606=FACE_OUTER_BOUND('',#8274,.T.); #5607=FACE_OUTER_BOUND('',#8276,.T.); #5608=FACE_OUTER_BOUND('',#8277,.T.); #5609=FACE_OUTER_BOUND('',#8279,.T.); #5610=FACE_OUTER_BOUND('',#8280,.T.); #5611=FACE_OUTER_BOUND('',#8282,.T.); #5612=FACE_OUTER_BOUND('',#8283,.T.); #5613=FACE_OUTER_BOUND('',#8285,.T.); #5614=FACE_OUTER_BOUND('',#8286,.T.); #5615=FACE_OUTER_BOUND('',#8288,.T.); #5616=FACE_OUTER_BOUND('',#8289,.T.); #5617=FACE_OUTER_BOUND('',#8291,.T.); #5618=FACE_OUTER_BOUND('',#8292,.T.); #5619=FACE_OUTER_BOUND('',#8294,.T.); #5620=FACE_OUTER_BOUND('',#8295,.T.); #5621=FACE_OUTER_BOUND('',#8297,.T.); #5622=FACE_OUTER_BOUND('',#8299,.T.); #5623=FACE_OUTER_BOUND('',#8309,.T.); #5624=FACE_OUTER_BOUND('',#8314,.T.); #5625=FACE_OUTER_BOUND('',#8315,.T.); #5626=FACE_OUTER_BOUND('',#8316,.T.); #5627=FACE_OUTER_BOUND('',#8323,.T.); #5628=FACE_OUTER_BOUND('',#8324,.T.); #5629=FACE_OUTER_BOUND('',#8334,.T.); #5630=FACE_OUTER_BOUND('',#8351,.T.); #5631=FACE_OUTER_BOUND('',#8353,.T.); #5632=FACE_OUTER_BOUND('',#8354,.T.); #5633=FACE_OUTER_BOUND('',#8355,.T.); #5634=FACE_OUTER_BOUND('',#8356,.T.); #5635=FACE_OUTER_BOUND('',#8357,.T.); #5636=FACE_OUTER_BOUND('',#8358,.T.); #5637=FACE_OUTER_BOUND('',#8359,.T.); #5638=FACE_OUTER_BOUND('',#8360,.T.); #5639=FACE_OUTER_BOUND('',#8361,.T.); #5640=FACE_OUTER_BOUND('',#8362,.T.); #5641=FACE_OUTER_BOUND('',#8363,.T.); #5642=FACE_OUTER_BOUND('',#8400,.T.); #5643=FACE_OUTER_BOUND('',#8402,.T.); #5644=FACE_OUTER_BOUND('',#8404,.T.); #5645=FACE_OUTER_BOUND('',#8406,.T.); #5646=FACE_OUTER_BOUND('',#8408,.T.); #5647=FACE_OUTER_BOUND('',#8410,.T.); #5648=FACE_OUTER_BOUND('',#8412,.T.); #5649=FACE_OUTER_BOUND('',#8414,.T.); #5650=FACE_OUTER_BOUND('',#8416,.T.); #5651=FACE_OUTER_BOUND('',#8418,.T.); #5652=FACE_OUTER_BOUND('',#8420,.T.); #5653=FACE_OUTER_BOUND('',#8422,.T.); #5654=FACE_OUTER_BOUND('',#8424,.T.); #5655=FACE_OUTER_BOUND('',#8426,.T.); #5656=FACE_OUTER_BOUND('',#8428,.T.); #5657=FACE_OUTER_BOUND('',#8430,.T.); #5658=FACE_OUTER_BOUND('',#8432,.T.); #5659=FACE_OUTER_BOUND('',#8434,.T.); #5660=FACE_OUTER_BOUND('',#8436,.T.); #5661=FACE_OUTER_BOUND('',#8438,.T.); #5662=FACE_OUTER_BOUND('',#8440,.T.); #5663=FACE_OUTER_BOUND('',#8442,.T.); #5664=FACE_OUTER_BOUND('',#8444,.T.); #5665=FACE_OUTER_BOUND('',#8446,.T.); #5666=FACE_OUTER_BOUND('',#8448,.T.); #5667=FACE_OUTER_BOUND('',#8450,.T.); #5668=FACE_OUTER_BOUND('',#8452,.T.); #5669=FACE_OUTER_BOUND('',#8454,.T.); #5670=FACE_OUTER_BOUND('',#8456,.T.); #5671=FACE_OUTER_BOUND('',#8458,.T.); #5672=FACE_OUTER_BOUND('',#8460,.T.); #5673=FACE_OUTER_BOUND('',#8462,.T.); #5674=FACE_OUTER_BOUND('',#8464,.T.); #5675=FACE_OUTER_BOUND('',#8466,.T.); #5676=FACE_OUTER_BOUND('',#8468,.T.); #5677=FACE_OUTER_BOUND('',#8470,.T.); #5678=FACE_OUTER_BOUND('',#8472,.T.); #5679=FACE_OUTER_BOUND('',#8473,.T.); #5680=FACE_OUTER_BOUND('',#8475,.T.); #5681=FACE_OUTER_BOUND('',#8476,.T.); #5682=FACE_OUTER_BOUND('',#8478,.T.); #5683=FACE_OUTER_BOUND('',#8479,.T.); #5684=FACE_OUTER_BOUND('',#8481,.T.); #5685=FACE_OUTER_BOUND('',#8482,.T.); #5686=FACE_OUTER_BOUND('',#8484,.T.); #5687=FACE_OUTER_BOUND('',#8485,.T.); #5688=FACE_OUTER_BOUND('',#8487,.T.); #5689=FACE_OUTER_BOUND('',#8488,.T.); #5690=FACE_OUTER_BOUND('',#8490,.T.); #5691=FACE_OUTER_BOUND('',#8491,.T.); #5692=FACE_OUTER_BOUND('',#8493,.T.); #5693=FACE_OUTER_BOUND('',#8501,.T.); #5694=FACE_OUTER_BOUND('',#8502,.T.); #5695=FACE_OUTER_BOUND('',#8539,.T.); #5696=FACE_OUTER_BOUND('',#8541,.T.); #5697=FACE_OUTER_BOUND('',#8543,.T.); #5698=FACE_OUTER_BOUND('',#8545,.T.); #5699=FACE_OUTER_BOUND('',#8547,.T.); #5700=FACE_OUTER_BOUND('',#8549,.T.); #5701=FACE_OUTER_BOUND('',#8551,.T.); #5702=FACE_OUTER_BOUND('',#8553,.T.); #5703=FACE_OUTER_BOUND('',#8555,.T.); #5704=FACE_OUTER_BOUND('',#8557,.T.); #5705=FACE_OUTER_BOUND('',#8559,.T.); #5706=FACE_OUTER_BOUND('',#8561,.T.); #5707=FACE_OUTER_BOUND('',#8563,.T.); #5708=FACE_OUTER_BOUND('',#8565,.T.); #5709=FACE_OUTER_BOUND('',#8567,.T.); #5710=FACE_OUTER_BOUND('',#8569,.T.); #5711=FACE_OUTER_BOUND('',#8571,.T.); #5712=FACE_OUTER_BOUND('',#8573,.T.); #5713=FACE_OUTER_BOUND('',#8575,.T.); #5714=FACE_OUTER_BOUND('',#8577,.T.); #5715=FACE_OUTER_BOUND('',#8579,.T.); #5716=FACE_OUTER_BOUND('',#8581,.T.); #5717=FACE_OUTER_BOUND('',#8583,.T.); #5718=FACE_OUTER_BOUND('',#8585,.T.); #5719=FACE_OUTER_BOUND('',#8587,.T.); #5720=FACE_OUTER_BOUND('',#8589,.T.); #5721=FACE_OUTER_BOUND('',#8591,.T.); #5722=FACE_OUTER_BOUND('',#8593,.T.); #5723=FACE_OUTER_BOUND('',#8595,.T.); #5724=FACE_OUTER_BOUND('',#8597,.T.); #5725=FACE_OUTER_BOUND('',#8599,.T.); #5726=FACE_OUTER_BOUND('',#8601,.T.); #5727=FACE_OUTER_BOUND('',#8603,.T.); #5728=FACE_OUTER_BOUND('',#8604,.T.); #5729=FACE_OUTER_BOUND('',#8605,.T.); #5730=FACE_OUTER_BOUND('',#8638,.T.); #5731=FACE_OUTER_BOUND('',#8639,.T.); #5732=FACE_OUTER_BOUND('',#8672,.T.); #5733=FACE_OUTER_BOUND('',#8673,.T.); #5734=FACE_OUTER_BOUND('',#8674,.T.); #5735=FACE_OUTER_BOUND('',#8676,.T.); #5736=FACE_OUTER_BOUND('',#8677,.T.); #5737=FACE_OUTER_BOUND('',#8679,.T.); #5738=FACE_OUTER_BOUND('',#8680,.T.); #5739=FACE_OUTER_BOUND('',#8682,.T.); #5740=FACE_OUTER_BOUND('',#8683,.T.); #5741=FACE_OUTER_BOUND('',#8685,.T.); #5742=FACE_OUTER_BOUND('',#8686,.T.); #5743=FACE_OUTER_BOUND('',#8688,.T.); #5744=FACE_OUTER_BOUND('',#8689,.T.); #5745=FACE_OUTER_BOUND('',#8691,.T.); #5746=FACE_OUTER_BOUND('',#8692,.T.); #5747=FACE_OUTER_BOUND('',#8694,.T.); #5748=FACE_OUTER_BOUND('',#8695,.T.); #5749=FACE_OUTER_BOUND('',#8697,.T.); #5750=FACE_OUTER_BOUND('',#8698,.T.); #5751=FACE_OUTER_BOUND('',#8700,.T.); #5752=FACE_OUTER_BOUND('',#8701,.T.); #5753=FACE_OUTER_BOUND('',#8703,.T.); #5754=FACE_OUTER_BOUND('',#8704,.T.); #5755=FACE_OUTER_BOUND('',#8706,.T.); #5756=FACE_OUTER_BOUND('',#8707,.T.); #5757=FACE_OUTER_BOUND('',#8709,.T.); #5758=FACE_OUTER_BOUND('',#8710,.T.); #5759=FACE_OUTER_BOUND('',#8712,.T.); #5760=FACE_OUTER_BOUND('',#8713,.T.); #5761=FACE_OUTER_BOUND('',#8715,.T.); #5762=FACE_OUTER_BOUND('',#8716,.T.); #5763=FACE_OUTER_BOUND('',#8718,.T.); #5764=FACE_OUTER_BOUND('',#8719,.T.); #5765=FACE_OUTER_BOUND('',#8721,.T.); #5766=FACE_OUTER_BOUND('',#8722,.T.); #5767=FACE_OUTER_BOUND('',#8724,.T.); #5768=FACE_OUTER_BOUND('',#8725,.T.); #5769=FACE_OUTER_BOUND('',#8727,.T.); #5770=FACE_OUTER_BOUND('',#8728,.T.); #5771=FACE_OUTER_BOUND('',#8730,.T.); #5772=FACE_OUTER_BOUND('',#8731,.T.); #5773=FACE_OUTER_BOUND('',#8733,.T.); #5774=FACE_OUTER_BOUND('',#8734,.T.); #5775=FACE_OUTER_BOUND('',#8736,.T.); #5776=FACE_OUTER_BOUND('',#8737,.T.); #5777=FACE_OUTER_BOUND('',#8739,.T.); #5778=FACE_OUTER_BOUND('',#8740,.T.); #5779=FACE_OUTER_BOUND('',#8742,.T.); #5780=FACE_OUTER_BOUND('',#8743,.T.); #5781=FACE_OUTER_BOUND('',#8745,.T.); #5782=FACE_OUTER_BOUND('',#8747,.T.); #5783=FACE_OUTER_BOUND('',#8749,.T.); #5784=FACE_OUTER_BOUND('',#8751,.T.); #5785=FACE_OUTER_BOUND('',#8753,.T.); #5786=FACE_OUTER_BOUND('',#8755,.T.); #5787=FACE_OUTER_BOUND('',#8757,.T.); #5788=FACE_OUTER_BOUND('',#8759,.T.); #5789=FACE_OUTER_BOUND('',#8761,.T.); #5790=FACE_OUTER_BOUND('',#8763,.T.); #5791=FACE_OUTER_BOUND('',#8765,.T.); #5792=FACE_OUTER_BOUND('',#8767,.T.); #5793=FACE_OUTER_BOUND('',#8769,.T.); #5794=FACE_OUTER_BOUND('',#8771,.T.); #5795=FACE_OUTER_BOUND('',#8773,.T.); #5796=FACE_OUTER_BOUND('',#8775,.T.); #5797=FACE_OUTER_BOUND('',#8777,.T.); #5798=FACE_OUTER_BOUND('',#8779,.T.); #5799=FACE_OUTER_BOUND('',#8781,.T.); #5800=FACE_OUTER_BOUND('',#8789,.T.); #5801=FACE_OUTER_BOUND('',#8808,.T.); #5802=FACE_OUTER_BOUND('',#8809,.T.); #5803=FACE_OUTER_BOUND('',#8817,.T.); #5804=FACE_OUTER_BOUND('',#8846,.T.); #5805=FACE_OUTER_BOUND('',#8847,.T.); #5806=FACE_OUTER_BOUND('',#8848,.T.); #5807=FACE_OUTER_BOUND('',#8849,.T.); #5808=FACE_OUTER_BOUND('',#8850,.T.); #5809=FACE_OUTER_BOUND('',#8858,.T.); #5810=FACE_OUTER_BOUND('',#8865,.T.); #5811=FACE_OUTER_BOUND('',#8874,.T.); #5812=FACE_OUTER_BOUND('',#8876,.T.); #5813=FACE_OUTER_BOUND('',#8878,.T.); #5814=FACE_OUTER_BOUND('',#8880,.T.); #5815=FACE_OUTER_BOUND('',#8882,.T.); #5816=FACE_OUTER_BOUND('',#8884,.T.); #5817=FACE_OUTER_BOUND('',#8886,.T.); #5818=FACE_OUTER_BOUND('',#8887,.T.); #5819=FACE_OUTER_BOUND('',#8909,.T.); #5820=FACE_OUTER_BOUND('',#8910,.T.); #5821=FACE_OUTER_BOUND('',#8912,.T.); #5822=FACE_OUTER_BOUND('',#8914,.T.); #5823=FACE_OUTER_BOUND('',#8916,.T.); #5824=FACE_OUTER_BOUND('',#8918,.T.); #5825=FACE_OUTER_BOUND('',#8920,.T.); #5826=FACE_OUTER_BOUND('',#8922,.T.); #5827=FACE_OUTER_BOUND('',#8924,.T.); #5828=FACE_OUTER_BOUND('',#8926,.T.); #5829=FACE_OUTER_BOUND('',#8928,.T.); #5830=FACE_OUTER_BOUND('',#8930,.T.); #5831=FACE_OUTER_BOUND('',#8932,.T.); #5832=FACE_OUTER_BOUND('',#8934,.T.); #5833=FACE_OUTER_BOUND('',#8936,.T.); #5834=FACE_OUTER_BOUND('',#8938,.T.); #5835=FACE_OUTER_BOUND('',#8940,.T.); #5836=FACE_OUTER_BOUND('',#8941,.T.); #5837=FACE_OUTER_BOUND('',#8942,.T.); #5838=FACE_OUTER_BOUND('',#8943,.T.); #5839=FACE_OUTER_BOUND('',#8944,.T.); #5840=FACE_OUTER_BOUND('',#8945,.T.); #5841=FACE_OUTER_BOUND('',#8946,.T.); #5842=FACE_OUTER_BOUND('',#8948,.T.); #5843=FACE_OUTER_BOUND('',#8949,.T.); #5844=FACE_OUTER_BOUND('',#8951,.T.); #5845=FACE_OUTER_BOUND('',#8952,.T.); #5846=FACE_OUTER_BOUND('',#8954,.T.); #5847=FACE_OUTER_BOUND('',#8955,.T.); #5848=FACE_OUTER_BOUND('',#8957,.T.); #5849=FACE_OUTER_BOUND('',#8958,.T.); #5850=FACE_OUTER_BOUND('',#8960,.T.); #5851=FACE_OUTER_BOUND('',#8961,.T.); #5852=FACE_OUTER_BOUND('',#8963,.T.); #5853=FACE_OUTER_BOUND('',#8964,.T.); #5854=FACE_OUTER_BOUND('',#8966,.T.); #5855=FACE_OUTER_BOUND('',#8967,.T.); #5856=FACE_OUTER_BOUND('',#8969,.T.); #5857=FACE_OUTER_BOUND('',#8970,.T.); #5858=FACE_OUTER_BOUND('',#8972,.T.); #5859=FACE_OUTER_BOUND('',#8973,.T.); #5860=FACE_OUTER_BOUND('',#8975,.T.); #5861=FACE_OUTER_BOUND('',#8976,.T.); #5862=FACE_OUTER_BOUND('',#8978,.T.); #5863=FACE_OUTER_BOUND('',#8979,.T.); #5864=FACE_OUTER_BOUND('',#8981,.T.); #5865=FACE_OUTER_BOUND('',#8982,.T.); #5866=FACE_OUTER_BOUND('',#8984,.T.); #5867=FACE_OUTER_BOUND('',#8985,.T.); #5868=FACE_OUTER_BOUND('',#8987,.T.); #5869=FACE_OUTER_BOUND('',#8988,.T.); #5870=FACE_OUTER_BOUND('',#8990,.T.); #5871=FACE_OUTER_BOUND('',#8991,.T.); #5872=FACE_OUTER_BOUND('',#8993,.T.); #5873=FACE_OUTER_BOUND('',#8994,.T.); #5874=FACE_OUTER_BOUND('',#8996,.T.); #5875=FACE_OUTER_BOUND('',#8997,.T.); #5876=FACE_OUTER_BOUND('',#8999,.T.); #5877=FACE_OUTER_BOUND('',#9000,.T.); #5878=FACE_OUTER_BOUND('',#9002,.T.); #5879=FACE_OUTER_BOUND('',#9003,.T.); #5880=FACE_OUTER_BOUND('',#9005,.T.); #5881=FACE_OUTER_BOUND('',#9006,.T.); #5882=FACE_OUTER_BOUND('',#9008,.T.); #5883=FACE_OUTER_BOUND('',#9009,.T.); #5884=FACE_OUTER_BOUND('',#9011,.T.); #5885=FACE_OUTER_BOUND('',#9012,.T.); #5886=FACE_OUTER_BOUND('',#9014,.T.); #5887=FACE_OUTER_BOUND('',#9015,.T.); #5888=FACE_OUTER_BOUND('',#9017,.T.); #5889=FACE_OUTER_BOUND('',#9018,.T.); #5890=FACE_OUTER_BOUND('',#9020,.T.); #5891=FACE_OUTER_BOUND('',#9021,.T.); #5892=FACE_OUTER_BOUND('',#9023,.T.); #5893=FACE_OUTER_BOUND('',#9024,.T.); #5894=FACE_OUTER_BOUND('',#9026,.T.); #5895=FACE_OUTER_BOUND('',#9027,.T.); #5896=FACE_OUTER_BOUND('',#9029,.T.); #5897=FACE_OUTER_BOUND('',#9030,.T.); #5898=FACE_OUTER_BOUND('',#9032,.T.); #5899=FACE_OUTER_BOUND('',#9033,.T.); #5900=FACE_OUTER_BOUND('',#9035,.T.); #5901=FACE_OUTER_BOUND('',#9036,.T.); #5902=FACE_OUTER_BOUND('',#9038,.T.); #5903=FACE_OUTER_BOUND('',#9039,.T.); #5904=FACE_OUTER_BOUND('',#9041,.T.); #5905=FACE_OUTER_BOUND('',#9042,.T.); #5906=FACE_OUTER_BOUND('',#9044,.T.); #5907=FACE_OUTER_BOUND('',#9045,.T.); #5908=FACE_OUTER_BOUND('',#9047,.T.); #5909=FACE_OUTER_BOUND('',#9048,.T.); #5910=FACE_OUTER_BOUND('',#9050,.T.); #5911=FACE_OUTER_BOUND('',#9051,.T.); #5912=FACE_OUTER_BOUND('',#9053,.T.); #5913=FACE_OUTER_BOUND('',#9060,.T.); #5914=FACE_OUTER_BOUND('',#9063,.T.); #5915=FACE_OUTER_BOUND('',#9070,.T.); #5916=FACE_OUTER_BOUND('',#9071,.T.); #5917=FACE_OUTER_BOUND('',#9081,.T.); #5918=FACE_OUTER_BOUND('',#9086,.T.); #5919=FACE_OUTER_BOUND('',#9096,.T.); #5920=FACE_OUTER_BOUND('',#9097,.T.); #5921=FACE_OUTER_BOUND('',#9098,.T.); #5922=FACE_OUTER_BOUND('',#9099,.T.); #5923=FACE_OUTER_BOUND('',#9100,.T.); #5924=FACE_OUTER_BOUND('',#9101,.T.); #5925=FACE_OUTER_BOUND('',#9102,.T.); #5926=FACE_OUTER_BOUND('',#9124,.T.); #5927=FACE_OUTER_BOUND('',#9125,.T.); #5928=FACE_OUTER_BOUND('',#9126,.T.); #5929=FACE_OUTER_BOUND('',#9127,.T.); #5930=FACE_OUTER_BOUND('',#9140,.T.); #5931=FACE_OUTER_BOUND('',#9141,.T.); #5932=FACE_OUTER_BOUND('',#9142,.T.); #5933=FACE_OUTER_BOUND('',#9143,.T.); #5934=FACE_OUTER_BOUND('',#9144,.T.); #5935=FACE_OUTER_BOUND('',#9153,.T.); #5936=FACE_OUTER_BOUND('',#9154,.T.); #5937=FACE_OUTER_BOUND('',#9156,.T.); #5938=FACE_OUTER_BOUND('',#9158,.T.); #5939=FACE_OUTER_BOUND('',#9160,.T.); #5940=FACE_OUTER_BOUND('',#9162,.T.); #5941=FACE_OUTER_BOUND('',#9164,.T.); #5942=FACE_OUTER_BOUND('',#9166,.T.); #5943=FACE_OUTER_BOUND('',#9168,.T.); #5944=FACE_OUTER_BOUND('',#9170,.T.); #5945=FACE_OUTER_BOUND('',#9172,.T.); #5946=FACE_OUTER_BOUND('',#9174,.T.); #5947=FACE_OUTER_BOUND('',#9176,.T.); #5948=FACE_OUTER_BOUND('',#9178,.T.); #5949=FACE_OUTER_BOUND('',#9179,.T.); #5950=FACE_OUTER_BOUND('',#9221,.T.); #5951=FACE_OUTER_BOUND('',#9223,.T.); #5952=FACE_OUTER_BOUND('',#9225,.T.); #5953=FACE_OUTER_BOUND('',#9227,.T.); #5954=FACE_OUTER_BOUND('',#9229,.T.); #5955=FACE_OUTER_BOUND('',#9231,.T.); #5956=FACE_OUTER_BOUND('',#9233,.T.); #5957=FACE_OUTER_BOUND('',#9235,.T.); #5958=FACE_OUTER_BOUND('',#9237,.T.); #5959=FACE_OUTER_BOUND('',#9239,.T.); #5960=FACE_OUTER_BOUND('',#9241,.T.); #5961=FACE_OUTER_BOUND('',#9243,.T.); #5962=FACE_OUTER_BOUND('',#9245,.T.); #5963=FACE_OUTER_BOUND('',#9247,.T.); #5964=FACE_OUTER_BOUND('',#9249,.T.); #5965=FACE_OUTER_BOUND('',#9251,.T.); #5966=FACE_OUTER_BOUND('',#9253,.T.); #5967=FACE_OUTER_BOUND('',#9255,.T.); #5968=FACE_OUTER_BOUND('',#9257,.T.); #5969=FACE_OUTER_BOUND('',#9259,.T.); #5970=FACE_OUTER_BOUND('',#9261,.T.); #5971=FACE_OUTER_BOUND('',#9263,.T.); #5972=FACE_OUTER_BOUND('',#9265,.T.); #5973=FACE_OUTER_BOUND('',#9267,.T.); #5974=FACE_OUTER_BOUND('',#9269,.T.); #5975=FACE_OUTER_BOUND('',#9271,.T.); #5976=FACE_OUTER_BOUND('',#9273,.T.); #5977=FACE_OUTER_BOUND('',#9275,.T.); #5978=FACE_OUTER_BOUND('',#9277,.T.); #5979=FACE_OUTER_BOUND('',#9279,.T.); #5980=FACE_OUTER_BOUND('',#9280,.T.); #5981=FACE_OUTER_BOUND('',#9281,.T.); #5982=FACE_OUTER_BOUND('',#9282,.T.); #5983=FACE_OUTER_BOUND('',#9283,.T.); #5984=FACE_OUTER_BOUND('',#9284,.T.); #5985=FACE_OUTER_BOUND('',#9285,.T.); #5986=FACE_OUTER_BOUND('',#9287,.T.); #5987=FACE_OUTER_BOUND('',#9289,.T.); #5988=FACE_OUTER_BOUND('',#9291,.T.); #5989=FACE_OUTER_BOUND('',#9293,.T.); #5990=FACE_OUTER_BOUND('',#9294,.T.); #5991=FACE_OUTER_BOUND('',#9296,.T.); #5992=FACE_OUTER_BOUND('',#9297,.T.); #5993=FACE_OUTER_BOUND('',#9299,.T.); #5994=FACE_OUTER_BOUND('',#9300,.T.); #5995=FACE_OUTER_BOUND('',#9302,.T.); #5996=FACE_OUTER_BOUND('',#9308,.T.); #5997=FACE_OUTER_BOUND('',#9313,.T.); #5998=FACE_OUTER_BOUND('',#9315,.T.); #5999=FACE_OUTER_BOUND('',#9317,.T.); #6000=FACE_OUTER_BOUND('',#9319,.T.); #6001=FACE_OUTER_BOUND('',#9321,.T.); #6002=FACE_OUTER_BOUND('',#9323,.T.); #6003=FACE_OUTER_BOUND('',#9327,.T.); #6004=FACE_OUTER_BOUND('',#9332,.T.); #6005=FACE_OUTER_BOUND('',#9333,.T.); #6006=FACE_OUTER_BOUND('',#9335,.T.); #6007=FACE_OUTER_BOUND('',#9336,.T.); #6008=FACE_OUTER_BOUND('',#9338,.T.); #6009=FACE_OUTER_BOUND('',#9340,.T.); #6010=FACE_OUTER_BOUND('',#9341,.T.); #6011=FACE_OUTER_BOUND('',#9345,.T.); #6012=FACE_OUTER_BOUND('',#9352,.T.); #6013=FACE_OUTER_BOUND('',#9353,.T.); #6014=FACE_OUTER_BOUND('',#9355,.T.); #6015=FACE_OUTER_BOUND('',#9357,.T.); #6016=FACE_OUTER_BOUND('',#9359,.T.); #6017=FACE_OUTER_BOUND('',#9361,.T.); #6018=FACE_OUTER_BOUND('',#9363,.T.); #6019=FACE_OUTER_BOUND('',#9365,.T.); #6020=FACE_OUTER_BOUND('',#9367,.T.); #6021=FACE_OUTER_BOUND('',#9369,.T.); #6022=FACE_OUTER_BOUND('',#9371,.T.); #6023=FACE_OUTER_BOUND('',#9373,.T.); #6024=FACE_OUTER_BOUND('',#9375,.T.); #6025=FACE_OUTER_BOUND('',#9377,.T.); #6026=FACE_OUTER_BOUND('',#9379,.T.); #6027=FACE_OUTER_BOUND('',#9381,.T.); #6028=FACE_OUTER_BOUND('',#9383,.T.); #6029=FACE_OUTER_BOUND('',#9385,.T.); #6030=FACE_OUTER_BOUND('',#9387,.T.); #6031=FACE_OUTER_BOUND('',#9389,.T.); #6032=FACE_OUTER_BOUND('',#9391,.T.); #6033=FACE_OUTER_BOUND('',#9393,.T.); #6034=FACE_OUTER_BOUND('',#9395,.T.); #6035=FACE_OUTER_BOUND('',#9397,.T.); #6036=FACE_OUTER_BOUND('',#9399,.T.); #6037=FACE_OUTER_BOUND('',#9401,.T.); #6038=FACE_OUTER_BOUND('',#9403,.T.); #6039=FACE_OUTER_BOUND('',#9405,.T.); #6040=FACE_OUTER_BOUND('',#9407,.T.); #6041=FACE_OUTER_BOUND('',#9409,.T.); #6042=FACE_OUTER_BOUND('',#9411,.T.); #6043=FACE_OUTER_BOUND('',#9413,.T.); #6044=FACE_OUTER_BOUND('',#9414,.T.); #6045=FACE_OUTER_BOUND('',#9415,.T.); #6046=FACE_OUTER_BOUND('',#9416,.T.); #6047=FACE_OUTER_BOUND('',#9427,.T.); #6048=FACE_OUTER_BOUND('',#9438,.T.); #6049=FACE_OUTER_BOUND('',#9440,.T.); #6050=FACE_OUTER_BOUND('',#9442,.T.); #6051=FACE_OUTER_BOUND('',#9444,.T.); #6052=FACE_OUTER_BOUND('',#9446,.T.); #6053=FACE_OUTER_BOUND('',#9448,.T.); #6054=FACE_OUTER_BOUND('',#9450,.T.); #6055=FACE_OUTER_BOUND('',#9452,.T.); #6056=FACE_OUTER_BOUND('',#9454,.T.); #6057=FACE_OUTER_BOUND('',#9456,.T.); #6058=FACE_OUTER_BOUND('',#9458,.T.); #6059=FACE_OUTER_BOUND('',#9460,.T.); #6060=FACE_OUTER_BOUND('',#9462,.T.); #6061=FACE_OUTER_BOUND('',#9464,.T.); #6062=FACE_OUTER_BOUND('',#9466,.T.); #6063=FACE_OUTER_BOUND('',#9468,.T.); #6064=FACE_OUTER_BOUND('',#9470,.T.); #6065=FACE_OUTER_BOUND('',#9472,.T.); #6066=FACE_OUTER_BOUND('',#9474,.T.); #6067=FACE_OUTER_BOUND('',#9476,.T.); #6068=FACE_OUTER_BOUND('',#9478,.T.); #6069=FACE_OUTER_BOUND('',#9480,.T.); #6070=FACE_OUTER_BOUND('',#9482,.T.); #6071=FACE_OUTER_BOUND('',#9484,.T.); #6072=FACE_OUTER_BOUND('',#9486,.T.); #6073=FACE_OUTER_BOUND('',#9488,.T.); #6074=FACE_OUTER_BOUND('',#9490,.T.); #6075=FACE_OUTER_BOUND('',#9492,.T.); #6076=FACE_OUTER_BOUND('',#9494,.T.); #6077=FACE_OUTER_BOUND('',#9496,.T.); #6078=FACE_OUTER_BOUND('',#9498,.T.); #6079=FACE_OUTER_BOUND('',#9500,.T.); #6080=FACE_OUTER_BOUND('',#9502,.T.); #6081=FACE_OUTER_BOUND('',#9504,.T.); #6082=FACE_OUTER_BOUND('',#9506,.T.); #6083=FACE_OUTER_BOUND('',#9508,.T.); #6084=FACE_OUTER_BOUND('',#9510,.T.); #6085=FACE_OUTER_BOUND('',#9512,.T.); #6086=FACE_OUTER_BOUND('',#9514,.T.); #6087=FACE_OUTER_BOUND('',#9516,.T.); #6088=FACE_OUTER_BOUND('',#9518,.T.); #6089=FACE_OUTER_BOUND('',#9520,.T.); #6090=FACE_OUTER_BOUND('',#9522,.T.); #6091=FACE_OUTER_BOUND('',#9524,.T.); #6092=FACE_OUTER_BOUND('',#9526,.T.); #6093=FACE_OUTER_BOUND('',#9528,.T.); #6094=FACE_OUTER_BOUND('',#9530,.T.); #6095=FACE_OUTER_BOUND('',#9532,.T.); #6096=FACE_OUTER_BOUND('',#9534,.T.); #6097=FACE_OUTER_BOUND('',#9536,.T.); #6098=FACE_OUTER_BOUND('',#9538,.T.); #6099=FACE_OUTER_BOUND('',#9540,.T.); #6100=FACE_OUTER_BOUND('',#9542,.T.); #6101=FACE_OUTER_BOUND('',#9544,.T.); #6102=FACE_OUTER_BOUND('',#9546,.T.); #6103=FACE_OUTER_BOUND('',#9548,.T.); #6104=FACE_OUTER_BOUND('',#9550,.T.); #6105=FACE_OUTER_BOUND('',#9552,.T.); #6106=FACE_OUTER_BOUND('',#9554,.T.); #6107=FACE_OUTER_BOUND('',#9556,.T.); #6108=FACE_OUTER_BOUND('',#9558,.T.); #6109=FACE_OUTER_BOUND('',#9560,.T.); #6110=FACE_OUTER_BOUND('',#9562,.T.); #6111=FACE_OUTER_BOUND('',#9564,.T.); #6112=FACE_OUTER_BOUND('',#9566,.T.); #6113=FACE_OUTER_BOUND('',#9568,.T.); #6114=FACE_OUTER_BOUND('',#9570,.T.); #6115=FACE_OUTER_BOUND('',#9572,.T.); #6116=FACE_OUTER_BOUND('',#9574,.T.); #6117=FACE_OUTER_BOUND('',#9576,.T.); #6118=FACE_OUTER_BOUND('',#9578,.T.); #6119=FACE_OUTER_BOUND('',#9580,.T.); #6120=FACE_OUTER_BOUND('',#9582,.T.); #6121=FACE_OUTER_BOUND('',#9584,.T.); #6122=FACE_OUTER_BOUND('',#9586,.T.); #6123=FACE_OUTER_BOUND('',#9588,.T.); #6124=FACE_OUTER_BOUND('',#9590,.T.); #6125=FACE_OUTER_BOUND('',#9592,.T.); #6126=FACE_OUTER_BOUND('',#9594,.T.); #6127=FACE_OUTER_BOUND('',#9596,.T.); #6128=FACE_OUTER_BOUND('',#9598,.T.); #6129=FACE_OUTER_BOUND('',#9600,.T.); #6130=FACE_OUTER_BOUND('',#9602,.T.); #6131=FACE_OUTER_BOUND('',#9604,.T.); #6132=FACE_OUTER_BOUND('',#9606,.T.); #6133=FACE_OUTER_BOUND('',#9608,.T.); #6134=FACE_OUTER_BOUND('',#9610,.T.); #6135=FACE_OUTER_BOUND('',#9612,.T.); #6136=FACE_OUTER_BOUND('',#9614,.T.); #6137=FACE_OUTER_BOUND('',#9616,.T.); #6138=FACE_OUTER_BOUND('',#9618,.T.); #6139=FACE_OUTER_BOUND('',#9620,.T.); #6140=FACE_OUTER_BOUND('',#9622,.T.); #6141=FACE_OUTER_BOUND('',#9624,.T.); #6142=FACE_OUTER_BOUND('',#9626,.T.); #6143=FACE_OUTER_BOUND('',#9628,.T.); #6144=FACE_OUTER_BOUND('',#9630,.T.); #6145=FACE_OUTER_BOUND('',#9631,.T.); #6146=FACE_OUTER_BOUND('',#9632,.T.); #6147=FACE_OUTER_BOUND('',#9633,.T.); #6148=FACE_OUTER_BOUND('',#9634,.T.); #6149=FACE_OUTER_BOUND('',#9635,.T.); #6150=FACE_OUTER_BOUND('',#9636,.T.); #6151=FACE_OUTER_BOUND('',#9637,.T.); #6152=FACE_OUTER_BOUND('',#9638,.T.); #6153=FACE_OUTER_BOUND('',#9639,.T.); #6154=FACE_OUTER_BOUND('',#9640,.T.); #6155=FACE_OUTER_BOUND('',#9641,.T.); #6156=FACE_OUTER_BOUND('',#9642,.T.); #6157=FACE_OUTER_BOUND('',#9740,.T.); #6158=FACE_OUTER_BOUND('',#9741,.T.); #6159=FACE_OUTER_BOUND('',#9742,.T.); #6160=FACE_OUTER_BOUND('',#9743,.T.); #6161=FACE_OUTER_BOUND('',#9744,.T.); #6162=FACE_OUTER_BOUND('',#9745,.T.); #6163=FACE_OUTER_BOUND('',#9746,.T.); #6164=FACE_OUTER_BOUND('',#9747,.T.); #6165=FACE_OUTER_BOUND('',#9748,.T.); #6166=EDGE_LOOP('',(#16219)); #6167=EDGE_LOOP('',(#16220)); #6168=EDGE_LOOP('',(#16221)); #6169=EDGE_LOOP('',(#16222)); #6170=EDGE_LOOP('',(#16223)); #6171=EDGE_LOOP('',(#16224)); #6172=EDGE_LOOP('',(#16225)); #6173=EDGE_LOOP('',(#16226)); #6174=EDGE_LOOP('',(#16227)); #6175=EDGE_LOOP('',(#16228)); #6176=EDGE_LOOP('',(#16229)); #6177=EDGE_LOOP('',(#16230)); #6178=EDGE_LOOP('',(#16231)); #6179=EDGE_LOOP('',(#16232)); #6180=EDGE_LOOP('',(#16233)); #6181=EDGE_LOOP('',(#16234)); #6182=EDGE_LOOP('',(#16235)); #6183=EDGE_LOOP('',(#16236)); #6184=EDGE_LOOP('',(#16237)); #6185=EDGE_LOOP('',(#16238)); #6186=EDGE_LOOP('',(#16239)); #6187=EDGE_LOOP('',(#16240)); #6188=EDGE_LOOP('',(#16241)); #6189=EDGE_LOOP('',(#16242)); #6190=EDGE_LOOP('',(#16243)); #6191=EDGE_LOOP('',(#16244)); #6192=EDGE_LOOP('',(#16245)); #6193=EDGE_LOOP('',(#16246)); #6194=EDGE_LOOP('',(#16247)); #6195=EDGE_LOOP('',(#16248)); #6196=EDGE_LOOP('',(#16249)); #6197=EDGE_LOOP('',(#16250)); #6198=EDGE_LOOP('',(#16251)); #6199=EDGE_LOOP('',(#16252)); #6200=EDGE_LOOP('',(#16253)); #6201=EDGE_LOOP('',(#16254)); #6202=EDGE_LOOP('',(#16255)); #6203=EDGE_LOOP('',(#16256)); #6204=EDGE_LOOP('',(#16257)); #6205=EDGE_LOOP('',(#16258)); #6206=EDGE_LOOP('',(#16259)); #6207=EDGE_LOOP('',(#16260)); #6208=EDGE_LOOP('',(#16261)); #6209=EDGE_LOOP('',(#16262)); #6210=EDGE_LOOP('',(#16263)); #6211=EDGE_LOOP('',(#16264)); #6212=EDGE_LOOP('',(#16265)); #6213=EDGE_LOOP('',(#16266)); #6214=EDGE_LOOP('',(#16267)); #6215=EDGE_LOOP('',(#16268)); #6216=EDGE_LOOP('',(#16269)); #6217=EDGE_LOOP('',(#16270)); #6218=EDGE_LOOP('',(#16271)); #6219=EDGE_LOOP('',(#16272)); #6220=EDGE_LOOP('',(#16273)); #6221=EDGE_LOOP('',(#16274)); #6222=EDGE_LOOP('',(#16275)); #6223=EDGE_LOOP('',(#16276)); #6224=EDGE_LOOP('',(#16277)); #6225=EDGE_LOOP('',(#16278)); #6226=EDGE_LOOP('',(#16279)); #6227=EDGE_LOOP('',(#16280)); #6228=EDGE_LOOP('',(#16281)); #6229=EDGE_LOOP('',(#16282)); #6230=EDGE_LOOP('',(#16283)); #6231=EDGE_LOOP('',(#16284)); #6232=EDGE_LOOP('',(#16285)); #6233=EDGE_LOOP('',(#16286)); #6234=EDGE_LOOP('',(#16287)); #6235=EDGE_LOOP('',(#16288)); #6236=EDGE_LOOP('',(#16289)); #6237=EDGE_LOOP('',(#16290)); #6238=EDGE_LOOP('',(#16291)); #6239=EDGE_LOOP('',(#16292)); #6240=EDGE_LOOP('',(#16293)); #6241=EDGE_LOOP('',(#16294)); #6242=EDGE_LOOP('',(#16295)); #6243=EDGE_LOOP('',(#16296)); #6244=EDGE_LOOP('',(#16297)); #6245=EDGE_LOOP('',(#16298)); #6246=EDGE_LOOP('',(#16299)); #6247=EDGE_LOOP('',(#16300)); #6248=EDGE_LOOP('',(#16301)); #6249=EDGE_LOOP('',(#16302)); #6250=EDGE_LOOP('',(#16303)); #6251=EDGE_LOOP('',(#16304)); #6252=EDGE_LOOP('',(#16305)); #6253=EDGE_LOOP('',(#16306)); #6254=EDGE_LOOP('',(#16307)); #6255=EDGE_LOOP('',(#16308)); #6256=EDGE_LOOP('',(#16309)); #6257=EDGE_LOOP('',(#16310)); #6258=EDGE_LOOP('',(#16311)); #6259=EDGE_LOOP('',(#16312)); #6260=EDGE_LOOP('',(#16313)); #6261=EDGE_LOOP('',(#16314)); #6262=EDGE_LOOP('',(#16315)); #6263=EDGE_LOOP('',(#16316)); #6264=EDGE_LOOP('',(#16317)); #6265=EDGE_LOOP('',(#16318)); #6266=EDGE_LOOP('',(#16319)); #6267=EDGE_LOOP('',(#16320)); #6268=EDGE_LOOP('',(#16321)); #6269=EDGE_LOOP('',(#16322)); #6270=EDGE_LOOP('',(#16323)); #6271=EDGE_LOOP('',(#16324)); #6272=EDGE_LOOP('',(#16325)); #6273=EDGE_LOOP('',(#16326)); #6274=EDGE_LOOP('',(#16327)); #6275=EDGE_LOOP('',(#16328)); #6276=EDGE_LOOP('',(#16329)); #6277=EDGE_LOOP('',(#16330)); #6278=EDGE_LOOP('',(#16331)); #6279=EDGE_LOOP('',(#16332)); #6280=EDGE_LOOP('',(#16333)); #6281=EDGE_LOOP('',(#16334)); #6282=EDGE_LOOP('',(#16335)); #6283=EDGE_LOOP('',(#16336)); #6284=EDGE_LOOP('',(#16337)); #6285=EDGE_LOOP('',(#16338)); #6286=EDGE_LOOP('',(#16339)); #6287=EDGE_LOOP('',(#16340)); #6288=EDGE_LOOP('',(#16341)); #6289=EDGE_LOOP('',(#16342)); #6290=EDGE_LOOP('',(#16343)); #6291=EDGE_LOOP('',(#16344)); #6292=EDGE_LOOP('',(#16345)); #6293=EDGE_LOOP('',(#16346)); #6294=EDGE_LOOP('',(#16347)); #6295=EDGE_LOOP('',(#16348)); #6296=EDGE_LOOP('',(#16349)); #6297=EDGE_LOOP('',(#16350)); #6298=EDGE_LOOP('',(#16351)); #6299=EDGE_LOOP('',(#16352)); #6300=EDGE_LOOP('',(#16353)); #6301=EDGE_LOOP('',(#16354)); #6302=EDGE_LOOP('',(#16355)); #6303=EDGE_LOOP('',(#16356)); #6304=EDGE_LOOP('',(#16357)); #6305=EDGE_LOOP('',(#16358)); #6306=EDGE_LOOP('',(#16359)); #6307=EDGE_LOOP('',(#16360)); #6308=EDGE_LOOP('',(#16361)); #6309=EDGE_LOOP('',(#16362)); #6310=EDGE_LOOP('',(#16363)); #6311=EDGE_LOOP('',(#16364)); #6312=EDGE_LOOP('',(#16365)); #6313=EDGE_LOOP('',(#16366)); #6314=EDGE_LOOP('',(#16367)); #6315=EDGE_LOOP('',(#16368)); #6316=EDGE_LOOP('',(#16369)); #6317=EDGE_LOOP('',(#16370)); #6318=EDGE_LOOP('',(#16371)); #6319=EDGE_LOOP('',(#16372)); #6320=EDGE_LOOP('',(#16373)); #6321=EDGE_LOOP('',(#16374)); #6322=EDGE_LOOP('',(#16375)); #6323=EDGE_LOOP('',(#16376)); #6324=EDGE_LOOP('',(#16377)); #6325=EDGE_LOOP('',(#16378)); #6326=EDGE_LOOP('',(#16379)); #6327=EDGE_LOOP('',(#16380)); #6328=EDGE_LOOP('',(#16381)); #6329=EDGE_LOOP('',(#16382)); #6330=EDGE_LOOP('',(#16383)); #6331=EDGE_LOOP('',(#16384)); #6332=EDGE_LOOP('',(#16385)); #6333=EDGE_LOOP('',(#16386)); #6334=EDGE_LOOP('',(#16387)); #6335=EDGE_LOOP('',(#16388)); #6336=EDGE_LOOP('',(#16389)); #6337=EDGE_LOOP('',(#16390)); #6338=EDGE_LOOP('',(#16391)); #6339=EDGE_LOOP('',(#16392)); #6340=EDGE_LOOP('',(#16393)); #6341=EDGE_LOOP('',(#16394)); #6342=EDGE_LOOP('',(#16395)); #6343=EDGE_LOOP('',(#16396)); #6344=EDGE_LOOP('',(#16397)); #6345=EDGE_LOOP('',(#16398)); #6346=EDGE_LOOP('',(#16399)); #6347=EDGE_LOOP('',(#16400)); #6348=EDGE_LOOP('',(#16401)); #6349=EDGE_LOOP('',(#16402)); #6350=EDGE_LOOP('',(#16403)); #6351=EDGE_LOOP('',(#16404)); #6352=EDGE_LOOP('',(#16405)); #6353=EDGE_LOOP('',(#16406)); #6354=EDGE_LOOP('',(#16407)); #6355=EDGE_LOOP('',(#16408)); #6356=EDGE_LOOP('',(#16409)); #6357=EDGE_LOOP('',(#16410)); #6358=EDGE_LOOP('',(#16411)); #6359=EDGE_LOOP('',(#16412)); #6360=EDGE_LOOP('',(#16413)); #6361=EDGE_LOOP('',(#16414)); #6362=EDGE_LOOP('',(#16415)); #6363=EDGE_LOOP('',(#16416)); #6364=EDGE_LOOP('',(#16417)); #6365=EDGE_LOOP('',(#16418)); #6366=EDGE_LOOP('',(#16419)); #6367=EDGE_LOOP('',(#16420)); #6368=EDGE_LOOP('',(#16421)); #6369=EDGE_LOOP('',(#16422)); #6370=EDGE_LOOP('',(#16423)); #6371=EDGE_LOOP('',(#16424)); #6372=EDGE_LOOP('',(#16425)); #6373=EDGE_LOOP('',(#16426)); #6374=EDGE_LOOP('',(#16427)); #6375=EDGE_LOOP('',(#16428)); #6376=EDGE_LOOP('',(#16429)); #6377=EDGE_LOOP('',(#16430)); #6378=EDGE_LOOP('',(#16431)); #6379=EDGE_LOOP('',(#16432)); #6380=EDGE_LOOP('',(#16433)); #6381=EDGE_LOOP('',(#16434)); #6382=EDGE_LOOP('',(#16435)); #6383=EDGE_LOOP('',(#16436)); #6384=EDGE_LOOP('',(#16437)); #6385=EDGE_LOOP('',(#16438)); #6386=EDGE_LOOP('',(#16439)); #6387=EDGE_LOOP('',(#16440)); #6388=EDGE_LOOP('',(#16441)); #6389=EDGE_LOOP('',(#16442)); #6390=EDGE_LOOP('',(#16443)); #6391=EDGE_LOOP('',(#16444)); #6392=EDGE_LOOP('',(#16445)); #6393=EDGE_LOOP('',(#16446)); #6394=EDGE_LOOP('',(#16447)); #6395=EDGE_LOOP('',(#16448)); #6396=EDGE_LOOP('',(#16449)); #6397=EDGE_LOOP('',(#16450)); #6398=EDGE_LOOP('',(#16451)); #6399=EDGE_LOOP('',(#16452)); #6400=EDGE_LOOP('',(#16453)); #6401=EDGE_LOOP('',(#16454)); #6402=EDGE_LOOP('',(#16455)); #6403=EDGE_LOOP('',(#16456)); #6404=EDGE_LOOP('',(#16457)); #6405=EDGE_LOOP('',(#16458)); #6406=EDGE_LOOP('',(#16459)); #6407=EDGE_LOOP('',(#16460)); #6408=EDGE_LOOP('',(#16461)); #6409=EDGE_LOOP('',(#16462)); #6410=EDGE_LOOP('',(#16463)); #6411=EDGE_LOOP('',(#16464)); #6412=EDGE_LOOP('',(#16465)); #6413=EDGE_LOOP('',(#16466)); #6414=EDGE_LOOP('',(#16467)); #6415=EDGE_LOOP('',(#16468)); #6416=EDGE_LOOP('',(#16469)); #6417=EDGE_LOOP('',(#16470)); #6418=EDGE_LOOP('',(#16471)); #6419=EDGE_LOOP('',(#16472)); #6420=EDGE_LOOP('',(#16473)); #6421=EDGE_LOOP('',(#16474)); #6422=EDGE_LOOP('',(#16475)); #6423=EDGE_LOOP('',(#16476)); #6424=EDGE_LOOP('',(#16477)); #6425=EDGE_LOOP('',(#16478)); #6426=EDGE_LOOP('',(#16479)); #6427=EDGE_LOOP('',(#16480)); #6428=EDGE_LOOP('',(#16481)); #6429=EDGE_LOOP('',(#16482)); #6430=EDGE_LOOP('',(#16483)); #6431=EDGE_LOOP('',(#16484)); #6432=EDGE_LOOP('',(#16485)); #6433=EDGE_LOOP('',(#16486)); #6434=EDGE_LOOP('',(#16487)); #6435=EDGE_LOOP('',(#16488)); #6436=EDGE_LOOP('',(#16489)); #6437=EDGE_LOOP('',(#16490)); #6438=EDGE_LOOP('',(#16491)); #6439=EDGE_LOOP('',(#16492)); #6440=EDGE_LOOP('',(#16493)); #6441=EDGE_LOOP('',(#16494,#16495,#16496,#16497)); #6442=EDGE_LOOP('',(#16498,#16499,#16500,#16501)); #6443=EDGE_LOOP('',(#16502,#16503,#16504,#16505)); #6444=EDGE_LOOP('',(#16506,#16507,#16508,#16509)); #6445=EDGE_LOOP('',(#16510,#16511,#16512,#16513)); #6446=EDGE_LOOP('',(#16514,#16515,#16516,#16517)); #6447=EDGE_LOOP('',(#16518,#16519,#16520,#16521)); #6448=EDGE_LOOP('',(#16522,#16523,#16524,#16525)); #6449=EDGE_LOOP('',(#16526,#16527,#16528,#16529)); #6450=EDGE_LOOP('',(#16530,#16531,#16532,#16533)); #6451=EDGE_LOOP('',(#16534,#16535,#16536,#16537)); #6452=EDGE_LOOP('',(#16538,#16539,#16540,#16541)); #6453=EDGE_LOOP('',(#16542,#16543,#16544,#16545,#16546,#16547,#16548,#16549)); #6454=EDGE_LOOP('',(#16550,#16551,#16552,#16553,#16554,#16555,#16556,#16557)); #6455=EDGE_LOOP('',(#16558,#16559,#16560,#16561)); #6456=EDGE_LOOP('',(#16562,#16563,#16564,#16565)); #6457=EDGE_LOOP('',(#16566,#16567,#16568,#16569)); #6458=EDGE_LOOP('',(#16570,#16571,#16572,#16573)); #6459=EDGE_LOOP('',(#16574)); #6460=EDGE_LOOP('',(#16575)); #6461=EDGE_LOOP('',(#16576)); #6462=EDGE_LOOP('',(#16577)); #6463=EDGE_LOOP('',(#16578)); #6464=EDGE_LOOP('',(#16579)); #6465=EDGE_LOOP('',(#16580)); #6466=EDGE_LOOP('',(#16581)); #6467=EDGE_LOOP('',(#16582)); #6468=EDGE_LOOP('',(#16583)); #6469=EDGE_LOOP('',(#16584)); #6470=EDGE_LOOP('',(#16585)); #6471=EDGE_LOOP('',(#16586)); #6472=EDGE_LOOP('',(#16587)); #6473=EDGE_LOOP('',(#16588)); #6474=EDGE_LOOP('',(#16589)); #6475=EDGE_LOOP('',(#16590)); #6476=EDGE_LOOP('',(#16591)); #6477=EDGE_LOOP('',(#16592)); #6478=EDGE_LOOP('',(#16593)); #6479=EDGE_LOOP('',(#16594)); #6480=EDGE_LOOP('',(#16595)); #6481=EDGE_LOOP('',(#16596)); #6482=EDGE_LOOP('',(#16597)); #6483=EDGE_LOOP('',(#16598)); #6484=EDGE_LOOP('',(#16599)); #6485=EDGE_LOOP('',(#16600)); #6486=EDGE_LOOP('',(#16601)); #6487=EDGE_LOOP('',(#16602)); #6488=EDGE_LOOP('',(#16603)); #6489=EDGE_LOOP('',(#16604)); #6490=EDGE_LOOP('',(#16605)); #6491=EDGE_LOOP('',(#16606)); #6492=EDGE_LOOP('',(#16607)); #6493=EDGE_LOOP('',(#16608)); #6494=EDGE_LOOP('',(#16609)); #6495=EDGE_LOOP('',(#16610)); #6496=EDGE_LOOP('',(#16611)); #6497=EDGE_LOOP('',(#16612)); #6498=EDGE_LOOP('',(#16613)); #6499=EDGE_LOOP('',(#16614)); #6500=EDGE_LOOP('',(#16615)); #6501=EDGE_LOOP('',(#16616)); #6502=EDGE_LOOP('',(#16617)); #6503=EDGE_LOOP('',(#16618)); #6504=EDGE_LOOP('',(#16619)); #6505=EDGE_LOOP('',(#16620)); #6506=EDGE_LOOP('',(#16621)); #6507=EDGE_LOOP('',(#16622)); #6508=EDGE_LOOP('',(#16623)); #6509=EDGE_LOOP('',(#16624)); #6510=EDGE_LOOP('',(#16625)); #6511=EDGE_LOOP('',(#16626)); #6512=EDGE_LOOP('',(#16627)); #6513=EDGE_LOOP('',(#16628)); #6514=EDGE_LOOP('',(#16629)); #6515=EDGE_LOOP('',(#16630)); #6516=EDGE_LOOP('',(#16631)); #6517=EDGE_LOOP('',(#16632)); #6518=EDGE_LOOP('',(#16633)); #6519=EDGE_LOOP('',(#16634)); #6520=EDGE_LOOP('',(#16635)); #6521=EDGE_LOOP('',(#16636)); #6522=EDGE_LOOP('',(#16637)); #6523=EDGE_LOOP('',(#16638)); #6524=EDGE_LOOP('',(#16639)); #6525=EDGE_LOOP('',(#16640)); #6526=EDGE_LOOP('',(#16641)); #6527=EDGE_LOOP('',(#16642)); #6528=EDGE_LOOP('',(#16643)); #6529=EDGE_LOOP('',(#16644)); #6530=EDGE_LOOP('',(#16645)); #6531=EDGE_LOOP('',(#16646)); #6532=EDGE_LOOP('',(#16647)); #6533=EDGE_LOOP('',(#16648)); #6534=EDGE_LOOP('',(#16649)); #6535=EDGE_LOOP('',(#16650)); #6536=EDGE_LOOP('',(#16651)); #6537=EDGE_LOOP('',(#16652)); #6538=EDGE_LOOP('',(#16653)); #6539=EDGE_LOOP('',(#16654)); #6540=EDGE_LOOP('',(#16655)); #6541=EDGE_LOOP('',(#16656)); #6542=EDGE_LOOP('',(#16657)); #6543=EDGE_LOOP('',(#16658)); #6544=EDGE_LOOP('',(#16659)); #6545=EDGE_LOOP('',(#16660)); #6546=EDGE_LOOP('',(#16661)); #6547=EDGE_LOOP('',(#16662)); #6548=EDGE_LOOP('',(#16663)); #6549=EDGE_LOOP('',(#16664)); #6550=EDGE_LOOP('',(#16665)); #6551=EDGE_LOOP('',(#16666)); #6552=EDGE_LOOP('',(#16667)); #6553=EDGE_LOOP('',(#16668)); #6554=EDGE_LOOP('',(#16669)); #6555=EDGE_LOOP('',(#16670)); #6556=EDGE_LOOP('',(#16671)); #6557=EDGE_LOOP('',(#16672)); #6558=EDGE_LOOP('',(#16673)); #6559=EDGE_LOOP('',(#16674)); #6560=EDGE_LOOP('',(#16675)); #6561=EDGE_LOOP('',(#16676)); #6562=EDGE_LOOP('',(#16677)); #6563=EDGE_LOOP('',(#16678)); #6564=EDGE_LOOP('',(#16679)); #6565=EDGE_LOOP('',(#16680)); #6566=EDGE_LOOP('',(#16681)); #6567=EDGE_LOOP('',(#16682)); #6568=EDGE_LOOP('',(#16683)); #6569=EDGE_LOOP('',(#16684,#16685,#16686,#16687)); #6570=EDGE_LOOP('',(#16688,#16689,#16690,#16691)); #6571=EDGE_LOOP('',(#16692,#16693,#16694,#16695)); #6572=EDGE_LOOP('',(#16696,#16697,#16698,#16699)); #6573=EDGE_LOOP('',(#16700,#16701,#16702,#16703)); #6574=EDGE_LOOP('',(#16704,#16705,#16706,#16707)); #6575=EDGE_LOOP('',(#16708,#16709,#16710,#16711)); #6576=EDGE_LOOP('',(#16712,#16713,#16714,#16715)); #6577=EDGE_LOOP('',(#16716,#16717,#16718,#16719)); #6578=EDGE_LOOP('',(#16720,#16721,#16722,#16723)); #6579=EDGE_LOOP('',(#16724,#16725,#16726,#16727)); #6580=EDGE_LOOP('',(#16728,#16729,#16730,#16731)); #6581=EDGE_LOOP('',(#16732,#16733,#16734,#16735)); #6582=EDGE_LOOP('',(#16736,#16737,#16738,#16739)); #6583=EDGE_LOOP('',(#16740,#16741,#16742,#16743,#16744,#16745,#16746,#16747)); #6584=EDGE_LOOP('',(#16748,#16749,#16750,#16751,#16752,#16753,#16754,#16755)); #6585=EDGE_LOOP('',(#16756,#16757,#16758,#16759)); #6586=EDGE_LOOP('',(#16760,#16761,#16762,#16763)); #6587=EDGE_LOOP('',(#16764)); #6588=EDGE_LOOP('',(#16765)); #6589=EDGE_LOOP('',(#16766)); #6590=EDGE_LOOP('',(#16767)); #6591=EDGE_LOOP('',(#16768)); #6592=EDGE_LOOP('',(#16769)); #6593=EDGE_LOOP('',(#16770)); #6594=EDGE_LOOP('',(#16771)); #6595=EDGE_LOOP('',(#16772)); #6596=EDGE_LOOP('',(#16773)); #6597=EDGE_LOOP('',(#16774)); #6598=EDGE_LOOP('',(#16775)); #6599=EDGE_LOOP('',(#16776)); #6600=EDGE_LOOP('',(#16777)); #6601=EDGE_LOOP('',(#16778)); #6602=EDGE_LOOP('',(#16779)); #6603=EDGE_LOOP('',(#16780)); #6604=EDGE_LOOP('',(#16781)); #6605=EDGE_LOOP('',(#16782)); #6606=EDGE_LOOP('',(#16783)); #6607=EDGE_LOOP('',(#16784)); #6608=EDGE_LOOP('',(#16785)); #6609=EDGE_LOOP('',(#16786)); #6610=EDGE_LOOP('',(#16787)); #6611=EDGE_LOOP('',(#16788)); #6612=EDGE_LOOP('',(#16789)); #6613=EDGE_LOOP('',(#16790)); #6614=EDGE_LOOP('',(#16791)); #6615=EDGE_LOOP('',(#16792)); #6616=EDGE_LOOP('',(#16793)); #6617=EDGE_LOOP('',(#16794)); #6618=EDGE_LOOP('',(#16795)); #6619=EDGE_LOOP('',(#16796)); #6620=EDGE_LOOP('',(#16797)); #6621=EDGE_LOOP('',(#16798)); #6622=EDGE_LOOP('',(#16799)); #6623=EDGE_LOOP('',(#16800)); #6624=EDGE_LOOP('',(#16801)); #6625=EDGE_LOOP('',(#16802)); #6626=EDGE_LOOP('',(#16803)); #6627=EDGE_LOOP('',(#16804)); #6628=EDGE_LOOP('',(#16805)); #6629=EDGE_LOOP('',(#16806)); #6630=EDGE_LOOP('',(#16807)); #6631=EDGE_LOOP('',(#16808)); #6632=EDGE_LOOP('',(#16809)); #6633=EDGE_LOOP('',(#16810)); #6634=EDGE_LOOP('',(#16811)); #6635=EDGE_LOOP('',(#16812)); #6636=EDGE_LOOP('',(#16813)); #6637=EDGE_LOOP('',(#16814)); #6638=EDGE_LOOP('',(#16815)); #6639=EDGE_LOOP('',(#16816)); #6640=EDGE_LOOP('',(#16817)); #6641=EDGE_LOOP('',(#16818)); #6642=EDGE_LOOP('',(#16819)); #6643=EDGE_LOOP('',(#16820)); #6644=EDGE_LOOP('',(#16821)); #6645=EDGE_LOOP('',(#16822)); #6646=EDGE_LOOP('',(#16823)); #6647=EDGE_LOOP('',(#16824)); #6648=EDGE_LOOP('',(#16825)); #6649=EDGE_LOOP('',(#16826)); #6650=EDGE_LOOP('',(#16827)); #6651=EDGE_LOOP('',(#16828)); #6652=EDGE_LOOP('',(#16829)); #6653=EDGE_LOOP('',(#16830)); #6654=EDGE_LOOP('',(#16831)); #6655=EDGE_LOOP('',(#16832)); #6656=EDGE_LOOP('',(#16833)); #6657=EDGE_LOOP('',(#16834)); #6658=EDGE_LOOP('',(#16835)); #6659=EDGE_LOOP('',(#16836)); #6660=EDGE_LOOP('',(#16837)); #6661=EDGE_LOOP('',(#16838)); #6662=EDGE_LOOP('',(#16839)); #6663=EDGE_LOOP('',(#16840)); #6664=EDGE_LOOP('',(#16841)); #6665=EDGE_LOOP('',(#16842)); #6666=EDGE_LOOP('',(#16843)); #6667=EDGE_LOOP('',(#16844)); #6668=EDGE_LOOP('',(#16845)); #6669=EDGE_LOOP('',(#16846)); #6670=EDGE_LOOP('',(#16847)); #6671=EDGE_LOOP('',(#16848)); #6672=EDGE_LOOP('',(#16849)); #6673=EDGE_LOOP('',(#16850)); #6674=EDGE_LOOP('',(#16851)); #6675=EDGE_LOOP('',(#16852)); #6676=EDGE_LOOP('',(#16853)); #6677=EDGE_LOOP('',(#16854)); #6678=EDGE_LOOP('',(#16855)); #6679=EDGE_LOOP('',(#16856)); #6680=EDGE_LOOP('',(#16857)); #6681=EDGE_LOOP('',(#16858)); #6682=EDGE_LOOP('',(#16859)); #6683=EDGE_LOOP('',(#16860)); #6684=EDGE_LOOP('',(#16861)); #6685=EDGE_LOOP('',(#16862)); #6686=EDGE_LOOP('',(#16863)); #6687=EDGE_LOOP('',(#16864)); #6688=EDGE_LOOP('',(#16865)); #6689=EDGE_LOOP('',(#16866)); #6690=EDGE_LOOP('',(#16867)); #6691=EDGE_LOOP('',(#16868)); #6692=EDGE_LOOP('',(#16869,#16870,#16871,#16872)); #6693=EDGE_LOOP('',(#16873)); #6694=EDGE_LOOP('',(#16874)); #6695=EDGE_LOOP('',(#16875)); #6696=EDGE_LOOP('',(#16876)); #6697=EDGE_LOOP('',(#16877)); #6698=EDGE_LOOP('',(#16878)); #6699=EDGE_LOOP('',(#16879)); #6700=EDGE_LOOP('',(#16880,#16881,#16882,#16883,#16884,#16885)); #6701=EDGE_LOOP('',(#16886)); #6702=EDGE_LOOP('',(#16887)); #6703=EDGE_LOOP('',(#16888)); #6704=EDGE_LOOP('',(#16889)); #6705=EDGE_LOOP('',(#16890)); #6706=EDGE_LOOP('',(#16891)); #6707=EDGE_LOOP('',(#16892)); #6708=EDGE_LOOP('',(#16893)); #6709=EDGE_LOOP('',(#16894)); #6710=EDGE_LOOP('',(#16895)); #6711=EDGE_LOOP('',(#16896)); #6712=EDGE_LOOP('',(#16897)); #6713=EDGE_LOOP('',(#16898)); #6714=EDGE_LOOP('',(#16899)); #6715=EDGE_LOOP('',(#16900)); #6716=EDGE_LOOP('',(#16901)); #6717=EDGE_LOOP('',(#16902)); #6718=EDGE_LOOP('',(#16903)); #6719=EDGE_LOOP('',(#16904)); #6720=EDGE_LOOP('',(#16905)); #6721=EDGE_LOOP('',(#16906)); #6722=EDGE_LOOP('',(#16907)); #6723=EDGE_LOOP('',(#16908)); #6724=EDGE_LOOP('',(#16909)); #6725=EDGE_LOOP('',(#16910)); #6726=EDGE_LOOP('',(#16911)); #6727=EDGE_LOOP('',(#16912)); #6728=EDGE_LOOP('',(#16913)); #6729=EDGE_LOOP('',(#16914)); #6730=EDGE_LOOP('',(#16915)); #6731=EDGE_LOOP('',(#16916)); #6732=EDGE_LOOP('',(#16917)); #6733=EDGE_LOOP('',(#16918)); #6734=EDGE_LOOP('',(#16919)); #6735=EDGE_LOOP('',(#16920)); #6736=EDGE_LOOP('',(#16921)); #6737=EDGE_LOOP('',(#16922)); #6738=EDGE_LOOP('',(#16923)); #6739=EDGE_LOOP('',(#16924)); #6740=EDGE_LOOP('',(#16925)); #6741=EDGE_LOOP('',(#16926)); #6742=EDGE_LOOP('',(#16927)); #6743=EDGE_LOOP('',(#16928)); #6744=EDGE_LOOP('',(#16929)); #6745=EDGE_LOOP('',(#16930)); #6746=EDGE_LOOP('',(#16931)); #6747=EDGE_LOOP('',(#16932,#16933,#16934,#16935,#16936,#16937,#16938,#16939)); #6748=EDGE_LOOP('',(#16940)); #6749=EDGE_LOOP('',(#16941)); #6750=EDGE_LOOP('',(#16942)); #6751=EDGE_LOOP('',(#16943)); #6752=EDGE_LOOP('',(#16944)); #6753=EDGE_LOOP('',(#16945)); #6754=EDGE_LOOP('',(#16946)); #6755=EDGE_LOOP('',(#16947)); #6756=EDGE_LOOP('',(#16948)); #6757=EDGE_LOOP('',(#16949)); #6758=EDGE_LOOP('',(#16950)); #6759=EDGE_LOOP('',(#16951)); #6760=EDGE_LOOP('',(#16952)); #6761=EDGE_LOOP('',(#16953)); #6762=EDGE_LOOP('',(#16954)); #6763=EDGE_LOOP('',(#16955)); #6764=EDGE_LOOP('',(#16956)); #6765=EDGE_LOOP('',(#16957)); #6766=EDGE_LOOP('',(#16958)); #6767=EDGE_LOOP('',(#16959)); #6768=EDGE_LOOP('',(#16960)); #6769=EDGE_LOOP('',(#16961)); #6770=EDGE_LOOP('',(#16962)); #6771=EDGE_LOOP('',(#16963)); #6772=EDGE_LOOP('',(#16964)); #6773=EDGE_LOOP('',(#16965)); #6774=EDGE_LOOP('',(#16966)); #6775=EDGE_LOOP('',(#16967)); #6776=EDGE_LOOP('',(#16968)); #6777=EDGE_LOOP('',(#16969)); #6778=EDGE_LOOP('',(#16970)); #6779=EDGE_LOOP('',(#16971)); #6780=EDGE_LOOP('',(#16972)); #6781=EDGE_LOOP('',(#16973)); #6782=EDGE_LOOP('',(#16974)); #6783=EDGE_LOOP('',(#16975)); #6784=EDGE_LOOP('',(#16976)); #6785=EDGE_LOOP('',(#16977)); #6786=EDGE_LOOP('',(#16978)); #6787=EDGE_LOOP('',(#16979)); #6788=EDGE_LOOP('',(#16980)); #6789=EDGE_LOOP('',(#16981)); #6790=EDGE_LOOP('',(#16982)); #6791=EDGE_LOOP('',(#16983)); #6792=EDGE_LOOP('',(#16984)); #6793=EDGE_LOOP('',(#16985)); #6794=EDGE_LOOP('',(#16986)); #6795=EDGE_LOOP('',(#16987)); #6796=EDGE_LOOP('',(#16988)); #6797=EDGE_LOOP('',(#16989)); #6798=EDGE_LOOP('',(#16990)); #6799=EDGE_LOOP('',(#16991)); #6800=EDGE_LOOP('',(#16992)); #6801=EDGE_LOOP('',(#16993)); #6802=EDGE_LOOP('',(#16994)); #6803=EDGE_LOOP('',(#16995,#16996,#16997,#16998)); #6804=EDGE_LOOP('',(#16999)); #6805=EDGE_LOOP('',(#17000)); #6806=EDGE_LOOP('',(#17001)); #6807=EDGE_LOOP('',(#17002)); #6808=EDGE_LOOP('',(#17003)); #6809=EDGE_LOOP('',(#17004)); #6810=EDGE_LOOP('',(#17005)); #6811=EDGE_LOOP('',(#17006,#17007,#17008,#17009)); #6812=EDGE_LOOP('',(#17010)); #6813=EDGE_LOOP('',(#17011)); #6814=EDGE_LOOP('',(#17012)); #6815=EDGE_LOOP('',(#17013)); #6816=EDGE_LOOP('',(#17014)); #6817=EDGE_LOOP('',(#17015)); #6818=EDGE_LOOP('',(#17016)); #6819=EDGE_LOOP('',(#17017,#17018,#17019,#17020)); #6820=EDGE_LOOP('',(#17021,#17022,#17023,#17024,#17025,#17026)); #6821=EDGE_LOOP('',(#17027)); #6822=EDGE_LOOP('',(#17028)); #6823=EDGE_LOOP('',(#17029)); #6824=EDGE_LOOP('',(#17030)); #6825=EDGE_LOOP('',(#17031)); #6826=EDGE_LOOP('',(#17032)); #6827=EDGE_LOOP('',(#17033)); #6828=EDGE_LOOP('',(#17034)); #6829=EDGE_LOOP('',(#17035)); #6830=EDGE_LOOP('',(#17036)); #6831=EDGE_LOOP('',(#17037)); #6832=EDGE_LOOP('',(#17038)); #6833=EDGE_LOOP('',(#17039)); #6834=EDGE_LOOP('',(#17040)); #6835=EDGE_LOOP('',(#17041)); #6836=EDGE_LOOP('',(#17042)); #6837=EDGE_LOOP('',(#17043)); #6838=EDGE_LOOP('',(#17044)); #6839=EDGE_LOOP('',(#17045)); #6840=EDGE_LOOP('',(#17046)); #6841=EDGE_LOOP('',(#17047)); #6842=EDGE_LOOP('',(#17048)); #6843=EDGE_LOOP('',(#17049)); #6844=EDGE_LOOP('',(#17050)); #6845=EDGE_LOOP('',(#17051)); #6846=EDGE_LOOP('',(#17052)); #6847=EDGE_LOOP('',(#17053)); #6848=EDGE_LOOP('',(#17054)); #6849=EDGE_LOOP('',(#17055)); #6850=EDGE_LOOP('',(#17056)); #6851=EDGE_LOOP('',(#17057)); #6852=EDGE_LOOP('',(#17058)); #6853=EDGE_LOOP('',(#17059)); #6854=EDGE_LOOP('',(#17060)); #6855=EDGE_LOOP('',(#17061)); #6856=EDGE_LOOP('',(#17062)); #6857=EDGE_LOOP('',(#17063)); #6858=EDGE_LOOP('',(#17064)); #6859=EDGE_LOOP('',(#17065)); #6860=EDGE_LOOP('',(#17066)); #6861=EDGE_LOOP('',(#17067)); #6862=EDGE_LOOP('',(#17068)); #6863=EDGE_LOOP('',(#17069)); #6864=EDGE_LOOP('',(#17070)); #6865=EDGE_LOOP('',(#17071)); #6866=EDGE_LOOP('',(#17072)); #6867=EDGE_LOOP('',(#17073)); #6868=EDGE_LOOP('',(#17074)); #6869=EDGE_LOOP('',(#17075)); #6870=EDGE_LOOP('',(#17076)); #6871=EDGE_LOOP('',(#17077)); #6872=EDGE_LOOP('',(#17078)); #6873=EDGE_LOOP('',(#17079)); #6874=EDGE_LOOP('',(#17080)); #6875=EDGE_LOOP('',(#17081)); #6876=EDGE_LOOP('',(#17082)); #6877=EDGE_LOOP('',(#17083)); #6878=EDGE_LOOP('',(#17084)); #6879=EDGE_LOOP('',(#17085)); #6880=EDGE_LOOP('',(#17086)); #6881=EDGE_LOOP('',(#17087)); #6882=EDGE_LOOP('',(#17088)); #6883=EDGE_LOOP('',(#17089)); #6884=EDGE_LOOP('',(#17090)); #6885=EDGE_LOOP('',(#17091)); #6886=EDGE_LOOP('',(#17092)); #6887=EDGE_LOOP('',(#17093)); #6888=EDGE_LOOP('',(#17094)); #6889=EDGE_LOOP('',(#17095)); #6890=EDGE_LOOP('',(#17096)); #6891=EDGE_LOOP('',(#17097)); #6892=EDGE_LOOP('',(#17098)); #6893=EDGE_LOOP('',(#17099)); #6894=EDGE_LOOP('',(#17100)); #6895=EDGE_LOOP('',(#17101)); #6896=EDGE_LOOP('',(#17102)); #6897=EDGE_LOOP('',(#17103)); #6898=EDGE_LOOP('',(#17104)); #6899=EDGE_LOOP('',(#17105)); #6900=EDGE_LOOP('',(#17106)); #6901=EDGE_LOOP('',(#17107)); #6902=EDGE_LOOP('',(#17108)); #6903=EDGE_LOOP('',(#17109)); #6904=EDGE_LOOP('',(#17110)); #6905=EDGE_LOOP('',(#17111)); #6906=EDGE_LOOP('',(#17112)); #6907=EDGE_LOOP('',(#17113)); #6908=EDGE_LOOP('',(#17114)); #6909=EDGE_LOOP('',(#17115)); #6910=EDGE_LOOP('',(#17116)); #6911=EDGE_LOOP('',(#17117)); #6912=EDGE_LOOP('',(#17118)); #6913=EDGE_LOOP('',(#17119)); #6914=EDGE_LOOP('',(#17120)); #6915=EDGE_LOOP('',(#17121)); #6916=EDGE_LOOP('',(#17122)); #6917=EDGE_LOOP('',(#17123)); #6918=EDGE_LOOP('',(#17124)); #6919=EDGE_LOOP('',(#17125)); #6920=EDGE_LOOP('',(#17126)); #6921=EDGE_LOOP('',(#17127)); #6922=EDGE_LOOP('',(#17128,#17129,#17130,#17131,#17132,#17133,#17134,#17135)); #6923=EDGE_LOOP('',(#17136)); #6924=EDGE_LOOP('',(#17137)); #6925=EDGE_LOOP('',(#17138)); #6926=EDGE_LOOP('',(#17139)); #6927=EDGE_LOOP('',(#17140)); #6928=EDGE_LOOP('',(#17141)); #6929=EDGE_LOOP('',(#17142)); #6930=EDGE_LOOP('',(#17143)); #6931=EDGE_LOOP('',(#17144)); #6932=EDGE_LOOP('',(#17145)); #6933=EDGE_LOOP('',(#17146)); #6934=EDGE_LOOP('',(#17147)); #6935=EDGE_LOOP('',(#17148)); #6936=EDGE_LOOP('',(#17149)); #6937=EDGE_LOOP('',(#17150)); #6938=EDGE_LOOP('',(#17151)); #6939=EDGE_LOOP('',(#17152)); #6940=EDGE_LOOP('',(#17153)); #6941=EDGE_LOOP('',(#17154)); #6942=EDGE_LOOP('',(#17155)); #6943=EDGE_LOOP('',(#17156)); #6944=EDGE_LOOP('',(#17157)); #6945=EDGE_LOOP('',(#17158)); #6946=EDGE_LOOP('',(#17159)); #6947=EDGE_LOOP('',(#17160)); #6948=EDGE_LOOP('',(#17161)); #6949=EDGE_LOOP('',(#17162)); #6950=EDGE_LOOP('',(#17163)); #6951=EDGE_LOOP('',(#17164)); #6952=EDGE_LOOP('',(#17165)); #6953=EDGE_LOOP('',(#17166)); #6954=EDGE_LOOP('',(#17167)); #6955=EDGE_LOOP('',(#17168)); #6956=EDGE_LOOP('',(#17169)); #6957=EDGE_LOOP('',(#17170)); #6958=EDGE_LOOP('',(#17171,#17172,#17173,#17174)); #6959=EDGE_LOOP('',(#17175,#17176,#17177,#17178)); #6960=EDGE_LOOP('',(#17179)); #6961=EDGE_LOOP('',(#17180)); #6962=EDGE_LOOP('',(#17181)); #6963=EDGE_LOOP('',(#17182)); #6964=EDGE_LOOP('',(#17183)); #6965=EDGE_LOOP('',(#17184)); #6966=EDGE_LOOP('',(#17185)); #6967=EDGE_LOOP('',(#17186)); #6968=EDGE_LOOP('',(#17187)); #6969=EDGE_LOOP('',(#17188)); #6970=EDGE_LOOP('',(#17189)); #6971=EDGE_LOOP('',(#17190)); #6972=EDGE_LOOP('',(#17191)); #6973=EDGE_LOOP('',(#17192)); #6974=EDGE_LOOP('',(#17193)); #6975=EDGE_LOOP('',(#17194)); #6976=EDGE_LOOP('',(#17195)); #6977=EDGE_LOOP('',(#17196)); #6978=EDGE_LOOP('',(#17197)); #6979=EDGE_LOOP('',(#17198)); #6980=EDGE_LOOP('',(#17199)); #6981=EDGE_LOOP('',(#17200)); #6982=EDGE_LOOP('',(#17201)); #6983=EDGE_LOOP('',(#17202)); #6984=EDGE_LOOP('',(#17203)); #6985=EDGE_LOOP('',(#17204)); #6986=EDGE_LOOP('',(#17205)); #6987=EDGE_LOOP('',(#17206)); #6988=EDGE_LOOP('',(#17207)); #6989=EDGE_LOOP('',(#17208)); #6990=EDGE_LOOP('',(#17209)); #6991=EDGE_LOOP('',(#17210)); #6992=EDGE_LOOP('',(#17211)); #6993=EDGE_LOOP('',(#17212)); #6994=EDGE_LOOP('',(#17213)); #6995=EDGE_LOOP('',(#17214)); #6996=EDGE_LOOP('',(#17215)); #6997=EDGE_LOOP('',(#17216)); #6998=EDGE_LOOP('',(#17217)); #6999=EDGE_LOOP('',(#17218)); #7000=EDGE_LOOP('',(#17219)); #7001=EDGE_LOOP('',(#17220)); #7002=EDGE_LOOP('',(#17221)); #7003=EDGE_LOOP('',(#17222)); #7004=EDGE_LOOP('',(#17223)); #7005=EDGE_LOOP('',(#17224)); #7006=EDGE_LOOP('',(#17225)); #7007=EDGE_LOOP('',(#17226)); #7008=EDGE_LOOP('',(#17227)); #7009=EDGE_LOOP('',(#17228)); #7010=EDGE_LOOP('',(#17229)); #7011=EDGE_LOOP('',(#17230)); #7012=EDGE_LOOP('',(#17231)); #7013=EDGE_LOOP('',(#17232,#17233,#17234,#17235)); #7014=EDGE_LOOP('',(#17236,#17237,#17238,#17239)); #7015=EDGE_LOOP('',(#17240,#17241,#17242,#17243)); #7016=EDGE_LOOP('',(#17244)); #7017=EDGE_LOOP('',(#17245)); #7018=EDGE_LOOP('',(#17246)); #7019=EDGE_LOOP('',(#17247)); #7020=EDGE_LOOP('',(#17248)); #7021=EDGE_LOOP('',(#17249)); #7022=EDGE_LOOP('',(#17250)); #7023=EDGE_LOOP('',(#17251)); #7024=EDGE_LOOP('',(#17252,#17253,#17254,#17255,#17256,#17257,#17258,#17259, #17260,#17261)); #7025=EDGE_LOOP('',(#17262,#17263,#17264,#17265)); #7026=EDGE_LOOP('',(#17266)); #7027=EDGE_LOOP('',(#17267)); #7028=EDGE_LOOP('',(#17268)); #7029=EDGE_LOOP('',(#17269)); #7030=EDGE_LOOP('',(#17270)); #7031=EDGE_LOOP('',(#17271)); #7032=EDGE_LOOP('',(#17272)); #7033=EDGE_LOOP('',(#17273)); #7034=EDGE_LOOP('',(#17274,#17275,#17276,#17277)); #7035=EDGE_LOOP('',(#17278,#17279,#17280,#17281,#17282,#17283,#17284,#17285, #17286,#17287)); #7036=EDGE_LOOP('',(#17288)); #7037=EDGE_LOOP('',(#17289)); #7038=EDGE_LOOP('',(#17290)); #7039=EDGE_LOOP('',(#17291)); #7040=EDGE_LOOP('',(#17292)); #7041=EDGE_LOOP('',(#17293)); #7042=EDGE_LOOP('',(#17294)); #7043=EDGE_LOOP('',(#17295,#17296,#17297,#17298)); #7044=EDGE_LOOP('',(#17299,#17300,#17301,#17302)); #7045=EDGE_LOOP('',(#17303)); #7046=EDGE_LOOP('',(#17304)); #7047=EDGE_LOOP('',(#17305)); #7048=EDGE_LOOP('',(#17306)); #7049=EDGE_LOOP('',(#17307)); #7050=EDGE_LOOP('',(#17308)); #7051=EDGE_LOOP('',(#17309)); #7052=EDGE_LOOP('',(#17310)); #7053=EDGE_LOOP('',(#17311,#17312,#17313,#17314)); #7054=EDGE_LOOP('',(#17315,#17316,#17317,#17318)); #7055=EDGE_LOOP('',(#17319)); #7056=EDGE_LOOP('',(#17320)); #7057=EDGE_LOOP('',(#17321)); #7058=EDGE_LOOP('',(#17322)); #7059=EDGE_LOOP('',(#17323)); #7060=EDGE_LOOP('',(#17324)); #7061=EDGE_LOOP('',(#17325)); #7062=EDGE_LOOP('',(#17326)); #7063=EDGE_LOOP('',(#17327,#17328,#17329,#17330)); #7064=EDGE_LOOP('',(#17331)); #7065=EDGE_LOOP('',(#17332)); #7066=EDGE_LOOP('',(#17333)); #7067=EDGE_LOOP('',(#17334)); #7068=EDGE_LOOP('',(#17335)); #7069=EDGE_LOOP('',(#17336)); #7070=EDGE_LOOP('',(#17337)); #7071=EDGE_LOOP('',(#17338)); #7072=EDGE_LOOP('',(#17339)); #7073=EDGE_LOOP('',(#17340)); #7074=EDGE_LOOP('',(#17341)); #7075=EDGE_LOOP('',(#17342)); #7076=EDGE_LOOP('',(#17343)); #7077=EDGE_LOOP('',(#17344)); #7078=EDGE_LOOP('',(#17345)); #7079=EDGE_LOOP('',(#17346)); #7080=EDGE_LOOP('',(#17347)); #7081=EDGE_LOOP('',(#17348)); #7082=EDGE_LOOP('',(#17349)); #7083=EDGE_LOOP('',(#17350)); #7084=EDGE_LOOP('',(#17351)); #7085=EDGE_LOOP('',(#17352)); #7086=EDGE_LOOP('',(#17353)); #7087=EDGE_LOOP('',(#17354)); #7088=EDGE_LOOP('',(#17355)); #7089=EDGE_LOOP('',(#17356)); #7090=EDGE_LOOP('',(#17357)); #7091=EDGE_LOOP('',(#17358)); #7092=EDGE_LOOP('',(#17359)); #7093=EDGE_LOOP('',(#17360)); #7094=EDGE_LOOP('',(#17361)); #7095=EDGE_LOOP('',(#17362)); #7096=EDGE_LOOP('',(#17363)); #7097=EDGE_LOOP('',(#17364)); #7098=EDGE_LOOP('',(#17365)); #7099=EDGE_LOOP('',(#17366)); #7100=EDGE_LOOP('',(#17367)); #7101=EDGE_LOOP('',(#17368)); #7102=EDGE_LOOP('',(#17369)); #7103=EDGE_LOOP('',(#17370)); #7104=EDGE_LOOP('',(#17371)); #7105=EDGE_LOOP('',(#17372)); #7106=EDGE_LOOP('',(#17373)); #7107=EDGE_LOOP('',(#17374)); #7108=EDGE_LOOP('',(#17375)); #7109=EDGE_LOOP('',(#17376)); #7110=EDGE_LOOP('',(#17377)); #7111=EDGE_LOOP('',(#17378)); #7112=EDGE_LOOP('',(#17379)); #7113=EDGE_LOOP('',(#17380,#17381,#17382,#17383)); #7114=EDGE_LOOP('',(#17384,#17385,#17386,#17387)); #7115=EDGE_LOOP('',(#17388)); #7116=EDGE_LOOP('',(#17389)); #7117=EDGE_LOOP('',(#17390)); #7118=EDGE_LOOP('',(#17391)); #7119=EDGE_LOOP('',(#17392)); #7120=EDGE_LOOP('',(#17393)); #7121=EDGE_LOOP('',(#17394)); #7122=EDGE_LOOP('',(#17395)); #7123=EDGE_LOOP('',(#17396,#17397,#17398,#17399)); #7124=EDGE_LOOP('',(#17400,#17401,#17402,#17403)); #7125=EDGE_LOOP('',(#17404)); #7126=EDGE_LOOP('',(#17405)); #7127=EDGE_LOOP('',(#17406)); #7128=EDGE_LOOP('',(#17407)); #7129=EDGE_LOOP('',(#17408)); #7130=EDGE_LOOP('',(#17409)); #7131=EDGE_LOOP('',(#17410)); #7132=EDGE_LOOP('',(#17411)); #7133=EDGE_LOOP('',(#17412)); #7134=EDGE_LOOP('',(#17413)); #7135=EDGE_LOOP('',(#17414)); #7136=EDGE_LOOP('',(#17415)); #7137=EDGE_LOOP('',(#17416)); #7138=EDGE_LOOP('',(#17417)); #7139=EDGE_LOOP('',(#17418)); #7140=EDGE_LOOP('',(#17419)); #7141=EDGE_LOOP('',(#17420)); #7142=EDGE_LOOP('',(#17421,#17422,#17423,#17424,#17425,#17426)); #7143=EDGE_LOOP('',(#17427)); #7144=EDGE_LOOP('',(#17428)); #7145=EDGE_LOOP('',(#17429)); #7146=EDGE_LOOP('',(#17430)); #7147=EDGE_LOOP('',(#17431)); #7148=EDGE_LOOP('',(#17432,#17433,#17434,#17435,#17436,#17437)); #7149=EDGE_LOOP('',(#17438,#17439,#17440,#17441)); #7150=EDGE_LOOP('',(#17442,#17443,#17444,#17445)); #7151=EDGE_LOOP('',(#17446)); #7152=EDGE_LOOP('',(#17447)); #7153=EDGE_LOOP('',(#17448)); #7154=EDGE_LOOP('',(#17449)); #7155=EDGE_LOOP('',(#17450)); #7156=EDGE_LOOP('',(#17451)); #7157=EDGE_LOOP('',(#17452)); #7158=EDGE_LOOP('',(#17453)); #7159=EDGE_LOOP('',(#17454)); #7160=EDGE_LOOP('',(#17455)); #7161=EDGE_LOOP('',(#17456)); #7162=EDGE_LOOP('',(#17457)); #7163=EDGE_LOOP('',(#17458)); #7164=EDGE_LOOP('',(#17459)); #7165=EDGE_LOOP('',(#17460)); #7166=EDGE_LOOP('',(#17461)); #7167=EDGE_LOOP('',(#17462)); #7168=EDGE_LOOP('',(#17463)); #7169=EDGE_LOOP('',(#17464)); #7170=EDGE_LOOP('',(#17465)); #7171=EDGE_LOOP('',(#17466)); #7172=EDGE_LOOP('',(#17467)); #7173=EDGE_LOOP('',(#17468)); #7174=EDGE_LOOP('',(#17469)); #7175=EDGE_LOOP('',(#17470)); #7176=EDGE_LOOP('',(#17471)); #7177=EDGE_LOOP('',(#17472)); #7178=EDGE_LOOP('',(#17473)); #7179=EDGE_LOOP('',(#17474)); #7180=EDGE_LOOP('',(#17475)); #7181=EDGE_LOOP('',(#17476)); #7182=EDGE_LOOP('',(#17477)); #7183=EDGE_LOOP('',(#17478)); #7184=EDGE_LOOP('',(#17479)); #7185=EDGE_LOOP('',(#17480)); #7186=EDGE_LOOP('',(#17481)); #7187=EDGE_LOOP('',(#17482)); #7188=EDGE_LOOP('',(#17483)); #7189=EDGE_LOOP('',(#17484)); #7190=EDGE_LOOP('',(#17485)); #7191=EDGE_LOOP('',(#17486)); #7192=EDGE_LOOP('',(#17487)); #7193=EDGE_LOOP('',(#17488)); #7194=EDGE_LOOP('',(#17489)); #7195=EDGE_LOOP('',(#17490)); #7196=EDGE_LOOP('',(#17491)); #7197=EDGE_LOOP('',(#17492)); #7198=EDGE_LOOP('',(#17493)); #7199=EDGE_LOOP('',(#17494)); #7200=EDGE_LOOP('',(#17495)); #7201=EDGE_LOOP('',(#17496)); #7202=EDGE_LOOP('',(#17497)); #7203=EDGE_LOOP('',(#17498)); #7204=EDGE_LOOP('',(#17499)); #7205=EDGE_LOOP('',(#17500)); #7206=EDGE_LOOP('',(#17501)); #7207=EDGE_LOOP('',(#17502)); #7208=EDGE_LOOP('',(#17503)); #7209=EDGE_LOOP('',(#17504,#17505,#17506,#17507)); #7210=EDGE_LOOP('',(#17508)); #7211=EDGE_LOOP('',(#17509)); #7212=EDGE_LOOP('',(#17510)); #7213=EDGE_LOOP('',(#17511)); #7214=EDGE_LOOP('',(#17512)); #7215=EDGE_LOOP('',(#17513)); #7216=EDGE_LOOP('',(#17514)); #7217=EDGE_LOOP('',(#17515)); #7218=EDGE_LOOP('',(#17516)); #7219=EDGE_LOOP('',(#17517)); #7220=EDGE_LOOP('',(#17518)); #7221=EDGE_LOOP('',(#17519)); #7222=EDGE_LOOP('',(#17520)); #7223=EDGE_LOOP('',(#17521)); #7224=EDGE_LOOP('',(#17522)); #7225=EDGE_LOOP('',(#17523)); #7226=EDGE_LOOP('',(#17524)); #7227=EDGE_LOOP('',(#17525,#17526,#17527,#17528,#17529,#17530)); #7228=EDGE_LOOP('',(#17531)); #7229=EDGE_LOOP('',(#17532)); #7230=EDGE_LOOP('',(#17533)); #7231=EDGE_LOOP('',(#17534)); #7232=EDGE_LOOP('',(#17535)); #7233=EDGE_LOOP('',(#17536,#17537,#17538,#17539)); #7234=EDGE_LOOP('',(#17540,#17541,#17542,#17543,#17544,#17545)); #7235=EDGE_LOOP('',(#17546,#17547,#17548,#17549)); #7236=EDGE_LOOP('',(#17550)); #7237=EDGE_LOOP('',(#17551)); #7238=EDGE_LOOP('',(#17552)); #7239=EDGE_LOOP('',(#17553)); #7240=EDGE_LOOP('',(#17554)); #7241=EDGE_LOOP('',(#17555)); #7242=EDGE_LOOP('',(#17556)); #7243=EDGE_LOOP('',(#17557)); #7244=EDGE_LOOP('',(#17558,#17559,#17560,#17561)); #7245=EDGE_LOOP('',(#17562,#17563,#17564,#17565)); #7246=EDGE_LOOP('',(#17566)); #7247=EDGE_LOOP('',(#17567)); #7248=EDGE_LOOP('',(#17568)); #7249=EDGE_LOOP('',(#17569)); #7250=EDGE_LOOP('',(#17570)); #7251=EDGE_LOOP('',(#17571)); #7252=EDGE_LOOP('',(#17572)); #7253=EDGE_LOOP('',(#17573)); #7254=EDGE_LOOP('',(#17574)); #7255=EDGE_LOOP('',(#17575,#17576,#17577,#17578)); #7256=EDGE_LOOP('',(#17579)); #7257=EDGE_LOOP('',(#17580)); #7258=EDGE_LOOP('',(#17581)); #7259=EDGE_LOOP('',(#17582)); #7260=EDGE_LOOP('',(#17583)); #7261=EDGE_LOOP('',(#17584)); #7262=EDGE_LOOP('',(#17585)); #7263=EDGE_LOOP('',(#17586)); #7264=EDGE_LOOP('',(#17587)); #7265=EDGE_LOOP('',(#17588)); #7266=EDGE_LOOP('',(#17589)); #7267=EDGE_LOOP('',(#17590)); #7268=EDGE_LOOP('',(#17591)); #7269=EDGE_LOOP('',(#17592)); #7270=EDGE_LOOP('',(#17593)); #7271=EDGE_LOOP('',(#17594)); #7272=EDGE_LOOP('',(#17595)); #7273=EDGE_LOOP('',(#17596)); #7274=EDGE_LOOP('',(#17597)); #7275=EDGE_LOOP('',(#17598)); #7276=EDGE_LOOP('',(#17599)); #7277=EDGE_LOOP('',(#17600)); #7278=EDGE_LOOP('',(#17601)); #7279=EDGE_LOOP('',(#17602)); #7280=EDGE_LOOP('',(#17603)); #7281=EDGE_LOOP('',(#17604)); #7282=EDGE_LOOP('',(#17605)); #7283=EDGE_LOOP('',(#17606)); #7284=EDGE_LOOP('',(#17607)); #7285=EDGE_LOOP('',(#17608)); #7286=EDGE_LOOP('',(#17609)); #7287=EDGE_LOOP('',(#17610)); #7288=EDGE_LOOP('',(#17611)); #7289=EDGE_LOOP('',(#17612,#17613)); #7290=EDGE_LOOP('',(#17614)); #7291=EDGE_LOOP('',(#17615)); #7292=EDGE_LOOP('',(#17616)); #7293=EDGE_LOOP('',(#17617,#17618)); #7294=EDGE_LOOP('',(#17619)); #7295=EDGE_LOOP('',(#17620)); #7296=EDGE_LOOP('',(#17621)); #7297=EDGE_LOOP('',(#17622)); #7298=EDGE_LOOP('',(#17623)); #7299=EDGE_LOOP('',(#17624)); #7300=EDGE_LOOP('',(#17625)); #7301=EDGE_LOOP('',(#17626)); #7302=EDGE_LOOP('',(#17627)); #7303=EDGE_LOOP('',(#17628)); #7304=EDGE_LOOP('',(#17629)); #7305=EDGE_LOOP('',(#17630)); #7306=EDGE_LOOP('',(#17631)); #7307=EDGE_LOOP('',(#17632,#17633,#17634,#17635)); #7308=EDGE_LOOP('',(#17636,#17637,#17638,#17639)); #7309=EDGE_LOOP('',(#17640,#17641,#17642,#17643)); #7310=EDGE_LOOP('',(#17644,#17645,#17646,#17647)); #7311=EDGE_LOOP('',(#17648,#17649,#17650,#17651)); #7312=EDGE_LOOP('',(#17652,#17653,#17654,#17655)); #7313=EDGE_LOOP('',(#17656,#17657,#17658,#17659)); #7314=EDGE_LOOP('',(#17660,#17661,#17662,#17663)); #7315=EDGE_LOOP('',(#17664,#17665,#17666,#17667)); #7316=EDGE_LOOP('',(#17668,#17669,#17670,#17671)); #7317=EDGE_LOOP('',(#17672,#17673,#17674,#17675)); #7318=EDGE_LOOP('',(#17676,#17677,#17678,#17679)); #7319=EDGE_LOOP('',(#17680,#17681,#17682,#17683)); #7320=EDGE_LOOP('',(#17684,#17685,#17686,#17687)); #7321=EDGE_LOOP('',(#17688,#17689,#17690,#17691)); #7322=EDGE_LOOP('',(#17692,#17693,#17694,#17695)); #7323=EDGE_LOOP('',(#17696,#17697,#17698,#17699)); #7324=EDGE_LOOP('',(#17700,#17701,#17702,#17703)); #7325=EDGE_LOOP('',(#17704,#17705,#17706,#17707)); #7326=EDGE_LOOP('',(#17708,#17709,#17710,#17711)); #7327=EDGE_LOOP('',(#17712,#17713,#17714,#17715,#17716,#17717,#17718,#17719, #17720,#17721,#17722,#17723,#17724)); #7328=EDGE_LOOP('',(#17725)); #7329=EDGE_LOOP('',(#17726)); #7330=EDGE_LOOP('',(#17727)); #7331=EDGE_LOOP('',(#17728)); #7332=EDGE_LOOP('',(#17729)); #7333=EDGE_LOOP('',(#17730)); #7334=EDGE_LOOP('',(#17731)); #7335=EDGE_LOOP('',(#17732)); #7336=EDGE_LOOP('',(#17733)); #7337=EDGE_LOOP('',(#17734)); #7338=EDGE_LOOP('',(#17735)); #7339=EDGE_LOOP('',(#17736)); #7340=EDGE_LOOP('',(#17737,#17738)); #7341=EDGE_LOOP('',(#17739)); #7342=EDGE_LOOP('',(#17740)); #7343=EDGE_LOOP('',(#17741)); #7344=EDGE_LOOP('',(#17742)); #7345=EDGE_LOOP('',(#17743)); #7346=EDGE_LOOP('',(#17744)); #7347=EDGE_LOOP('',(#17745)); #7348=EDGE_LOOP('',(#17746)); #7349=EDGE_LOOP('',(#17747)); #7350=EDGE_LOOP('',(#17748)); #7351=EDGE_LOOP('',(#17749)); #7352=EDGE_LOOP('',(#17750)); #7353=EDGE_LOOP('',(#17751)); #7354=EDGE_LOOP('',(#17752)); #7355=EDGE_LOOP('',(#17753)); #7356=EDGE_LOOP('',(#17754)); #7357=EDGE_LOOP('',(#17755)); #7358=EDGE_LOOP('',(#17756)); #7359=EDGE_LOOP('',(#17757)); #7360=EDGE_LOOP('',(#17758)); #7361=EDGE_LOOP('',(#17759)); #7362=EDGE_LOOP('',(#17760)); #7363=EDGE_LOOP('',(#17761)); #7364=EDGE_LOOP('',(#17762)); #7365=EDGE_LOOP('',(#17763)); #7366=EDGE_LOOP('',(#17764)); #7367=EDGE_LOOP('',(#17765)); #7368=EDGE_LOOP('',(#17766)); #7369=EDGE_LOOP('',(#17767)); #7370=EDGE_LOOP('',(#17768)); #7371=EDGE_LOOP('',(#17769)); #7372=EDGE_LOOP('',(#17770)); #7373=EDGE_LOOP('',(#17771)); #7374=EDGE_LOOP('',(#17772)); #7375=EDGE_LOOP('',(#17773)); #7376=EDGE_LOOP('',(#17774)); #7377=EDGE_LOOP('',(#17775)); #7378=EDGE_LOOP('',(#17776)); #7379=EDGE_LOOP('',(#17777)); #7380=EDGE_LOOP('',(#17778)); #7381=EDGE_LOOP('',(#17779)); #7382=EDGE_LOOP('',(#17780)); #7383=EDGE_LOOP('',(#17781)); #7384=EDGE_LOOP('',(#17782)); #7385=EDGE_LOOP('',(#17783)); #7386=EDGE_LOOP('',(#17784)); #7387=EDGE_LOOP('',(#17785)); #7388=EDGE_LOOP('',(#17786)); #7389=EDGE_LOOP('',(#17787)); #7390=EDGE_LOOP('',(#17788)); #7391=EDGE_LOOP('',(#17789)); #7392=EDGE_LOOP('',(#17790)); #7393=EDGE_LOOP('',(#17791)); #7394=EDGE_LOOP('',(#17792)); #7395=EDGE_LOOP('',(#17793)); #7396=EDGE_LOOP('',(#17794)); #7397=EDGE_LOOP('',(#17795)); #7398=EDGE_LOOP('',(#17796)); #7399=EDGE_LOOP('',(#17797)); #7400=EDGE_LOOP('',(#17798)); #7401=EDGE_LOOP('',(#17799)); #7402=EDGE_LOOP('',(#17800)); #7403=EDGE_LOOP('',(#17801)); #7404=EDGE_LOOP('',(#17802)); #7405=EDGE_LOOP('',(#17803)); #7406=EDGE_LOOP('',(#17804)); #7407=EDGE_LOOP('',(#17805)); #7408=EDGE_LOOP('',(#17806)); #7409=EDGE_LOOP('',(#17807)); #7410=EDGE_LOOP('',(#17808)); #7411=EDGE_LOOP('',(#17809)); #7412=EDGE_LOOP('',(#17810)); #7413=EDGE_LOOP('',(#17811)); #7414=EDGE_LOOP('',(#17812)); #7415=EDGE_LOOP('',(#17813)); #7416=EDGE_LOOP('',(#17814)); #7417=EDGE_LOOP('',(#17815)); #7418=EDGE_LOOP('',(#17816)); #7419=EDGE_LOOP('',(#17817)); #7420=EDGE_LOOP('',(#17818)); #7421=EDGE_LOOP('',(#17819)); #7422=EDGE_LOOP('',(#17820)); #7423=EDGE_LOOP('',(#17821)); #7424=EDGE_LOOP('',(#17822)); #7425=EDGE_LOOP('',(#17823)); #7426=EDGE_LOOP('',(#17824)); #7427=EDGE_LOOP('',(#17825)); #7428=EDGE_LOOP('',(#17826)); #7429=EDGE_LOOP('',(#17827)); #7430=EDGE_LOOP('',(#17828)); #7431=EDGE_LOOP('',(#17829)); #7432=EDGE_LOOP('',(#17830)); #7433=EDGE_LOOP('',(#17831)); #7434=EDGE_LOOP('',(#17832)); #7435=EDGE_LOOP('',(#17833)); #7436=EDGE_LOOP('',(#17834)); #7437=EDGE_LOOP('',(#17835)); #7438=EDGE_LOOP('',(#17836)); #7439=EDGE_LOOP('',(#17837)); #7440=EDGE_LOOP('',(#17838)); #7441=EDGE_LOOP('',(#17839)); #7442=EDGE_LOOP('',(#17840)); #7443=EDGE_LOOP('',(#17841)); #7444=EDGE_LOOP('',(#17842)); #7445=EDGE_LOOP('',(#17843)); #7446=EDGE_LOOP('',(#17844)); #7447=EDGE_LOOP('',(#17845)); #7448=EDGE_LOOP('',(#17846)); #7449=EDGE_LOOP('',(#17847)); #7450=EDGE_LOOP('',(#17848)); #7451=EDGE_LOOP('',(#17849)); #7452=EDGE_LOOP('',(#17850)); #7453=EDGE_LOOP('',(#17851)); #7454=EDGE_LOOP('',(#17852)); #7455=EDGE_LOOP('',(#17853)); #7456=EDGE_LOOP('',(#17854)); #7457=EDGE_LOOP('',(#17855)); #7458=EDGE_LOOP('',(#17856)); #7459=EDGE_LOOP('',(#17857)); #7460=EDGE_LOOP('',(#17858)); #7461=EDGE_LOOP('',(#17859)); #7462=EDGE_LOOP('',(#17860)); #7463=EDGE_LOOP('',(#17861)); #7464=EDGE_LOOP('',(#17862)); #7465=EDGE_LOOP('',(#17863)); #7466=EDGE_LOOP('',(#17864)); #7467=EDGE_LOOP('',(#17865)); #7468=EDGE_LOOP('',(#17866)); #7469=EDGE_LOOP('',(#17867)); #7470=EDGE_LOOP('',(#17868)); #7471=EDGE_LOOP('',(#17869)); #7472=EDGE_LOOP('',(#17870)); #7473=EDGE_LOOP('',(#17871)); #7474=EDGE_LOOP('',(#17872)); #7475=EDGE_LOOP('',(#17873)); #7476=EDGE_LOOP('',(#17874)); #7477=EDGE_LOOP('',(#17875)); #7478=EDGE_LOOP('',(#17876)); #7479=EDGE_LOOP('',(#17877)); #7480=EDGE_LOOP('',(#17878)); #7481=EDGE_LOOP('',(#17879)); #7482=EDGE_LOOP('',(#17880,#17881,#17882,#17883)); #7483=EDGE_LOOP('',(#17884)); #7484=EDGE_LOOP('',(#17885)); #7485=EDGE_LOOP('',(#17886)); #7486=EDGE_LOOP('',(#17887)); #7487=EDGE_LOOP('',(#17888)); #7488=EDGE_LOOP('',(#17889)); #7489=EDGE_LOOP('',(#17890,#17891,#17892,#17893,#17894)); #7490=EDGE_LOOP('',(#17895)); #7491=EDGE_LOOP('',(#17896)); #7492=EDGE_LOOP('',(#17897)); #7493=EDGE_LOOP('',(#17898)); #7494=EDGE_LOOP('',(#17899)); #7495=EDGE_LOOP('',(#17900)); #7496=EDGE_LOOP('',(#17901)); #7497=EDGE_LOOP('',(#17902)); #7498=EDGE_LOOP('',(#17903)); #7499=EDGE_LOOP('',(#17904)); #7500=EDGE_LOOP('',(#17905)); #7501=EDGE_LOOP('',(#17906)); #7502=EDGE_LOOP('',(#17907)); #7503=EDGE_LOOP('',(#17908)); #7504=EDGE_LOOP('',(#17909)); #7505=EDGE_LOOP('',(#17910)); #7506=EDGE_LOOP('',(#17911)); #7507=EDGE_LOOP('',(#17912)); #7508=EDGE_LOOP('',(#17913)); #7509=EDGE_LOOP('',(#17914)); #7510=EDGE_LOOP('',(#17915)); #7511=EDGE_LOOP('',(#17916)); #7512=EDGE_LOOP('',(#17917)); #7513=EDGE_LOOP('',(#17918)); #7514=EDGE_LOOP('',(#17919)); #7515=EDGE_LOOP('',(#17920)); #7516=EDGE_LOOP('',(#17921)); #7517=EDGE_LOOP('',(#17922)); #7518=EDGE_LOOP('',(#17923)); #7519=EDGE_LOOP('',(#17924)); #7520=EDGE_LOOP('',(#17925)); #7521=EDGE_LOOP('',(#17926)); #7522=EDGE_LOOP('',(#17927)); #7523=EDGE_LOOP('',(#17928)); #7524=EDGE_LOOP('',(#17929)); #7525=EDGE_LOOP('',(#17930)); #7526=EDGE_LOOP('',(#17931)); #7527=EDGE_LOOP('',(#17932)); #7528=EDGE_LOOP('',(#17933)); #7529=EDGE_LOOP('',(#17934)); #7530=EDGE_LOOP('',(#17935,#17936,#17937,#17938)); #7531=EDGE_LOOP('',(#17939)); #7532=EDGE_LOOP('',(#17940)); #7533=EDGE_LOOP('',(#17941)); #7534=EDGE_LOOP('',(#17942)); #7535=EDGE_LOOP('',(#17943)); #7536=EDGE_LOOP('',(#17944)); #7537=EDGE_LOOP('',(#17945)); #7538=EDGE_LOOP('',(#17946)); #7539=EDGE_LOOP('',(#17947)); #7540=EDGE_LOOP('',(#17948,#17949,#17950,#17951)); #7541=EDGE_LOOP('',(#17952,#17953,#17954,#17955,#17956)); #7542=EDGE_LOOP('',(#17957,#17958,#17959,#17960)); #7543=EDGE_LOOP('',(#17961)); #7544=EDGE_LOOP('',(#17962)); #7545=EDGE_LOOP('',(#17963)); #7546=EDGE_LOOP('',(#17964)); #7547=EDGE_LOOP('',(#17965)); #7548=EDGE_LOOP('',(#17966)); #7549=EDGE_LOOP('',(#17967)); #7550=EDGE_LOOP('',(#17968)); #7551=EDGE_LOOP('',(#17969)); #7552=EDGE_LOOP('',(#17970,#17971,#17972,#17973)); #7553=EDGE_LOOP('',(#17974)); #7554=EDGE_LOOP('',(#17975)); #7555=EDGE_LOOP('',(#17976)); #7556=EDGE_LOOP('',(#17977)); #7557=EDGE_LOOP('',(#17978,#17979,#17980,#17981)); #7558=EDGE_LOOP('',(#17982,#17983,#17984,#17985,#17986,#17987,#17988,#17989)); #7559=EDGE_LOOP('',(#17990,#17991,#17992,#17993,#17994,#17995,#17996,#17997)); #7560=EDGE_LOOP('',(#17998,#17999,#18000,#18001,#18002,#18003,#18004,#18005, #18006,#18007,#18008,#18009,#18010)); #7561=EDGE_LOOP('',(#18011,#18012,#18013,#18014)); #7562=EDGE_LOOP('',(#18015,#18016,#18017,#18018)); #7563=EDGE_LOOP('',(#18019,#18020,#18021,#18022)); #7564=EDGE_LOOP('',(#18023,#18024,#18025,#18026)); #7565=EDGE_LOOP('',(#18027,#18028,#18029,#18030)); #7566=EDGE_LOOP('',(#18031,#18032,#18033,#18034)); #7567=EDGE_LOOP('',(#18035,#18036,#18037,#18038)); #7568=EDGE_LOOP('',(#18039)); #7569=EDGE_LOOP('',(#18040)); #7570=EDGE_LOOP('',(#18041)); #7571=EDGE_LOOP('',(#18042)); #7572=EDGE_LOOP('',(#18043)); #7573=EDGE_LOOP('',(#18044)); #7574=EDGE_LOOP('',(#18045)); #7575=EDGE_LOOP('',(#18046)); #7576=EDGE_LOOP('',(#18047)); #7577=EDGE_LOOP('',(#18048)); #7578=EDGE_LOOP('',(#18049)); #7579=EDGE_LOOP('',(#18050)); #7580=EDGE_LOOP('',(#18051)); #7581=EDGE_LOOP('',(#18052)); #7582=EDGE_LOOP('',(#18053)); #7583=EDGE_LOOP('',(#18054)); #7584=EDGE_LOOP('',(#18055)); #7585=EDGE_LOOP('',(#18056)); #7586=EDGE_LOOP('',(#18057)); #7587=EDGE_LOOP('',(#18058)); #7588=EDGE_LOOP('',(#18059)); #7589=EDGE_LOOP('',(#18060)); #7590=EDGE_LOOP('',(#18061)); #7591=EDGE_LOOP('',(#18062)); #7592=EDGE_LOOP('',(#18063)); #7593=EDGE_LOOP('',(#18064)); #7594=EDGE_LOOP('',(#18065)); #7595=EDGE_LOOP('',(#18066)); #7596=EDGE_LOOP('',(#18067)); #7597=EDGE_LOOP('',(#18068)); #7598=EDGE_LOOP('',(#18069)); #7599=EDGE_LOOP('',(#18070)); #7600=EDGE_LOOP('',(#18071)); #7601=EDGE_LOOP('',(#18072)); #7602=EDGE_LOOP('',(#18073)); #7603=EDGE_LOOP('',(#18074)); #7604=EDGE_LOOP('',(#18075)); #7605=EDGE_LOOP('',(#18076)); #7606=EDGE_LOOP('',(#18077)); #7607=EDGE_LOOP('',(#18078)); #7608=EDGE_LOOP('',(#18079)); #7609=EDGE_LOOP('',(#18080)); #7610=EDGE_LOOP('',(#18081)); #7611=EDGE_LOOP('',(#18082)); #7612=EDGE_LOOP('',(#18083)); #7613=EDGE_LOOP('',(#18084)); #7614=EDGE_LOOP('',(#18085)); #7615=EDGE_LOOP('',(#18086)); #7616=EDGE_LOOP('',(#18087)); #7617=EDGE_LOOP('',(#18088)); #7618=EDGE_LOOP('',(#18089)); #7619=EDGE_LOOP('',(#18090)); #7620=EDGE_LOOP('',(#18091,#18092,#18093,#18094)); #7621=EDGE_LOOP('',(#18095,#18096,#18097,#18098)); #7622=EDGE_LOOP('',(#18099,#18100,#18101,#18102)); #7623=EDGE_LOOP('',(#18103)); #7624=EDGE_LOOP('',(#18104)); #7625=EDGE_LOOP('',(#18105)); #7626=EDGE_LOOP('',(#18106)); #7627=EDGE_LOOP('',(#18107)); #7628=EDGE_LOOP('',(#18108)); #7629=EDGE_LOOP('',(#18109)); #7630=EDGE_LOOP('',(#18110)); #7631=EDGE_LOOP('',(#18111)); #7632=EDGE_LOOP('',(#18112)); #7633=EDGE_LOOP('',(#18113)); #7634=EDGE_LOOP('',(#18114)); #7635=EDGE_LOOP('',(#18115)); #7636=EDGE_LOOP('',(#18116)); #7637=EDGE_LOOP('',(#18117)); #7638=EDGE_LOOP('',(#18118)); #7639=EDGE_LOOP('',(#18119)); #7640=EDGE_LOOP('',(#18120)); #7641=EDGE_LOOP('',(#18121,#18122,#18123,#18124)); #7642=EDGE_LOOP('',(#18125,#18126,#18127,#18128)); #7643=EDGE_LOOP('',(#18129)); #7644=EDGE_LOOP('',(#18130)); #7645=EDGE_LOOP('',(#18131)); #7646=EDGE_LOOP('',(#18132)); #7647=EDGE_LOOP('',(#18133,#18134,#18135,#18136)); #7648=EDGE_LOOP('',(#18137)); #7649=EDGE_LOOP('',(#18138)); #7650=EDGE_LOOP('',(#18139)); #7651=EDGE_LOOP('',(#18140)); #7652=EDGE_LOOP('',(#18141)); #7653=EDGE_LOOP('',(#18142)); #7654=EDGE_LOOP('',(#18143)); #7655=EDGE_LOOP('',(#18144)); #7656=EDGE_LOOP('',(#18145)); #7657=EDGE_LOOP('',(#18146)); #7658=EDGE_LOOP('',(#18147)); #7659=EDGE_LOOP('',(#18148)); #7660=EDGE_LOOP('',(#18149)); #7661=EDGE_LOOP('',(#18150)); #7662=EDGE_LOOP('',(#18151)); #7663=EDGE_LOOP('',(#18152)); #7664=EDGE_LOOP('',(#18153)); #7665=EDGE_LOOP('',(#18154)); #7666=EDGE_LOOP('',(#18155,#18156,#18157,#18158)); #7667=EDGE_LOOP('',(#18159,#18160,#18161,#18162,#18163)); #7668=EDGE_LOOP('',(#18164)); #7669=EDGE_LOOP('',(#18165)); #7670=EDGE_LOOP('',(#18166)); #7671=EDGE_LOOP('',(#18167)); #7672=EDGE_LOOP('',(#18168)); #7673=EDGE_LOOP('',(#18169)); #7674=EDGE_LOOP('',(#18170)); #7675=EDGE_LOOP('',(#18171)); #7676=EDGE_LOOP('',(#18172)); #7677=EDGE_LOOP('',(#18173)); #7678=EDGE_LOOP('',(#18174)); #7679=EDGE_LOOP('',(#18175)); #7680=EDGE_LOOP('',(#18176)); #7681=EDGE_LOOP('',(#18177)); #7682=EDGE_LOOP('',(#18178)); #7683=EDGE_LOOP('',(#18179)); #7684=EDGE_LOOP('',(#18180)); #7685=EDGE_LOOP('',(#18181)); #7686=EDGE_LOOP('',(#18182)); #7687=EDGE_LOOP('',(#18183)); #7688=EDGE_LOOP('',(#18184)); #7689=EDGE_LOOP('',(#18185)); #7690=EDGE_LOOP('',(#18186)); #7691=EDGE_LOOP('',(#18187)); #7692=EDGE_LOOP('',(#18188)); #7693=EDGE_LOOP('',(#18189)); #7694=EDGE_LOOP('',(#18190)); #7695=EDGE_LOOP('',(#18191)); #7696=EDGE_LOOP('',(#18192)); #7697=EDGE_LOOP('',(#18193)); #7698=EDGE_LOOP('',(#18194)); #7699=EDGE_LOOP('',(#18195)); #7700=EDGE_LOOP('',(#18196)); #7701=EDGE_LOOP('',(#18197)); #7702=EDGE_LOOP('',(#18198,#18199)); #7703=EDGE_LOOP('',(#18200)); #7704=EDGE_LOOP('',(#18201,#18202)); #7705=EDGE_LOOP('',(#18203)); #7706=EDGE_LOOP('',(#18204)); #7707=EDGE_LOOP('',(#18205)); #7708=EDGE_LOOP('',(#18206)); #7709=EDGE_LOOP('',(#18207)); #7710=EDGE_LOOP('',(#18208)); #7711=EDGE_LOOP('',(#18209)); #7712=EDGE_LOOP('',(#18210)); #7713=EDGE_LOOP('',(#18211)); #7714=EDGE_LOOP('',(#18212)); #7715=EDGE_LOOP('',(#18213)); #7716=EDGE_LOOP('',(#18214)); #7717=EDGE_LOOP('',(#18215,#18216)); #7718=EDGE_LOOP('',(#18217,#18218)); #7719=EDGE_LOOP('',(#18219)); #7720=EDGE_LOOP('',(#18220)); #7721=EDGE_LOOP('',(#18221)); #7722=EDGE_LOOP('',(#18222)); #7723=EDGE_LOOP('',(#18223)); #7724=EDGE_LOOP('',(#18224)); #7725=EDGE_LOOP('',(#18225)); #7726=EDGE_LOOP('',(#18226)); #7727=EDGE_LOOP('',(#18227)); #7728=EDGE_LOOP('',(#18228)); #7729=EDGE_LOOP('',(#18229)); #7730=EDGE_LOOP('',(#18230)); #7731=EDGE_LOOP('',(#18231)); #7732=EDGE_LOOP('',(#18232)); #7733=EDGE_LOOP('',(#18233,#18234)); #7734=EDGE_LOOP('',(#18235)); #7735=EDGE_LOOP('',(#18236)); #7736=EDGE_LOOP('',(#18237)); #7737=EDGE_LOOP('',(#18238)); #7738=EDGE_LOOP('',(#18239)); #7739=EDGE_LOOP('',(#18240)); #7740=EDGE_LOOP('',(#18241)); #7741=EDGE_LOOP('',(#18242)); #7742=EDGE_LOOP('',(#18243)); #7743=EDGE_LOOP('',(#18244)); #7744=EDGE_LOOP('',(#18245)); #7745=EDGE_LOOP('',(#18246)); #7746=EDGE_LOOP('',(#18247)); #7747=EDGE_LOOP('',(#18248)); #7748=EDGE_LOOP('',(#18249)); #7749=EDGE_LOOP('',(#18250)); #7750=EDGE_LOOP('',(#18251)); #7751=EDGE_LOOP('',(#18252)); #7752=EDGE_LOOP('',(#18253)); #7753=EDGE_LOOP('',(#18254)); #7754=EDGE_LOOP('',(#18255)); #7755=EDGE_LOOP('',(#18256)); #7756=EDGE_LOOP('',(#18257)); #7757=EDGE_LOOP('',(#18258)); #7758=EDGE_LOOP('',(#18259)); #7759=EDGE_LOOP('',(#18260)); #7760=EDGE_LOOP('',(#18261)); #7761=EDGE_LOOP('',(#18262)); #7762=EDGE_LOOP('',(#18263)); #7763=EDGE_LOOP('',(#18264)); #7764=EDGE_LOOP('',(#18265)); #7765=EDGE_LOOP('',(#18266)); #7766=EDGE_LOOP('',(#18267)); #7767=EDGE_LOOP('',(#18268)); #7768=EDGE_LOOP('',(#18269)); #7769=EDGE_LOOP('',(#18270)); #7770=EDGE_LOOP('',(#18271)); #7771=EDGE_LOOP('',(#18272)); #7772=EDGE_LOOP('',(#18273)); #7773=EDGE_LOOP('',(#18274)); #7774=EDGE_LOOP('',(#18275)); #7775=EDGE_LOOP('',(#18276)); #7776=EDGE_LOOP('',(#18277)); #7777=EDGE_LOOP('',(#18278)); #7778=EDGE_LOOP('',(#18279)); #7779=EDGE_LOOP('',(#18280)); #7780=EDGE_LOOP('',(#18281)); #7781=EDGE_LOOP('',(#18282)); #7782=EDGE_LOOP('',(#18283)); #7783=EDGE_LOOP('',(#18284)); #7784=EDGE_LOOP('',(#18285)); #7785=EDGE_LOOP('',(#18286)); #7786=EDGE_LOOP('',(#18287)); #7787=EDGE_LOOP('',(#18288)); #7788=EDGE_LOOP('',(#18289)); #7789=EDGE_LOOP('',(#18290)); #7790=EDGE_LOOP('',(#18291)); #7791=EDGE_LOOP('',(#18292)); #7792=EDGE_LOOP('',(#18293)); #7793=EDGE_LOOP('',(#18294)); #7794=EDGE_LOOP('',(#18295)); #7795=EDGE_LOOP('',(#18296)); #7796=EDGE_LOOP('',(#18297)); #7797=EDGE_LOOP('',(#18298)); #7798=EDGE_LOOP('',(#18299)); #7799=EDGE_LOOP('',(#18300)); #7800=EDGE_LOOP('',(#18301)); #7801=EDGE_LOOP('',(#18302)); #7802=EDGE_LOOP('',(#18303)); #7803=EDGE_LOOP('',(#18304)); #7804=EDGE_LOOP('',(#18305)); #7805=EDGE_LOOP('',(#18306)); #7806=EDGE_LOOP('',(#18307)); #7807=EDGE_LOOP('',(#18308)); #7808=EDGE_LOOP('',(#18309)); #7809=EDGE_LOOP('',(#18310)); #7810=EDGE_LOOP('',(#18311)); #7811=EDGE_LOOP('',(#18312)); #7812=EDGE_LOOP('',(#18313)); #7813=EDGE_LOOP('',(#18314)); #7814=EDGE_LOOP('',(#18315)); #7815=EDGE_LOOP('',(#18316)); #7816=EDGE_LOOP('',(#18317)); #7817=EDGE_LOOP('',(#18318)); #7818=EDGE_LOOP('',(#18319)); #7819=EDGE_LOOP('',(#18320)); #7820=EDGE_LOOP('',(#18321)); #7821=EDGE_LOOP('',(#18322)); #7822=EDGE_LOOP('',(#18323)); #7823=EDGE_LOOP('',(#18324)); #7824=EDGE_LOOP('',(#18325)); #7825=EDGE_LOOP('',(#18326)); #7826=EDGE_LOOP('',(#18327)); #7827=EDGE_LOOP('',(#18328)); #7828=EDGE_LOOP('',(#18329)); #7829=EDGE_LOOP('',(#18330)); #7830=EDGE_LOOP('',(#18331)); #7831=EDGE_LOOP('',(#18332)); #7832=EDGE_LOOP('',(#18333)); #7833=EDGE_LOOP('',(#18334)); #7834=EDGE_LOOP('',(#18335)); #7835=EDGE_LOOP('',(#18336)); #7836=EDGE_LOOP('',(#18337)); #7837=EDGE_LOOP('',(#18338)); #7838=EDGE_LOOP('',(#18339)); #7839=EDGE_LOOP('',(#18340)); #7840=EDGE_LOOP('',(#18341)); #7841=EDGE_LOOP('',(#18342)); #7842=EDGE_LOOP('',(#18343)); #7843=EDGE_LOOP('',(#18344)); #7844=EDGE_LOOP('',(#18345)); #7845=EDGE_LOOP('',(#18346)); #7846=EDGE_LOOP('',(#18347)); #7847=EDGE_LOOP('',(#18348)); #7848=EDGE_LOOP('',(#18349)); #7849=EDGE_LOOP('',(#18350)); #7850=EDGE_LOOP('',(#18351)); #7851=EDGE_LOOP('',(#18352)); #7852=EDGE_LOOP('',(#18353)); #7853=EDGE_LOOP('',(#18354)); #7854=EDGE_LOOP('',(#18355)); #7855=EDGE_LOOP('',(#18356)); #7856=EDGE_LOOP('',(#18357)); #7857=EDGE_LOOP('',(#18358)); #7858=EDGE_LOOP('',(#18359)); #7859=EDGE_LOOP('',(#18360)); #7860=EDGE_LOOP('',(#18361)); #7861=EDGE_LOOP('',(#18362)); #7862=EDGE_LOOP('',(#18363)); #7863=EDGE_LOOP('',(#18364)); #7864=EDGE_LOOP('',(#18365)); #7865=EDGE_LOOP('',(#18366)); #7866=EDGE_LOOP('',(#18367)); #7867=EDGE_LOOP('',(#18368)); #7868=EDGE_LOOP('',(#18369)); #7869=EDGE_LOOP('',(#18370)); #7870=EDGE_LOOP('',(#18371)); #7871=EDGE_LOOP('',(#18372)); #7872=EDGE_LOOP('',(#18373)); #7873=EDGE_LOOP('',(#18374)); #7874=EDGE_LOOP('',(#18375)); #7875=EDGE_LOOP('',(#18376)); #7876=EDGE_LOOP('',(#18377)); #7877=EDGE_LOOP('',(#18378)); #7878=EDGE_LOOP('',(#18379)); #7879=EDGE_LOOP('',(#18380)); #7880=EDGE_LOOP('',(#18381)); #7881=EDGE_LOOP('',(#18382)); #7882=EDGE_LOOP('',(#18383)); #7883=EDGE_LOOP('',(#18384)); #7884=EDGE_LOOP('',(#18385)); #7885=EDGE_LOOP('',(#18386)); #7886=EDGE_LOOP('',(#18387)); #7887=EDGE_LOOP('',(#18388)); #7888=EDGE_LOOP('',(#18389)); #7889=EDGE_LOOP('',(#18390)); #7890=EDGE_LOOP('',(#18391)); #7891=EDGE_LOOP('',(#18392)); #7892=EDGE_LOOP('',(#18393)); #7893=EDGE_LOOP('',(#18394)); #7894=EDGE_LOOP('',(#18395)); #7895=EDGE_LOOP('',(#18396)); #7896=EDGE_LOOP('',(#18397)); #7897=EDGE_LOOP('',(#18398)); #7898=EDGE_LOOP('',(#18399)); #7899=EDGE_LOOP('',(#18400)); #7900=EDGE_LOOP('',(#18401)); #7901=EDGE_LOOP('',(#18402)); #7902=EDGE_LOOP('',(#18403)); #7903=EDGE_LOOP('',(#18404)); #7904=EDGE_LOOP('',(#18405)); #7905=EDGE_LOOP('',(#18406)); #7906=EDGE_LOOP('',(#18407)); #7907=EDGE_LOOP('',(#18408)); #7908=EDGE_LOOP('',(#18409)); #7909=EDGE_LOOP('',(#18410)); #7910=EDGE_LOOP('',(#18411)); #7911=EDGE_LOOP('',(#18412)); #7912=EDGE_LOOP('',(#18413)); #7913=EDGE_LOOP('',(#18414)); #7914=EDGE_LOOP('',(#18415)); #7915=EDGE_LOOP('',(#18416)); #7916=EDGE_LOOP('',(#18417)); #7917=EDGE_LOOP('',(#18418)); #7918=EDGE_LOOP('',(#18419)); #7919=EDGE_LOOP('',(#18420)); #7920=EDGE_LOOP('',(#18421)); #7921=EDGE_LOOP('',(#18422)); #7922=EDGE_LOOP('',(#18423)); #7923=EDGE_LOOP('',(#18424)); #7924=EDGE_LOOP('',(#18425)); #7925=EDGE_LOOP('',(#18426)); #7926=EDGE_LOOP('',(#18427)); #7927=EDGE_LOOP('',(#18428)); #7928=EDGE_LOOP('',(#18429)); #7929=EDGE_LOOP('',(#18430)); #7930=EDGE_LOOP('',(#18431)); #7931=EDGE_LOOP('',(#18432)); #7932=EDGE_LOOP('',(#18433)); #7933=EDGE_LOOP('',(#18434)); #7934=EDGE_LOOP('',(#18435)); #7935=EDGE_LOOP('',(#18436)); #7936=EDGE_LOOP('',(#18437)); #7937=EDGE_LOOP('',(#18438)); #7938=EDGE_LOOP('',(#18439)); #7939=EDGE_LOOP('',(#18440)); #7940=EDGE_LOOP('',(#18441)); #7941=EDGE_LOOP('',(#18442)); #7942=EDGE_LOOP('',(#18443)); #7943=EDGE_LOOP('',(#18444)); #7944=EDGE_LOOP('',(#18445)); #7945=EDGE_LOOP('',(#18446)); #7946=EDGE_LOOP('',(#18447)); #7947=EDGE_LOOP('',(#18448)); #7948=EDGE_LOOP('',(#18449)); #7949=EDGE_LOOP('',(#18450)); #7950=EDGE_LOOP('',(#18451)); #7951=EDGE_LOOP('',(#18452)); #7952=EDGE_LOOP('',(#18453)); #7953=EDGE_LOOP('',(#18454)); #7954=EDGE_LOOP('',(#18455)); #7955=EDGE_LOOP('',(#18456)); #7956=EDGE_LOOP('',(#18457)); #7957=EDGE_LOOP('',(#18458)); #7958=EDGE_LOOP('',(#18459)); #7959=EDGE_LOOP('',(#18460)); #7960=EDGE_LOOP('',(#18461)); #7961=EDGE_LOOP('',(#18462)); #7962=EDGE_LOOP('',(#18463)); #7963=EDGE_LOOP('',(#18464)); #7964=EDGE_LOOP('',(#18465)); #7965=EDGE_LOOP('',(#18466)); #7966=EDGE_LOOP('',(#18467)); #7967=EDGE_LOOP('',(#18468)); #7968=EDGE_LOOP('',(#18469)); #7969=EDGE_LOOP('',(#18470)); #7970=EDGE_LOOP('',(#18471)); #7971=EDGE_LOOP('',(#18472)); #7972=EDGE_LOOP('',(#18473)); #7973=EDGE_LOOP('',(#18474)); #7974=EDGE_LOOP('',(#18475)); #7975=EDGE_LOOP('',(#18476)); #7976=EDGE_LOOP('',(#18477)); #7977=EDGE_LOOP('',(#18478)); #7978=EDGE_LOOP('',(#18479)); #7979=EDGE_LOOP('',(#18480)); #7980=EDGE_LOOP('',(#18481)); #7981=EDGE_LOOP('',(#18482)); #7982=EDGE_LOOP('',(#18483)); #7983=EDGE_LOOP('',(#18484)); #7984=EDGE_LOOP('',(#18485)); #7985=EDGE_LOOP('',(#18486)); #7986=EDGE_LOOP('',(#18487)); #7987=EDGE_LOOP('',(#18488)); #7988=EDGE_LOOP('',(#18489)); #7989=EDGE_LOOP('',(#18490)); #7990=EDGE_LOOP('',(#18491)); #7991=EDGE_LOOP('',(#18492)); #7992=EDGE_LOOP('',(#18493)); #7993=EDGE_LOOP('',(#18494)); #7994=EDGE_LOOP('',(#18495)); #7995=EDGE_LOOP('',(#18496)); #7996=EDGE_LOOP('',(#18497)); #7997=EDGE_LOOP('',(#18498)); #7998=EDGE_LOOP('',(#18499)); #7999=EDGE_LOOP('',(#18500)); #8000=EDGE_LOOP('',(#18501)); #8001=EDGE_LOOP('',(#18502)); #8002=EDGE_LOOP('',(#18503)); #8003=EDGE_LOOP('',(#18504)); #8004=EDGE_LOOP('',(#18505)); #8005=EDGE_LOOP('',(#18506)); #8006=EDGE_LOOP('',(#18507)); #8007=EDGE_LOOP('',(#18508)); #8008=EDGE_LOOP('',(#18509)); #8009=EDGE_LOOP('',(#18510)); #8010=EDGE_LOOP('',(#18511)); #8011=EDGE_LOOP('',(#18512)); #8012=EDGE_LOOP('',(#18513,#18514)); #8013=EDGE_LOOP('',(#18515)); #8014=EDGE_LOOP('',(#18516,#18517,#18518,#18519)); #8015=EDGE_LOOP('',(#18520)); #8016=EDGE_LOOP('',(#18521)); #8017=EDGE_LOOP('',(#18522)); #8018=EDGE_LOOP('',(#18523)); #8019=EDGE_LOOP('',(#18524)); #8020=EDGE_LOOP('',(#18525)); #8021=EDGE_LOOP('',(#18526)); #8022=EDGE_LOOP('',(#18527)); #8023=EDGE_LOOP('',(#18528)); #8024=EDGE_LOOP('',(#18529,#18530,#18531,#18532,#18533,#18534,#18535,#18536)); #8025=EDGE_LOOP('',(#18537,#18538,#18539,#18540,#18541,#18542,#18543,#18544, #18545,#18546,#18547,#18548)); #8026=EDGE_LOOP('',(#18549,#18550,#18551,#18552)); #8027=EDGE_LOOP('',(#18553)); #8028=EDGE_LOOP('',(#18554)); #8029=EDGE_LOOP('',(#18555)); #8030=EDGE_LOOP('',(#18556)); #8031=EDGE_LOOP('',(#18557)); #8032=EDGE_LOOP('',(#18558)); #8033=EDGE_LOOP('',(#18559,#18560,#18561,#18562,#18563,#18564)); #8034=EDGE_LOOP('',(#18565)); #8035=EDGE_LOOP('',(#18566)); #8036=EDGE_LOOP('',(#18567)); #8037=EDGE_LOOP('',(#18568)); #8038=EDGE_LOOP('',(#18569)); #8039=EDGE_LOOP('',(#18570)); #8040=EDGE_LOOP('',(#18571)); #8041=EDGE_LOOP('',(#18572)); #8042=EDGE_LOOP('',(#18573)); #8043=EDGE_LOOP('',(#18574)); #8044=EDGE_LOOP('',(#18575)); #8045=EDGE_LOOP('',(#18576)); #8046=EDGE_LOOP('',(#18577)); #8047=EDGE_LOOP('',(#18578)); #8048=EDGE_LOOP('',(#18579)); #8049=EDGE_LOOP('',(#18580)); #8050=EDGE_LOOP('',(#18581)); #8051=EDGE_LOOP('',(#18582)); #8052=EDGE_LOOP('',(#18583)); #8053=EDGE_LOOP('',(#18584)); #8054=EDGE_LOOP('',(#18585)); #8055=EDGE_LOOP('',(#18586)); #8056=EDGE_LOOP('',(#18587)); #8057=EDGE_LOOP('',(#18588)); #8058=EDGE_LOOP('',(#18589)); #8059=EDGE_LOOP('',(#18590)); #8060=EDGE_LOOP('',(#18591)); #8061=EDGE_LOOP('',(#18592)); #8062=EDGE_LOOP('',(#18593)); #8063=EDGE_LOOP('',(#18594)); #8064=EDGE_LOOP('',(#18595)); #8065=EDGE_LOOP('',(#18596)); #8066=EDGE_LOOP('',(#18597)); #8067=EDGE_LOOP('',(#18598)); #8068=EDGE_LOOP('',(#18599)); #8069=EDGE_LOOP('',(#18600)); #8070=EDGE_LOOP('',(#18601)); #8071=EDGE_LOOP('',(#18602)); #8072=EDGE_LOOP('',(#18603)); #8073=EDGE_LOOP('',(#18604)); #8074=EDGE_LOOP('',(#18605)); #8075=EDGE_LOOP('',(#18606)); #8076=EDGE_LOOP('',(#18607)); #8077=EDGE_LOOP('',(#18608)); #8078=EDGE_LOOP('',(#18609)); #8079=EDGE_LOOP('',(#18610)); #8080=EDGE_LOOP('',(#18611)); #8081=EDGE_LOOP('',(#18612)); #8082=EDGE_LOOP('',(#18613)); #8083=EDGE_LOOP('',(#18614)); #8084=EDGE_LOOP('',(#18615)); #8085=EDGE_LOOP('',(#18616)); #8086=EDGE_LOOP('',(#18617)); #8087=EDGE_LOOP('',(#18618)); #8088=EDGE_LOOP('',(#18619)); #8089=EDGE_LOOP('',(#18620)); #8090=EDGE_LOOP('',(#18621,#18622,#18623,#18624)); #8091=EDGE_LOOP('',(#18625)); #8092=EDGE_LOOP('',(#18626)); #8093=EDGE_LOOP('',(#18627)); #8094=EDGE_LOOP('',(#18628)); #8095=EDGE_LOOP('',(#18629)); #8096=EDGE_LOOP('',(#18630)); #8097=EDGE_LOOP('',(#18631,#18632,#18633,#18634)); #8098=EDGE_LOOP('',(#18635)); #8099=EDGE_LOOP('',(#18636)); #8100=EDGE_LOOP('',(#18637)); #8101=EDGE_LOOP('',(#18638)); #8102=EDGE_LOOP('',(#18639)); #8103=EDGE_LOOP('',(#18640)); #8104=EDGE_LOOP('',(#18641)); #8105=EDGE_LOOP('',(#18642)); #8106=EDGE_LOOP('',(#18643)); #8107=EDGE_LOOP('',(#18644,#18645,#18646,#18647,#18648,#18649,#18650,#18651)); #8108=EDGE_LOOP('',(#18652,#18653,#18654,#18655,#18656,#18657,#18658,#18659, #18660,#18661,#18662,#18663)); #8109=EDGE_LOOP('',(#18664,#18665,#18666,#18667)); #8110=EDGE_LOOP('',(#18668,#18669,#18670,#18671)); #8111=EDGE_LOOP('',(#18672,#18673,#18674,#18675)); #8112=EDGE_LOOP('',(#18676,#18677,#18678,#18679)); #8113=EDGE_LOOP('',(#18680,#18681,#18682,#18683)); #8114=EDGE_LOOP('',(#18684,#18685,#18686,#18687)); #8115=EDGE_LOOP('',(#18688,#18689,#18690,#18691)); #8116=EDGE_LOOP('',(#18692,#18693,#18694,#18695)); #8117=EDGE_LOOP('',(#18696,#18697,#18698,#18699)); #8118=EDGE_LOOP('',(#18700,#18701,#18702,#18703)); #8119=EDGE_LOOP('',(#18704)); #8120=EDGE_LOOP('',(#18705)); #8121=EDGE_LOOP('',(#18706)); #8122=EDGE_LOOP('',(#18707)); #8123=EDGE_LOOP('',(#18708)); #8124=EDGE_LOOP('',(#18709)); #8125=EDGE_LOOP('',(#18710)); #8126=EDGE_LOOP('',(#18711)); #8127=EDGE_LOOP('',(#18712)); #8128=EDGE_LOOP('',(#18713,#18714,#18715,#18716,#18717,#18718)); #8129=EDGE_LOOP('',(#18719)); #8130=EDGE_LOOP('',(#18720)); #8131=EDGE_LOOP('',(#18721)); #8132=EDGE_LOOP('',(#18722)); #8133=EDGE_LOOP('',(#18723)); #8134=EDGE_LOOP('',(#18724)); #8135=EDGE_LOOP('',(#18725)); #8136=EDGE_LOOP('',(#18726)); #8137=EDGE_LOOP('',(#18727)); #8138=EDGE_LOOP('',(#18728,#18729,#18730,#18731,#18732)); #8139=EDGE_LOOP('',(#18733,#18734,#18735)); #8140=EDGE_LOOP('',(#18736,#18737,#18738,#18739,#18740)); #8141=EDGE_LOOP('',(#18741,#18742,#18743,#18744)); #8142=EDGE_LOOP('',(#18745,#18746,#18747,#18748)); #8143=EDGE_LOOP('',(#18749,#18750,#18751,#18752)); #8144=EDGE_LOOP('',(#18753,#18754,#18755,#18756)); #8145=EDGE_LOOP('',(#18757,#18758,#18759,#18760)); #8146=EDGE_LOOP('',(#18761,#18762,#18763,#18764)); #8147=EDGE_LOOP('',(#18765,#18766,#18767,#18768)); #8148=EDGE_LOOP('',(#18769,#18770,#18771,#18772)); #8149=EDGE_LOOP('',(#18773,#18774,#18775,#18776)); #8150=EDGE_LOOP('',(#18777,#18778,#18779,#18780)); #8151=EDGE_LOOP('',(#18781,#18782,#18783,#18784)); #8152=EDGE_LOOP('',(#18785,#18786,#18787,#18788)); #8153=EDGE_LOOP('',(#18789,#18790,#18791,#18792)); #8154=EDGE_LOOP('',(#18793,#18794,#18795,#18796)); #8155=EDGE_LOOP('',(#18797,#18798,#18799,#18800)); #8156=EDGE_LOOP('',(#18801,#18802,#18803,#18804)); #8157=EDGE_LOOP('',(#18805,#18806,#18807,#18808)); #8158=EDGE_LOOP('',(#18809,#18810,#18811,#18812)); #8159=EDGE_LOOP('',(#18813,#18814,#18815,#18816)); #8160=EDGE_LOOP('',(#18817,#18818,#18819,#18820)); #8161=EDGE_LOOP('',(#18821,#18822,#18823,#18824)); #8162=EDGE_LOOP('',(#18825,#18826,#18827,#18828)); #8163=EDGE_LOOP('',(#18829,#18830,#18831,#18832)); #8164=EDGE_LOOP('',(#18833,#18834,#18835,#18836)); #8165=EDGE_LOOP('',(#18837,#18838,#18839,#18840,#18841,#18842,#18843,#18844, #18845,#18846,#18847,#18848,#18849)); #8166=EDGE_LOOP('',(#18850)); #8167=EDGE_LOOP('',(#18851)); #8168=EDGE_LOOP('',(#18852)); #8169=EDGE_LOOP('',(#18853)); #8170=EDGE_LOOP('',(#18854)); #8171=EDGE_LOOP('',(#18855)); #8172=EDGE_LOOP('',(#18856)); #8173=EDGE_LOOP('',(#18857)); #8174=EDGE_LOOP('',(#18858)); #8175=EDGE_LOOP('',(#18859)); #8176=EDGE_LOOP('',(#18860)); #8177=EDGE_LOOP('',(#18861)); #8178=EDGE_LOOP('',(#18862)); #8179=EDGE_LOOP('',(#18863)); #8180=EDGE_LOOP('',(#18864)); #8181=EDGE_LOOP('',(#18865)); #8182=EDGE_LOOP('',(#18866)); #8183=EDGE_LOOP('',(#18867)); #8184=EDGE_LOOP('',(#18868)); #8185=EDGE_LOOP('',(#18869)); #8186=EDGE_LOOP('',(#18870)); #8187=EDGE_LOOP('',(#18871)); #8188=EDGE_LOOP('',(#18872)); #8189=EDGE_LOOP('',(#18873)); #8190=EDGE_LOOP('',(#18874)); #8191=EDGE_LOOP('',(#18875)); #8192=EDGE_LOOP('',(#18876)); #8193=EDGE_LOOP('',(#18877)); #8194=EDGE_LOOP('',(#18878)); #8195=EDGE_LOOP('',(#18879)); #8196=EDGE_LOOP('',(#18880)); #8197=EDGE_LOOP('',(#18881)); #8198=EDGE_LOOP('',(#18882)); #8199=EDGE_LOOP('',(#18883)); #8200=EDGE_LOOP('',(#18884)); #8201=EDGE_LOOP('',(#18885)); #8202=EDGE_LOOP('',(#18886)); #8203=EDGE_LOOP('',(#18887)); #8204=EDGE_LOOP('',(#18888,#18889)); #8205=EDGE_LOOP('',(#18890)); #8206=EDGE_LOOP('',(#18891,#18892)); #8207=EDGE_LOOP('',(#18893)); #8208=EDGE_LOOP('',(#18894)); #8209=EDGE_LOOP('',(#18895)); #8210=EDGE_LOOP('',(#18896)); #8211=EDGE_LOOP('',(#18897)); #8212=EDGE_LOOP('',(#18898)); #8213=EDGE_LOOP('',(#18899)); #8214=EDGE_LOOP('',(#18900)); #8215=EDGE_LOOP('',(#18901)); #8216=EDGE_LOOP('',(#18902)); #8217=EDGE_LOOP('',(#18903)); #8218=EDGE_LOOP('',(#18904)); #8219=EDGE_LOOP('',(#18905)); #8220=EDGE_LOOP('',(#18906)); #8221=EDGE_LOOP('',(#18907)); #8222=EDGE_LOOP('',(#18908)); #8223=EDGE_LOOP('',(#18909)); #8224=EDGE_LOOP('',(#18910)); #8225=EDGE_LOOP('',(#18911)); #8226=EDGE_LOOP('',(#18912)); #8227=EDGE_LOOP('',(#18913)); #8228=EDGE_LOOP('',(#18914)); #8229=EDGE_LOOP('',(#18915)); #8230=EDGE_LOOP('',(#18916)); #8231=EDGE_LOOP('',(#18917)); #8232=EDGE_LOOP('',(#18918)); #8233=EDGE_LOOP('',(#18919)); #8234=EDGE_LOOP('',(#18920)); #8235=EDGE_LOOP('',(#18921)); #8236=EDGE_LOOP('',(#18922)); #8237=EDGE_LOOP('',(#18923)); #8238=EDGE_LOOP('',(#18924)); #8239=EDGE_LOOP('',(#18925)); #8240=EDGE_LOOP('',(#18926)); #8241=EDGE_LOOP('',(#18927)); #8242=EDGE_LOOP('',(#18928)); #8243=EDGE_LOOP('',(#18929)); #8244=EDGE_LOOP('',(#18930)); #8245=EDGE_LOOP('',(#18931)); #8246=EDGE_LOOP('',(#18932)); #8247=EDGE_LOOP('',(#18933)); #8248=EDGE_LOOP('',(#18934)); #8249=EDGE_LOOP('',(#18935)); #8250=EDGE_LOOP('',(#18936)); #8251=EDGE_LOOP('',(#18937)); #8252=EDGE_LOOP('',(#18938)); #8253=EDGE_LOOP('',(#18939)); #8254=EDGE_LOOP('',(#18940)); #8255=EDGE_LOOP('',(#18941)); #8256=EDGE_LOOP('',(#18942)); #8257=EDGE_LOOP('',(#18943)); #8258=EDGE_LOOP('',(#18944)); #8259=EDGE_LOOP('',(#18945)); #8260=EDGE_LOOP('',(#18946)); #8261=EDGE_LOOP('',(#18947)); #8262=EDGE_LOOP('',(#18948)); #8263=EDGE_LOOP('',(#18949)); #8264=EDGE_LOOP('',(#18950)); #8265=EDGE_LOOP('',(#18951)); #8266=EDGE_LOOP('',(#18952)); #8267=EDGE_LOOP('',(#18953)); #8268=EDGE_LOOP('',(#18954)); #8269=EDGE_LOOP('',(#18955)); #8270=EDGE_LOOP('',(#18956)); #8271=EDGE_LOOP('',(#18957)); #8272=EDGE_LOOP('',(#18958)); #8273=EDGE_LOOP('',(#18959)); #8274=EDGE_LOOP('',(#18960)); #8275=EDGE_LOOP('',(#18961)); #8276=EDGE_LOOP('',(#18962)); #8277=EDGE_LOOP('',(#18963)); #8278=EDGE_LOOP('',(#18964)); #8279=EDGE_LOOP('',(#18965)); #8280=EDGE_LOOP('',(#18966)); #8281=EDGE_LOOP('',(#18967)); #8282=EDGE_LOOP('',(#18968)); #8283=EDGE_LOOP('',(#18969)); #8284=EDGE_LOOP('',(#18970)); #8285=EDGE_LOOP('',(#18971)); #8286=EDGE_LOOP('',(#18972)); #8287=EDGE_LOOP('',(#18973)); #8288=EDGE_LOOP('',(#18974)); #8289=EDGE_LOOP('',(#18975)); #8290=EDGE_LOOP('',(#18976)); #8291=EDGE_LOOP('',(#18977)); #8292=EDGE_LOOP('',(#18978)); #8293=EDGE_LOOP('',(#18979)); #8294=EDGE_LOOP('',(#18980)); #8295=EDGE_LOOP('',(#18981)); #8296=EDGE_LOOP('',(#18982)); #8297=EDGE_LOOP('',(#18983,#18984)); #8298=EDGE_LOOP('',(#18985)); #8299=EDGE_LOOP('',(#18986,#18987,#18988,#18989)); #8300=EDGE_LOOP('',(#18990)); #8301=EDGE_LOOP('',(#18991)); #8302=EDGE_LOOP('',(#18992)); #8303=EDGE_LOOP('',(#18993)); #8304=EDGE_LOOP('',(#18994)); #8305=EDGE_LOOP('',(#18995)); #8306=EDGE_LOOP('',(#18996)); #8307=EDGE_LOOP('',(#18997)); #8308=EDGE_LOOP('',(#18998)); #8309=EDGE_LOOP('',(#18999,#19000,#19001,#19002,#19003)); #8310=EDGE_LOOP('',(#19004)); #8311=EDGE_LOOP('',(#19005)); #8312=EDGE_LOOP('',(#19006)); #8313=EDGE_LOOP('',(#19007)); #8314=EDGE_LOOP('',(#19008,#19009,#19010,#19011)); #8315=EDGE_LOOP('',(#19012,#19013,#19014,#19015)); #8316=EDGE_LOOP('',(#19016,#19017,#19018,#19019,#19020)); #8317=EDGE_LOOP('',(#19021)); #8318=EDGE_LOOP('',(#19022)); #8319=EDGE_LOOP('',(#19023)); #8320=EDGE_LOOP('',(#19024)); #8321=EDGE_LOOP('',(#19025)); #8322=EDGE_LOOP('',(#19026)); #8323=EDGE_LOOP('',(#19027,#19028,#19029,#19030,#19031,#19032,#19033,#19034)); #8324=EDGE_LOOP('',(#19035,#19036,#19037,#19038)); #8325=EDGE_LOOP('',(#19039)); #8326=EDGE_LOOP('',(#19040)); #8327=EDGE_LOOP('',(#19041)); #8328=EDGE_LOOP('',(#19042)); #8329=EDGE_LOOP('',(#19043)); #8330=EDGE_LOOP('',(#19044)); #8331=EDGE_LOOP('',(#19045)); #8332=EDGE_LOOP('',(#19046)); #8333=EDGE_LOOP('',(#19047)); #8334=EDGE_LOOP('',(#19048,#19049,#19050,#19051)); #8335=EDGE_LOOP('',(#19052)); #8336=EDGE_LOOP('',(#19053)); #8337=EDGE_LOOP('',(#19054)); #8338=EDGE_LOOP('',(#19055)); #8339=EDGE_LOOP('',(#19056)); #8340=EDGE_LOOP('',(#19057)); #8341=EDGE_LOOP('',(#19058)); #8342=EDGE_LOOP('',(#19059)); #8343=EDGE_LOOP('',(#19060)); #8344=EDGE_LOOP('',(#19061)); #8345=EDGE_LOOP('',(#19062)); #8346=EDGE_LOOP('',(#19063)); #8347=EDGE_LOOP('',(#19064)); #8348=EDGE_LOOP('',(#19065)); #8349=EDGE_LOOP('',(#19066)); #8350=EDGE_LOOP('',(#19067)); #8351=EDGE_LOOP('',(#19068,#19069,#19070,#19071,#19072,#19073,#19074,#19075)); #8352=EDGE_LOOP('',(#19076,#19077,#19078,#19079,#19080,#19081,#19082,#19083, #19084,#19085,#19086,#19087,#19088)); #8353=EDGE_LOOP('',(#19089,#19090,#19091,#19092)); #8354=EDGE_LOOP('',(#19093,#19094,#19095,#19096)); #8355=EDGE_LOOP('',(#19097,#19098,#19099,#19100)); #8356=EDGE_LOOP('',(#19101,#19102,#19103,#19104)); #8357=EDGE_LOOP('',(#19105,#19106,#19107,#19108)); #8358=EDGE_LOOP('',(#19109,#19110,#19111,#19112)); #8359=EDGE_LOOP('',(#19113,#19114,#19115,#19116)); #8360=EDGE_LOOP('',(#19117,#19118,#19119,#19120)); #8361=EDGE_LOOP('',(#19121,#19122,#19123,#19124)); #8362=EDGE_LOOP('',(#19125,#19126,#19127,#19128)); #8363=EDGE_LOOP('',(#19129,#19130,#19131,#19132)); #8364=EDGE_LOOP('',(#19133)); #8365=EDGE_LOOP('',(#19134)); #8366=EDGE_LOOP('',(#19135)); #8367=EDGE_LOOP('',(#19136)); #8368=EDGE_LOOP('',(#19137)); #8369=EDGE_LOOP('',(#19138)); #8370=EDGE_LOOP('',(#19139)); #8371=EDGE_LOOP('',(#19140)); #8372=EDGE_LOOP('',(#19141)); #8373=EDGE_LOOP('',(#19142)); #8374=EDGE_LOOP('',(#19143)); #8375=EDGE_LOOP('',(#19144)); #8376=EDGE_LOOP('',(#19145)); #8377=EDGE_LOOP('',(#19146)); #8378=EDGE_LOOP('',(#19147)); #8379=EDGE_LOOP('',(#19148)); #8380=EDGE_LOOP('',(#19149)); #8381=EDGE_LOOP('',(#19150)); #8382=EDGE_LOOP('',(#19151)); #8383=EDGE_LOOP('',(#19152)); #8384=EDGE_LOOP('',(#19153)); #8385=EDGE_LOOP('',(#19154)); #8386=EDGE_LOOP('',(#19155)); #8387=EDGE_LOOP('',(#19156)); #8388=EDGE_LOOP('',(#19157)); #8389=EDGE_LOOP('',(#19158)); #8390=EDGE_LOOP('',(#19159)); #8391=EDGE_LOOP('',(#19160)); #8392=EDGE_LOOP('',(#19161)); #8393=EDGE_LOOP('',(#19162)); #8394=EDGE_LOOP('',(#19163)); #8395=EDGE_LOOP('',(#19164)); #8396=EDGE_LOOP('',(#19165)); #8397=EDGE_LOOP('',(#19166)); #8398=EDGE_LOOP('',(#19167)); #8399=EDGE_LOOP('',(#19168)); #8400=EDGE_LOOP('',(#19169)); #8401=EDGE_LOOP('',(#19170)); #8402=EDGE_LOOP('',(#19171)); #8403=EDGE_LOOP('',(#19172)); #8404=EDGE_LOOP('',(#19173)); #8405=EDGE_LOOP('',(#19174)); #8406=EDGE_LOOP('',(#19175)); #8407=EDGE_LOOP('',(#19176)); #8408=EDGE_LOOP('',(#19177)); #8409=EDGE_LOOP('',(#19178)); #8410=EDGE_LOOP('',(#19179)); #8411=EDGE_LOOP('',(#19180)); #8412=EDGE_LOOP('',(#19181)); #8413=EDGE_LOOP('',(#19182)); #8414=EDGE_LOOP('',(#19183)); #8415=EDGE_LOOP('',(#19184)); #8416=EDGE_LOOP('',(#19185)); #8417=EDGE_LOOP('',(#19186)); #8418=EDGE_LOOP('',(#19187)); #8419=EDGE_LOOP('',(#19188)); #8420=EDGE_LOOP('',(#19189)); #8421=EDGE_LOOP('',(#19190)); #8422=EDGE_LOOP('',(#19191)); #8423=EDGE_LOOP('',(#19192)); #8424=EDGE_LOOP('',(#19193)); #8425=EDGE_LOOP('',(#19194)); #8426=EDGE_LOOP('',(#19195)); #8427=EDGE_LOOP('',(#19196)); #8428=EDGE_LOOP('',(#19197)); #8429=EDGE_LOOP('',(#19198)); #8430=EDGE_LOOP('',(#19199)); #8431=EDGE_LOOP('',(#19200)); #8432=EDGE_LOOP('',(#19201)); #8433=EDGE_LOOP('',(#19202)); #8434=EDGE_LOOP('',(#19203)); #8435=EDGE_LOOP('',(#19204)); #8436=EDGE_LOOP('',(#19205)); #8437=EDGE_LOOP('',(#19206)); #8438=EDGE_LOOP('',(#19207)); #8439=EDGE_LOOP('',(#19208)); #8440=EDGE_LOOP('',(#19209)); #8441=EDGE_LOOP('',(#19210)); #8442=EDGE_LOOP('',(#19211)); #8443=EDGE_LOOP('',(#19212)); #8444=EDGE_LOOP('',(#19213)); #8445=EDGE_LOOP('',(#19214)); #8446=EDGE_LOOP('',(#19215)); #8447=EDGE_LOOP('',(#19216)); #8448=EDGE_LOOP('',(#19217)); #8449=EDGE_LOOP('',(#19218)); #8450=EDGE_LOOP('',(#19219)); #8451=EDGE_LOOP('',(#19220)); #8452=EDGE_LOOP('',(#19221)); #8453=EDGE_LOOP('',(#19222)); #8454=EDGE_LOOP('',(#19223)); #8455=EDGE_LOOP('',(#19224)); #8456=EDGE_LOOP('',(#19225)); #8457=EDGE_LOOP('',(#19226)); #8458=EDGE_LOOP('',(#19227)); #8459=EDGE_LOOP('',(#19228)); #8460=EDGE_LOOP('',(#19229)); #8461=EDGE_LOOP('',(#19230)); #8462=EDGE_LOOP('',(#19231)); #8463=EDGE_LOOP('',(#19232)); #8464=EDGE_LOOP('',(#19233)); #8465=EDGE_LOOP('',(#19234)); #8466=EDGE_LOOP('',(#19235)); #8467=EDGE_LOOP('',(#19236)); #8468=EDGE_LOOP('',(#19237)); #8469=EDGE_LOOP('',(#19238)); #8470=EDGE_LOOP('',(#19239)); #8471=EDGE_LOOP('',(#19240)); #8472=EDGE_LOOP('',(#19241)); #8473=EDGE_LOOP('',(#19242)); #8474=EDGE_LOOP('',(#19243)); #8475=EDGE_LOOP('',(#19244)); #8476=EDGE_LOOP('',(#19245)); #8477=EDGE_LOOP('',(#19246)); #8478=EDGE_LOOP('',(#19247)); #8479=EDGE_LOOP('',(#19248)); #8480=EDGE_LOOP('',(#19249)); #8481=EDGE_LOOP('',(#19250)); #8482=EDGE_LOOP('',(#19251)); #8483=EDGE_LOOP('',(#19252)); #8484=EDGE_LOOP('',(#19253)); #8485=EDGE_LOOP('',(#19254)); #8486=EDGE_LOOP('',(#19255)); #8487=EDGE_LOOP('',(#19256)); #8488=EDGE_LOOP('',(#19257)); #8489=EDGE_LOOP('',(#19258)); #8490=EDGE_LOOP('',(#19259)); #8491=EDGE_LOOP('',(#19260)); #8492=EDGE_LOOP('',(#19261)); #8493=EDGE_LOOP('',(#19262,#19263,#19264,#19265)); #8494=EDGE_LOOP('',(#19266)); #8495=EDGE_LOOP('',(#19267)); #8496=EDGE_LOOP('',(#19268)); #8497=EDGE_LOOP('',(#19269)); #8498=EDGE_LOOP('',(#19270)); #8499=EDGE_LOOP('',(#19271)); #8500=EDGE_LOOP('',(#19272)); #8501=EDGE_LOOP('',(#19273,#19274,#19275,#19276)); #8502=EDGE_LOOP('',(#19277,#19278,#19279,#19280)); #8503=EDGE_LOOP('',(#19281)); #8504=EDGE_LOOP('',(#19282)); #8505=EDGE_LOOP('',(#19283)); #8506=EDGE_LOOP('',(#19284)); #8507=EDGE_LOOP('',(#19285)); #8508=EDGE_LOOP('',(#19286)); #8509=EDGE_LOOP('',(#19287)); #8510=EDGE_LOOP('',(#19288)); #8511=EDGE_LOOP('',(#19289)); #8512=EDGE_LOOP('',(#19290)); #8513=EDGE_LOOP('',(#19291)); #8514=EDGE_LOOP('',(#19292)); #8515=EDGE_LOOP('',(#19293)); #8516=EDGE_LOOP('',(#19294)); #8517=EDGE_LOOP('',(#19295)); #8518=EDGE_LOOP('',(#19296)); #8519=EDGE_LOOP('',(#19297)); #8520=EDGE_LOOP('',(#19298)); #8521=EDGE_LOOP('',(#19299)); #8522=EDGE_LOOP('',(#19300)); #8523=EDGE_LOOP('',(#19301)); #8524=EDGE_LOOP('',(#19302)); #8525=EDGE_LOOP('',(#19303)); #8526=EDGE_LOOP('',(#19304)); #8527=EDGE_LOOP('',(#19305)); #8528=EDGE_LOOP('',(#19306)); #8529=EDGE_LOOP('',(#19307)); #8530=EDGE_LOOP('',(#19308)); #8531=EDGE_LOOP('',(#19309)); #8532=EDGE_LOOP('',(#19310)); #8533=EDGE_LOOP('',(#19311)); #8534=EDGE_LOOP('',(#19312)); #8535=EDGE_LOOP('',(#19313)); #8536=EDGE_LOOP('',(#19314)); #8537=EDGE_LOOP('',(#19315)); #8538=EDGE_LOOP('',(#19316)); #8539=EDGE_LOOP('',(#19317)); #8540=EDGE_LOOP('',(#19318)); #8541=EDGE_LOOP('',(#19319)); #8542=EDGE_LOOP('',(#19320)); #8543=EDGE_LOOP('',(#19321)); #8544=EDGE_LOOP('',(#19322)); #8545=EDGE_LOOP('',(#19323)); #8546=EDGE_LOOP('',(#19324)); #8547=EDGE_LOOP('',(#19325)); #8548=EDGE_LOOP('',(#19326)); #8549=EDGE_LOOP('',(#19327)); #8550=EDGE_LOOP('',(#19328)); #8551=EDGE_LOOP('',(#19329)); #8552=EDGE_LOOP('',(#19330)); #8553=EDGE_LOOP('',(#19331)); #8554=EDGE_LOOP('',(#19332)); #8555=EDGE_LOOP('',(#19333)); #8556=EDGE_LOOP('',(#19334)); #8557=EDGE_LOOP('',(#19335)); #8558=EDGE_LOOP('',(#19336)); #8559=EDGE_LOOP('',(#19337)); #8560=EDGE_LOOP('',(#19338)); #8561=EDGE_LOOP('',(#19339)); #8562=EDGE_LOOP('',(#19340)); #8563=EDGE_LOOP('',(#19341)); #8564=EDGE_LOOP('',(#19342)); #8565=EDGE_LOOP('',(#19343)); #8566=EDGE_LOOP('',(#19344)); #8567=EDGE_LOOP('',(#19345)); #8568=EDGE_LOOP('',(#19346)); #8569=EDGE_LOOP('',(#19347)); #8570=EDGE_LOOP('',(#19348)); #8571=EDGE_LOOP('',(#19349)); #8572=EDGE_LOOP('',(#19350)); #8573=EDGE_LOOP('',(#19351)); #8574=EDGE_LOOP('',(#19352)); #8575=EDGE_LOOP('',(#19353)); #8576=EDGE_LOOP('',(#19354)); #8577=EDGE_LOOP('',(#19355)); #8578=EDGE_LOOP('',(#19356)); #8579=EDGE_LOOP('',(#19357)); #8580=EDGE_LOOP('',(#19358)); #8581=EDGE_LOOP('',(#19359)); #8582=EDGE_LOOP('',(#19360)); #8583=EDGE_LOOP('',(#19361)); #8584=EDGE_LOOP('',(#19362)); #8585=EDGE_LOOP('',(#19363)); #8586=EDGE_LOOP('',(#19364)); #8587=EDGE_LOOP('',(#19365)); #8588=EDGE_LOOP('',(#19366)); #8589=EDGE_LOOP('',(#19367)); #8590=EDGE_LOOP('',(#19368)); #8591=EDGE_LOOP('',(#19369)); #8592=EDGE_LOOP('',(#19370)); #8593=EDGE_LOOP('',(#19371)); #8594=EDGE_LOOP('',(#19372)); #8595=EDGE_LOOP('',(#19373)); #8596=EDGE_LOOP('',(#19374)); #8597=EDGE_LOOP('',(#19375)); #8598=EDGE_LOOP('',(#19376)); #8599=EDGE_LOOP('',(#19377)); #8600=EDGE_LOOP('',(#19378)); #8601=EDGE_LOOP('',(#19379)); #8602=EDGE_LOOP('',(#19380)); #8603=EDGE_LOOP('',(#19381,#19382,#19383,#19384)); #8604=EDGE_LOOP('',(#19385,#19386,#19387,#19388)); #8605=EDGE_LOOP('',(#19389,#19390,#19391,#19392)); #8606=EDGE_LOOP('',(#19393)); #8607=EDGE_LOOP('',(#19394)); #8608=EDGE_LOOP('',(#19395)); #8609=EDGE_LOOP('',(#19396)); #8610=EDGE_LOOP('',(#19397)); #8611=EDGE_LOOP('',(#19398)); #8612=EDGE_LOOP('',(#19399)); #8613=EDGE_LOOP('',(#19400)); #8614=EDGE_LOOP('',(#19401)); #8615=EDGE_LOOP('',(#19402)); #8616=EDGE_LOOP('',(#19403)); #8617=EDGE_LOOP('',(#19404)); #8618=EDGE_LOOP('',(#19405)); #8619=EDGE_LOOP('',(#19406)); #8620=EDGE_LOOP('',(#19407)); #8621=EDGE_LOOP('',(#19408)); #8622=EDGE_LOOP('',(#19409)); #8623=EDGE_LOOP('',(#19410)); #8624=EDGE_LOOP('',(#19411)); #8625=EDGE_LOOP('',(#19412)); #8626=EDGE_LOOP('',(#19413)); #8627=EDGE_LOOP('',(#19414)); #8628=EDGE_LOOP('',(#19415)); #8629=EDGE_LOOP('',(#19416)); #8630=EDGE_LOOP('',(#19417)); #8631=EDGE_LOOP('',(#19418)); #8632=EDGE_LOOP('',(#19419)); #8633=EDGE_LOOP('',(#19420)); #8634=EDGE_LOOP('',(#19421)); #8635=EDGE_LOOP('',(#19422)); #8636=EDGE_LOOP('',(#19423)); #8637=EDGE_LOOP('',(#19424)); #8638=EDGE_LOOP('',(#19425,#19426,#19427,#19428)); #8639=EDGE_LOOP('',(#19429,#19430,#19431,#19432)); #8640=EDGE_LOOP('',(#19433)); #8641=EDGE_LOOP('',(#19434)); #8642=EDGE_LOOP('',(#19435)); #8643=EDGE_LOOP('',(#19436)); #8644=EDGE_LOOP('',(#19437)); #8645=EDGE_LOOP('',(#19438)); #8646=EDGE_LOOP('',(#19439)); #8647=EDGE_LOOP('',(#19440)); #8648=EDGE_LOOP('',(#19441)); #8649=EDGE_LOOP('',(#19442)); #8650=EDGE_LOOP('',(#19443)); #8651=EDGE_LOOP('',(#19444)); #8652=EDGE_LOOP('',(#19445)); #8653=EDGE_LOOP('',(#19446)); #8654=EDGE_LOOP('',(#19447)); #8655=EDGE_LOOP('',(#19448)); #8656=EDGE_LOOP('',(#19449)); #8657=EDGE_LOOP('',(#19450)); #8658=EDGE_LOOP('',(#19451)); #8659=EDGE_LOOP('',(#19452)); #8660=EDGE_LOOP('',(#19453)); #8661=EDGE_LOOP('',(#19454)); #8662=EDGE_LOOP('',(#19455)); #8663=EDGE_LOOP('',(#19456)); #8664=EDGE_LOOP('',(#19457)); #8665=EDGE_LOOP('',(#19458)); #8666=EDGE_LOOP('',(#19459)); #8667=EDGE_LOOP('',(#19460)); #8668=EDGE_LOOP('',(#19461)); #8669=EDGE_LOOP('',(#19462)); #8670=EDGE_LOOP('',(#19463)); #8671=EDGE_LOOP('',(#19464)); #8672=EDGE_LOOP('',(#19465,#19466,#19467,#19468)); #8673=EDGE_LOOP('',(#19469)); #8674=EDGE_LOOP('',(#19470)); #8675=EDGE_LOOP('',(#19471)); #8676=EDGE_LOOP('',(#19472)); #8677=EDGE_LOOP('',(#19473)); #8678=EDGE_LOOP('',(#19474)); #8679=EDGE_LOOP('',(#19475)); #8680=EDGE_LOOP('',(#19476)); #8681=EDGE_LOOP('',(#19477)); #8682=EDGE_LOOP('',(#19478)); #8683=EDGE_LOOP('',(#19479)); #8684=EDGE_LOOP('',(#19480)); #8685=EDGE_LOOP('',(#19481)); #8686=EDGE_LOOP('',(#19482)); #8687=EDGE_LOOP('',(#19483)); #8688=EDGE_LOOP('',(#19484)); #8689=EDGE_LOOP('',(#19485)); #8690=EDGE_LOOP('',(#19486)); #8691=EDGE_LOOP('',(#19487)); #8692=EDGE_LOOP('',(#19488)); #8693=EDGE_LOOP('',(#19489)); #8694=EDGE_LOOP('',(#19490)); #8695=EDGE_LOOP('',(#19491)); #8696=EDGE_LOOP('',(#19492)); #8697=EDGE_LOOP('',(#19493)); #8698=EDGE_LOOP('',(#19494)); #8699=EDGE_LOOP('',(#19495)); #8700=EDGE_LOOP('',(#19496)); #8701=EDGE_LOOP('',(#19497)); #8702=EDGE_LOOP('',(#19498)); #8703=EDGE_LOOP('',(#19499)); #8704=EDGE_LOOP('',(#19500)); #8705=EDGE_LOOP('',(#19501)); #8706=EDGE_LOOP('',(#19502)); #8707=EDGE_LOOP('',(#19503)); #8708=EDGE_LOOP('',(#19504)); #8709=EDGE_LOOP('',(#19505)); #8710=EDGE_LOOP('',(#19506)); #8711=EDGE_LOOP('',(#19507)); #8712=EDGE_LOOP('',(#19508)); #8713=EDGE_LOOP('',(#19509)); #8714=EDGE_LOOP('',(#19510)); #8715=EDGE_LOOP('',(#19511)); #8716=EDGE_LOOP('',(#19512)); #8717=EDGE_LOOP('',(#19513)); #8718=EDGE_LOOP('',(#19514)); #8719=EDGE_LOOP('',(#19515)); #8720=EDGE_LOOP('',(#19516)); #8721=EDGE_LOOP('',(#19517)); #8722=EDGE_LOOP('',(#19518)); #8723=EDGE_LOOP('',(#19519)); #8724=EDGE_LOOP('',(#19520)); #8725=EDGE_LOOP('',(#19521)); #8726=EDGE_LOOP('',(#19522)); #8727=EDGE_LOOP('',(#19523)); #8728=EDGE_LOOP('',(#19524)); #8729=EDGE_LOOP('',(#19525)); #8730=EDGE_LOOP('',(#19526)); #8731=EDGE_LOOP('',(#19527)); #8732=EDGE_LOOP('',(#19528)); #8733=EDGE_LOOP('',(#19529)); #8734=EDGE_LOOP('',(#19530)); #8735=EDGE_LOOP('',(#19531)); #8736=EDGE_LOOP('',(#19532)); #8737=EDGE_LOOP('',(#19533)); #8738=EDGE_LOOP('',(#19534)); #8739=EDGE_LOOP('',(#19535)); #8740=EDGE_LOOP('',(#19536)); #8741=EDGE_LOOP('',(#19537)); #8742=EDGE_LOOP('',(#19538)); #8743=EDGE_LOOP('',(#19539)); #8744=EDGE_LOOP('',(#19540)); #8745=EDGE_LOOP('',(#19541)); #8746=EDGE_LOOP('',(#19542)); #8747=EDGE_LOOP('',(#19543)); #8748=EDGE_LOOP('',(#19544)); #8749=EDGE_LOOP('',(#19545)); #8750=EDGE_LOOP('',(#19546)); #8751=EDGE_LOOP('',(#19547)); #8752=EDGE_LOOP('',(#19548)); #8753=EDGE_LOOP('',(#19549)); #8754=EDGE_LOOP('',(#19550)); #8755=EDGE_LOOP('',(#19551)); #8756=EDGE_LOOP('',(#19552)); #8757=EDGE_LOOP('',(#19553)); #8758=EDGE_LOOP('',(#19554)); #8759=EDGE_LOOP('',(#19555)); #8760=EDGE_LOOP('',(#19556)); #8761=EDGE_LOOP('',(#19557)); #8762=EDGE_LOOP('',(#19558)); #8763=EDGE_LOOP('',(#19559)); #8764=EDGE_LOOP('',(#19560)); #8765=EDGE_LOOP('',(#19561)); #8766=EDGE_LOOP('',(#19562)); #8767=EDGE_LOOP('',(#19563)); #8768=EDGE_LOOP('',(#19564)); #8769=EDGE_LOOP('',(#19565)); #8770=EDGE_LOOP('',(#19566)); #8771=EDGE_LOOP('',(#19567)); #8772=EDGE_LOOP('',(#19568)); #8773=EDGE_LOOP('',(#19569)); #8774=EDGE_LOOP('',(#19570)); #8775=EDGE_LOOP('',(#19571)); #8776=EDGE_LOOP('',(#19572)); #8777=EDGE_LOOP('',(#19573)); #8778=EDGE_LOOP('',(#19574)); #8779=EDGE_LOOP('',(#19575)); #8780=EDGE_LOOP('',(#19576)); #8781=EDGE_LOOP('',(#19577,#19578,#19579,#19580)); #8782=EDGE_LOOP('',(#19581)); #8783=EDGE_LOOP('',(#19582)); #8784=EDGE_LOOP('',(#19583)); #8785=EDGE_LOOP('',(#19584)); #8786=EDGE_LOOP('',(#19585)); #8787=EDGE_LOOP('',(#19586)); #8788=EDGE_LOOP('',(#19587)); #8789=EDGE_LOOP('',(#19588,#19589,#19590,#19591)); #8790=EDGE_LOOP('',(#19592)); #8791=EDGE_LOOP('',(#19593)); #8792=EDGE_LOOP('',(#19594)); #8793=EDGE_LOOP('',(#19595)); #8794=EDGE_LOOP('',(#19596)); #8795=EDGE_LOOP('',(#19597)); #8796=EDGE_LOOP('',(#19598)); #8797=EDGE_LOOP('',(#19599)); #8798=EDGE_LOOP('',(#19600)); #8799=EDGE_LOOP('',(#19601)); #8800=EDGE_LOOP('',(#19602)); #8801=EDGE_LOOP('',(#19603)); #8802=EDGE_LOOP('',(#19604)); #8803=EDGE_LOOP('',(#19605)); #8804=EDGE_LOOP('',(#19606)); #8805=EDGE_LOOP('',(#19607)); #8806=EDGE_LOOP('',(#19608)); #8807=EDGE_LOOP('',(#19609)); #8808=EDGE_LOOP('',(#19610,#19611,#19612,#19613)); #8809=EDGE_LOOP('',(#19614,#19615,#19616,#19617)); #8810=EDGE_LOOP('',(#19618)); #8811=EDGE_LOOP('',(#19619)); #8812=EDGE_LOOP('',(#19620)); #8813=EDGE_LOOP('',(#19621)); #8814=EDGE_LOOP('',(#19622)); #8815=EDGE_LOOP('',(#19623)); #8816=EDGE_LOOP('',(#19624)); #8817=EDGE_LOOP('',(#19625,#19626,#19627,#19628)); #8818=EDGE_LOOP('',(#19629)); #8819=EDGE_LOOP('',(#19630)); #8820=EDGE_LOOP('',(#19631)); #8821=EDGE_LOOP('',(#19632)); #8822=EDGE_LOOP('',(#19633)); #8823=EDGE_LOOP('',(#19634)); #8824=EDGE_LOOP('',(#19635)); #8825=EDGE_LOOP('',(#19636)); #8826=EDGE_LOOP('',(#19637)); #8827=EDGE_LOOP('',(#19638)); #8828=EDGE_LOOP('',(#19639)); #8829=EDGE_LOOP('',(#19640)); #8830=EDGE_LOOP('',(#19641)); #8831=EDGE_LOOP('',(#19642)); #8832=EDGE_LOOP('',(#19643)); #8833=EDGE_LOOP('',(#19644)); #8834=EDGE_LOOP('',(#19645)); #8835=EDGE_LOOP('',(#19646)); #8836=EDGE_LOOP('',(#19647)); #8837=EDGE_LOOP('',(#19648)); #8838=EDGE_LOOP('',(#19649)); #8839=EDGE_LOOP('',(#19650)); #8840=EDGE_LOOP('',(#19651)); #8841=EDGE_LOOP('',(#19652)); #8842=EDGE_LOOP('',(#19653)); #8843=EDGE_LOOP('',(#19654)); #8844=EDGE_LOOP('',(#19655)); #8845=EDGE_LOOP('',(#19656)); #8846=EDGE_LOOP('',(#19657,#19658,#19659,#19660)); #8847=EDGE_LOOP('',(#19661,#19662,#19663,#19664)); #8848=EDGE_LOOP('',(#19665,#19666,#19667,#19668)); #8849=EDGE_LOOP('',(#19669,#19670,#19671,#19672,#19673,#19674,#19675,#19676)); #8850=EDGE_LOOP('',(#19677,#19678,#19679,#19680)); #8851=EDGE_LOOP('',(#19681)); #8852=EDGE_LOOP('',(#19682)); #8853=EDGE_LOOP('',(#19683)); #8854=EDGE_LOOP('',(#19684)); #8855=EDGE_LOOP('',(#19685)); #8856=EDGE_LOOP('',(#19686)); #8857=EDGE_LOOP('',(#19687)); #8858=EDGE_LOOP('',(#19688,#19689,#19690,#19691)); #8859=EDGE_LOOP('',(#19692)); #8860=EDGE_LOOP('',(#19693)); #8861=EDGE_LOOP('',(#19694)); #8862=EDGE_LOOP('',(#19695)); #8863=EDGE_LOOP('',(#19696)); #8864=EDGE_LOOP('',(#19697)); #8865=EDGE_LOOP('',(#19698,#19699,#19700,#19701,#19702,#19703,#19704,#19705)); #8866=EDGE_LOOP('',(#19706)); #8867=EDGE_LOOP('',(#19707)); #8868=EDGE_LOOP('',(#19708)); #8869=EDGE_LOOP('',(#19709)); #8870=EDGE_LOOP('',(#19710)); #8871=EDGE_LOOP('',(#19711)); #8872=EDGE_LOOP('',(#19712)); #8873=EDGE_LOOP('',(#19713)); #8874=EDGE_LOOP('',(#19714)); #8875=EDGE_LOOP('',(#19715)); #8876=EDGE_LOOP('',(#19716)); #8877=EDGE_LOOP('',(#19717)); #8878=EDGE_LOOP('',(#19718)); #8879=EDGE_LOOP('',(#19719)); #8880=EDGE_LOOP('',(#19720)); #8881=EDGE_LOOP('',(#19721)); #8882=EDGE_LOOP('',(#19722)); #8883=EDGE_LOOP('',(#19723)); #8884=EDGE_LOOP('',(#19724)); #8885=EDGE_LOOP('',(#19725)); #8886=EDGE_LOOP('',(#19726,#19727,#19728,#19729)); #8887=EDGE_LOOP('',(#19730,#19731,#19732,#19733,#19734,#19735,#19736,#19737)); #8888=EDGE_LOOP('',(#19738)); #8889=EDGE_LOOP('',(#19739)); #8890=EDGE_LOOP('',(#19740)); #8891=EDGE_LOOP('',(#19741)); #8892=EDGE_LOOP('',(#19742)); #8893=EDGE_LOOP('',(#19743)); #8894=EDGE_LOOP('',(#19744)); #8895=EDGE_LOOP('',(#19745)); #8896=EDGE_LOOP('',(#19746)); #8897=EDGE_LOOP('',(#19747)); #8898=EDGE_LOOP('',(#19748)); #8899=EDGE_LOOP('',(#19749)); #8900=EDGE_LOOP('',(#19750)); #8901=EDGE_LOOP('',(#19751)); #8902=EDGE_LOOP('',(#19752)); #8903=EDGE_LOOP('',(#19753)); #8904=EDGE_LOOP('',(#19754)); #8905=EDGE_LOOP('',(#19755)); #8906=EDGE_LOOP('',(#19756)); #8907=EDGE_LOOP('',(#19757)); #8908=EDGE_LOOP('',(#19758)); #8909=EDGE_LOOP('',(#19759,#19760,#19761,#19762,#19763,#19764,#19765,#19766)); #8910=EDGE_LOOP('',(#19767)); #8911=EDGE_LOOP('',(#19768)); #8912=EDGE_LOOP('',(#19769)); #8913=EDGE_LOOP('',(#19770)); #8914=EDGE_LOOP('',(#19771)); #8915=EDGE_LOOP('',(#19772)); #8916=EDGE_LOOP('',(#19773)); #8917=EDGE_LOOP('',(#19774)); #8918=EDGE_LOOP('',(#19775)); #8919=EDGE_LOOP('',(#19776)); #8920=EDGE_LOOP('',(#19777)); #8921=EDGE_LOOP('',(#19778)); #8922=EDGE_LOOP('',(#19779)); #8923=EDGE_LOOP('',(#19780)); #8924=EDGE_LOOP('',(#19781)); #8925=EDGE_LOOP('',(#19782)); #8926=EDGE_LOOP('',(#19783)); #8927=EDGE_LOOP('',(#19784)); #8928=EDGE_LOOP('',(#19785)); #8929=EDGE_LOOP('',(#19786)); #8930=EDGE_LOOP('',(#19787)); #8931=EDGE_LOOP('',(#19788)); #8932=EDGE_LOOP('',(#19789)); #8933=EDGE_LOOP('',(#19790)); #8934=EDGE_LOOP('',(#19791)); #8935=EDGE_LOOP('',(#19792)); #8936=EDGE_LOOP('',(#19793)); #8937=EDGE_LOOP('',(#19794)); #8938=EDGE_LOOP('',(#19795)); #8939=EDGE_LOOP('',(#19796)); #8940=EDGE_LOOP('',(#19797,#19798,#19799,#19800)); #8941=EDGE_LOOP('',(#19801,#19802,#19803,#19804)); #8942=EDGE_LOOP('',(#19805,#19806,#19807,#19808)); #8943=EDGE_LOOP('',(#19809,#19810,#19811,#19812)); #8944=EDGE_LOOP('',(#19813,#19814,#19815,#19816)); #8945=EDGE_LOOP('',(#19817)); #8946=EDGE_LOOP('',(#19818)); #8947=EDGE_LOOP('',(#19819)); #8948=EDGE_LOOP('',(#19820)); #8949=EDGE_LOOP('',(#19821)); #8950=EDGE_LOOP('',(#19822)); #8951=EDGE_LOOP('',(#19823)); #8952=EDGE_LOOP('',(#19824)); #8953=EDGE_LOOP('',(#19825)); #8954=EDGE_LOOP('',(#19826)); #8955=EDGE_LOOP('',(#19827)); #8956=EDGE_LOOP('',(#19828)); #8957=EDGE_LOOP('',(#19829)); #8958=EDGE_LOOP('',(#19830)); #8959=EDGE_LOOP('',(#19831)); #8960=EDGE_LOOP('',(#19832)); #8961=EDGE_LOOP('',(#19833)); #8962=EDGE_LOOP('',(#19834)); #8963=EDGE_LOOP('',(#19835)); #8964=EDGE_LOOP('',(#19836)); #8965=EDGE_LOOP('',(#19837)); #8966=EDGE_LOOP('',(#19838)); #8967=EDGE_LOOP('',(#19839)); #8968=EDGE_LOOP('',(#19840)); #8969=EDGE_LOOP('',(#19841)); #8970=EDGE_LOOP('',(#19842)); #8971=EDGE_LOOP('',(#19843)); #8972=EDGE_LOOP('',(#19844)); #8973=EDGE_LOOP('',(#19845)); #8974=EDGE_LOOP('',(#19846)); #8975=EDGE_LOOP('',(#19847)); #8976=EDGE_LOOP('',(#19848)); #8977=EDGE_LOOP('',(#19849)); #8978=EDGE_LOOP('',(#19850)); #8979=EDGE_LOOP('',(#19851)); #8980=EDGE_LOOP('',(#19852)); #8981=EDGE_LOOP('',(#19853)); #8982=EDGE_LOOP('',(#19854)); #8983=EDGE_LOOP('',(#19855)); #8984=EDGE_LOOP('',(#19856)); #8985=EDGE_LOOP('',(#19857)); #8986=EDGE_LOOP('',(#19858)); #8987=EDGE_LOOP('',(#19859)); #8988=EDGE_LOOP('',(#19860)); #8989=EDGE_LOOP('',(#19861)); #8990=EDGE_LOOP('',(#19862)); #8991=EDGE_LOOP('',(#19863)); #8992=EDGE_LOOP('',(#19864)); #8993=EDGE_LOOP('',(#19865)); #8994=EDGE_LOOP('',(#19866)); #8995=EDGE_LOOP('',(#19867)); #8996=EDGE_LOOP('',(#19868)); #8997=EDGE_LOOP('',(#19869)); #8998=EDGE_LOOP('',(#19870)); #8999=EDGE_LOOP('',(#19871)); #9000=EDGE_LOOP('',(#19872)); #9001=EDGE_LOOP('',(#19873)); #9002=EDGE_LOOP('',(#19874)); #9003=EDGE_LOOP('',(#19875)); #9004=EDGE_LOOP('',(#19876)); #9005=EDGE_LOOP('',(#19877)); #9006=EDGE_LOOP('',(#19878)); #9007=EDGE_LOOP('',(#19879)); #9008=EDGE_LOOP('',(#19880)); #9009=EDGE_LOOP('',(#19881)); #9010=EDGE_LOOP('',(#19882)); #9011=EDGE_LOOP('',(#19883)); #9012=EDGE_LOOP('',(#19884)); #9013=EDGE_LOOP('',(#19885)); #9014=EDGE_LOOP('',(#19886)); #9015=EDGE_LOOP('',(#19887)); #9016=EDGE_LOOP('',(#19888)); #9017=EDGE_LOOP('',(#19889)); #9018=EDGE_LOOP('',(#19890)); #9019=EDGE_LOOP('',(#19891)); #9020=EDGE_LOOP('',(#19892)); #9021=EDGE_LOOP('',(#19893)); #9022=EDGE_LOOP('',(#19894)); #9023=EDGE_LOOP('',(#19895)); #9024=EDGE_LOOP('',(#19896)); #9025=EDGE_LOOP('',(#19897)); #9026=EDGE_LOOP('',(#19898)); #9027=EDGE_LOOP('',(#19899)); #9028=EDGE_LOOP('',(#19900)); #9029=EDGE_LOOP('',(#19901)); #9030=EDGE_LOOP('',(#19902)); #9031=EDGE_LOOP('',(#19903)); #9032=EDGE_LOOP('',(#19904)); #9033=EDGE_LOOP('',(#19905)); #9034=EDGE_LOOP('',(#19906)); #9035=EDGE_LOOP('',(#19907)); #9036=EDGE_LOOP('',(#19908)); #9037=EDGE_LOOP('',(#19909)); #9038=EDGE_LOOP('',(#19910)); #9039=EDGE_LOOP('',(#19911)); #9040=EDGE_LOOP('',(#19912)); #9041=EDGE_LOOP('',(#19913)); #9042=EDGE_LOOP('',(#19914)); #9043=EDGE_LOOP('',(#19915)); #9044=EDGE_LOOP('',(#19916)); #9045=EDGE_LOOP('',(#19917)); #9046=EDGE_LOOP('',(#19918)); #9047=EDGE_LOOP('',(#19919)); #9048=EDGE_LOOP('',(#19920)); #9049=EDGE_LOOP('',(#19921)); #9050=EDGE_LOOP('',(#19922)); #9051=EDGE_LOOP('',(#19923)); #9052=EDGE_LOOP('',(#19924)); #9053=EDGE_LOOP('',(#19925,#19926,#19927,#19928)); #9054=EDGE_LOOP('',(#19929)); #9055=EDGE_LOOP('',(#19930)); #9056=EDGE_LOOP('',(#19931)); #9057=EDGE_LOOP('',(#19932)); #9058=EDGE_LOOP('',(#19933)); #9059=EDGE_LOOP('',(#19934)); #9060=EDGE_LOOP('',(#19935,#19936,#19937,#19938,#19939)); #9061=EDGE_LOOP('',(#19940)); #9062=EDGE_LOOP('',(#19941)); #9063=EDGE_LOOP('',(#19942,#19943,#19944,#19945)); #9064=EDGE_LOOP('',(#19946)); #9065=EDGE_LOOP('',(#19947)); #9066=EDGE_LOOP('',(#19948)); #9067=EDGE_LOOP('',(#19949)); #9068=EDGE_LOOP('',(#19950)); #9069=EDGE_LOOP('',(#19951)); #9070=EDGE_LOOP('',(#19952,#19953,#19954,#19955,#19956,#19957,#19958,#19959)); #9071=EDGE_LOOP('',(#19960,#19961,#19962,#19963)); #9072=EDGE_LOOP('',(#19964)); #9073=EDGE_LOOP('',(#19965)); #9074=EDGE_LOOP('',(#19966)); #9075=EDGE_LOOP('',(#19967)); #9076=EDGE_LOOP('',(#19968)); #9077=EDGE_LOOP('',(#19969)); #9078=EDGE_LOOP('',(#19970)); #9079=EDGE_LOOP('',(#19971)); #9080=EDGE_LOOP('',(#19972)); #9081=EDGE_LOOP('',(#19973,#19974,#19975,#19976,#19977)); #9082=EDGE_LOOP('',(#19978)); #9083=EDGE_LOOP('',(#19979)); #9084=EDGE_LOOP('',(#19980)); #9085=EDGE_LOOP('',(#19981)); #9086=EDGE_LOOP('',(#19982,#19983,#19984,#19985)); #9087=EDGE_LOOP('',(#19986)); #9088=EDGE_LOOP('',(#19987)); #9089=EDGE_LOOP('',(#19988)); #9090=EDGE_LOOP('',(#19989)); #9091=EDGE_LOOP('',(#19990)); #9092=EDGE_LOOP('',(#19991)); #9093=EDGE_LOOP('',(#19992)); #9094=EDGE_LOOP('',(#19993)); #9095=EDGE_LOOP('',(#19994)); #9096=EDGE_LOOP('',(#19995,#19996,#19997,#19998)); #9097=EDGE_LOOP('',(#19999,#20000,#20001,#20002)); #9098=EDGE_LOOP('',(#20003,#20004,#20005,#20006)); #9099=EDGE_LOOP('',(#20007,#20008,#20009,#20010)); #9100=EDGE_LOOP('',(#20011,#20012,#20013,#20014,#20015,#20016,#20017,#20018)); #9101=EDGE_LOOP('',(#20019,#20020,#20021,#20022)); #9102=EDGE_LOOP('',(#20023,#20024,#20025,#20026,#20027,#20028,#20029,#20030, #20031,#20032)); #9103=EDGE_LOOP('',(#20033)); #9104=EDGE_LOOP('',(#20034)); #9105=EDGE_LOOP('',(#20035)); #9106=EDGE_LOOP('',(#20036)); #9107=EDGE_LOOP('',(#20037)); #9108=EDGE_LOOP('',(#20038)); #9109=EDGE_LOOP('',(#20039)); #9110=EDGE_LOOP('',(#20040)); #9111=EDGE_LOOP('',(#20041)); #9112=EDGE_LOOP('',(#20042)); #9113=EDGE_LOOP('',(#20043)); #9114=EDGE_LOOP('',(#20044)); #9115=EDGE_LOOP('',(#20045)); #9116=EDGE_LOOP('',(#20046)); #9117=EDGE_LOOP('',(#20047)); #9118=EDGE_LOOP('',(#20048)); #9119=EDGE_LOOP('',(#20049)); #9120=EDGE_LOOP('',(#20050)); #9121=EDGE_LOOP('',(#20051)); #9122=EDGE_LOOP('',(#20052)); #9123=EDGE_LOOP('',(#20053)); #9124=EDGE_LOOP('',(#20054,#20055,#20056,#20057)); #9125=EDGE_LOOP('',(#20058,#20059,#20060,#20061)); #9126=EDGE_LOOP('',(#20062,#20063,#20064,#20065)); #9127=EDGE_LOOP('',(#20066,#20067,#20068,#20069,#20070,#20071,#20072,#20073)); #9128=EDGE_LOOP('',(#20074)); #9129=EDGE_LOOP('',(#20075)); #9130=EDGE_LOOP('',(#20076)); #9131=EDGE_LOOP('',(#20077)); #9132=EDGE_LOOP('',(#20078)); #9133=EDGE_LOOP('',(#20079)); #9134=EDGE_LOOP('',(#20080)); #9135=EDGE_LOOP('',(#20081)); #9136=EDGE_LOOP('',(#20082)); #9137=EDGE_LOOP('',(#20083)); #9138=EDGE_LOOP('',(#20084)); #9139=EDGE_LOOP('',(#20085)); #9140=EDGE_LOOP('',(#20086,#20087,#20088,#20089)); #9141=EDGE_LOOP('',(#20090,#20091,#20092,#20093)); #9142=EDGE_LOOP('',(#20094,#20095,#20096,#20097)); #9143=EDGE_LOOP('',(#20098,#20099,#20100,#20101)); #9144=EDGE_LOOP('',(#20102,#20103,#20104,#20105,#20106,#20107,#20108,#20109, #20110,#20111)); #9145=EDGE_LOOP('',(#20112)); #9146=EDGE_LOOP('',(#20113)); #9147=EDGE_LOOP('',(#20114)); #9148=EDGE_LOOP('',(#20115)); #9149=EDGE_LOOP('',(#20116)); #9150=EDGE_LOOP('',(#20117)); #9151=EDGE_LOOP('',(#20118)); #9152=EDGE_LOOP('',(#20119)); #9153=EDGE_LOOP('',(#20120,#20121,#20122,#20123,#20124,#20125,#20126,#20127)); #9154=EDGE_LOOP('',(#20128)); #9155=EDGE_LOOP('',(#20129)); #9156=EDGE_LOOP('',(#20130)); #9157=EDGE_LOOP('',(#20131)); #9158=EDGE_LOOP('',(#20132)); #9159=EDGE_LOOP('',(#20133)); #9160=EDGE_LOOP('',(#20134)); #9161=EDGE_LOOP('',(#20135)); #9162=EDGE_LOOP('',(#20136)); #9163=EDGE_LOOP('',(#20137)); #9164=EDGE_LOOP('',(#20138)); #9165=EDGE_LOOP('',(#20139)); #9166=EDGE_LOOP('',(#20140)); #9167=EDGE_LOOP('',(#20141)); #9168=EDGE_LOOP('',(#20142)); #9169=EDGE_LOOP('',(#20143)); #9170=EDGE_LOOP('',(#20144)); #9171=EDGE_LOOP('',(#20145)); #9172=EDGE_LOOP('',(#20146)); #9173=EDGE_LOOP('',(#20147)); #9174=EDGE_LOOP('',(#20148)); #9175=EDGE_LOOP('',(#20149)); #9176=EDGE_LOOP('',(#20150)); #9177=EDGE_LOOP('',(#20151)); #9178=EDGE_LOOP('',(#20152,#20153,#20154,#20155)); #9179=EDGE_LOOP('',(#20156,#20157,#20158,#20159,#20160,#20161,#20162,#20163)); #9180=EDGE_LOOP('',(#20164)); #9181=EDGE_LOOP('',(#20165)); #9182=EDGE_LOOP('',(#20166)); #9183=EDGE_LOOP('',(#20167)); #9184=EDGE_LOOP('',(#20168)); #9185=EDGE_LOOP('',(#20169)); #9186=EDGE_LOOP('',(#20170)); #9187=EDGE_LOOP('',(#20171)); #9188=EDGE_LOOP('',(#20172)); #9189=EDGE_LOOP('',(#20173)); #9190=EDGE_LOOP('',(#20174)); #9191=EDGE_LOOP('',(#20175)); #9192=EDGE_LOOP('',(#20176)); #9193=EDGE_LOOP('',(#20177)); #9194=EDGE_LOOP('',(#20178)); #9195=EDGE_LOOP('',(#20179)); #9196=EDGE_LOOP('',(#20180)); #9197=EDGE_LOOP('',(#20181)); #9198=EDGE_LOOP('',(#20182)); #9199=EDGE_LOOP('',(#20183)); #9200=EDGE_LOOP('',(#20184)); #9201=EDGE_LOOP('',(#20185)); #9202=EDGE_LOOP('',(#20186)); #9203=EDGE_LOOP('',(#20187)); #9204=EDGE_LOOP('',(#20188)); #9205=EDGE_LOOP('',(#20189)); #9206=EDGE_LOOP('',(#20190)); #9207=EDGE_LOOP('',(#20191)); #9208=EDGE_LOOP('',(#20192)); #9209=EDGE_LOOP('',(#20193)); #9210=EDGE_LOOP('',(#20194)); #9211=EDGE_LOOP('',(#20195)); #9212=EDGE_LOOP('',(#20196)); #9213=EDGE_LOOP('',(#20197)); #9214=EDGE_LOOP('',(#20198)); #9215=EDGE_LOOP('',(#20199)); #9216=EDGE_LOOP('',(#20200)); #9217=EDGE_LOOP('',(#20201)); #9218=EDGE_LOOP('',(#20202)); #9219=EDGE_LOOP('',(#20203)); #9220=EDGE_LOOP('',(#20204)); #9221=EDGE_LOOP('',(#20205)); #9222=EDGE_LOOP('',(#20206)); #9223=EDGE_LOOP('',(#20207)); #9224=EDGE_LOOP('',(#20208)); #9225=EDGE_LOOP('',(#20209)); #9226=EDGE_LOOP('',(#20210)); #9227=EDGE_LOOP('',(#20211)); #9228=EDGE_LOOP('',(#20212)); #9229=EDGE_LOOP('',(#20213)); #9230=EDGE_LOOP('',(#20214)); #9231=EDGE_LOOP('',(#20215)); #9232=EDGE_LOOP('',(#20216)); #9233=EDGE_LOOP('',(#20217)); #9234=EDGE_LOOP('',(#20218)); #9235=EDGE_LOOP('',(#20219)); #9236=EDGE_LOOP('',(#20220)); #9237=EDGE_LOOP('',(#20221)); #9238=EDGE_LOOP('',(#20222)); #9239=EDGE_LOOP('',(#20223)); #9240=EDGE_LOOP('',(#20224)); #9241=EDGE_LOOP('',(#20225)); #9242=EDGE_LOOP('',(#20226)); #9243=EDGE_LOOP('',(#20227)); #9244=EDGE_LOOP('',(#20228)); #9245=EDGE_LOOP('',(#20229)); #9246=EDGE_LOOP('',(#20230)); #9247=EDGE_LOOP('',(#20231)); #9248=EDGE_LOOP('',(#20232)); #9249=EDGE_LOOP('',(#20233)); #9250=EDGE_LOOP('',(#20234)); #9251=EDGE_LOOP('',(#20235)); #9252=EDGE_LOOP('',(#20236)); #9253=EDGE_LOOP('',(#20237)); #9254=EDGE_LOOP('',(#20238)); #9255=EDGE_LOOP('',(#20239)); #9256=EDGE_LOOP('',(#20240)); #9257=EDGE_LOOP('',(#20241)); #9258=EDGE_LOOP('',(#20242)); #9259=EDGE_LOOP('',(#20243)); #9260=EDGE_LOOP('',(#20244)); #9261=EDGE_LOOP('',(#20245)); #9262=EDGE_LOOP('',(#20246)); #9263=EDGE_LOOP('',(#20247)); #9264=EDGE_LOOP('',(#20248)); #9265=EDGE_LOOP('',(#20249)); #9266=EDGE_LOOP('',(#20250)); #9267=EDGE_LOOP('',(#20251)); #9268=EDGE_LOOP('',(#20252)); #9269=EDGE_LOOP('',(#20253)); #9270=EDGE_LOOP('',(#20254)); #9271=EDGE_LOOP('',(#20255)); #9272=EDGE_LOOP('',(#20256)); #9273=EDGE_LOOP('',(#20257)); #9274=EDGE_LOOP('',(#20258)); #9275=EDGE_LOOP('',(#20259)); #9276=EDGE_LOOP('',(#20260)); #9277=EDGE_LOOP('',(#20261)); #9278=EDGE_LOOP('',(#20262)); #9279=EDGE_LOOP('',(#20263,#20264,#20265,#20266,#20267,#20268,#20269,#20270)); #9280=EDGE_LOOP('',(#20271,#20272,#20273,#20274)); #9281=EDGE_LOOP('',(#20275,#20276,#20277,#20278)); #9282=EDGE_LOOP('',(#20279,#20280,#20281,#20282)); #9283=EDGE_LOOP('',(#20283,#20284,#20285,#20286)); #9284=EDGE_LOOP('',(#20287,#20288,#20289,#20290)); #9285=EDGE_LOOP('',(#20291)); #9286=EDGE_LOOP('',(#20292)); #9287=EDGE_LOOP('',(#20293)); #9288=EDGE_LOOP('',(#20294)); #9289=EDGE_LOOP('',(#20295)); #9290=EDGE_LOOP('',(#20296)); #9291=EDGE_LOOP('',(#20297)); #9292=EDGE_LOOP('',(#20298)); #9293=EDGE_LOOP('',(#20299)); #9294=EDGE_LOOP('',(#20300)); #9295=EDGE_LOOP('',(#20301)); #9296=EDGE_LOOP('',(#20302)); #9297=EDGE_LOOP('',(#20303)); #9298=EDGE_LOOP('',(#20304)); #9299=EDGE_LOOP('',(#20305)); #9300=EDGE_LOOP('',(#20306)); #9301=EDGE_LOOP('',(#20307)); #9302=EDGE_LOOP('',(#20308,#20309,#20310,#20311)); #9303=EDGE_LOOP('',(#20312)); #9304=EDGE_LOOP('',(#20313)); #9305=EDGE_LOOP('',(#20314)); #9306=EDGE_LOOP('',(#20315)); #9307=EDGE_LOOP('',(#20316)); #9308=EDGE_LOOP('',(#20317,#20318,#20319,#20320)); #9309=EDGE_LOOP('',(#20321)); #9310=EDGE_LOOP('',(#20322)); #9311=EDGE_LOOP('',(#20323)); #9312=EDGE_LOOP('',(#20324)); #9313=EDGE_LOOP('',(#20325)); #9314=EDGE_LOOP('',(#20326)); #9315=EDGE_LOOP('',(#20327)); #9316=EDGE_LOOP('',(#20328)); #9317=EDGE_LOOP('',(#20329)); #9318=EDGE_LOOP('',(#20330)); #9319=EDGE_LOOP('',(#20331)); #9320=EDGE_LOOP('',(#20332)); #9321=EDGE_LOOP('',(#20333)); #9322=EDGE_LOOP('',(#20334)); #9323=EDGE_LOOP('',(#20335,#20336,#20337,#20338)); #9324=EDGE_LOOP('',(#20339)); #9325=EDGE_LOOP('',(#20340)); #9326=EDGE_LOOP('',(#20341)); #9327=EDGE_LOOP('',(#20342,#20343,#20344,#20345)); #9328=EDGE_LOOP('',(#20346)); #9329=EDGE_LOOP('',(#20347)); #9330=EDGE_LOOP('',(#20348)); #9331=EDGE_LOOP('',(#20349)); #9332=EDGE_LOOP('',(#20350)); #9333=EDGE_LOOP('',(#20351)); #9334=EDGE_LOOP('',(#20352)); #9335=EDGE_LOOP('',(#20353)); #9336=EDGE_LOOP('',(#20354)); #9337=EDGE_LOOP('',(#20355)); #9338=EDGE_LOOP('',(#20356)); #9339=EDGE_LOOP('',(#20357,#20358)); #9340=EDGE_LOOP('',(#20359,#20360)); #9341=EDGE_LOOP('',(#20361,#20362,#20363,#20364)); #9342=EDGE_LOOP('',(#20365)); #9343=EDGE_LOOP('',(#20366)); #9344=EDGE_LOOP('',(#20367)); #9345=EDGE_LOOP('',(#20368,#20369,#20370,#20371)); #9346=EDGE_LOOP('',(#20372)); #9347=EDGE_LOOP('',(#20373)); #9348=EDGE_LOOP('',(#20374)); #9349=EDGE_LOOP('',(#20375)); #9350=EDGE_LOOP('',(#20376)); #9351=EDGE_LOOP('',(#20377,#20378)); #9352=EDGE_LOOP('',(#20379,#20380,#20381,#20382)); #9353=EDGE_LOOP('',(#20383)); #9354=EDGE_LOOP('',(#20384)); #9355=EDGE_LOOP('',(#20385)); #9356=EDGE_LOOP('',(#20386)); #9357=EDGE_LOOP('',(#20387)); #9358=EDGE_LOOP('',(#20388)); #9359=EDGE_LOOP('',(#20389)); #9360=EDGE_LOOP('',(#20390)); #9361=EDGE_LOOP('',(#20391)); #9362=EDGE_LOOP('',(#20392)); #9363=EDGE_LOOP('',(#20393)); #9364=EDGE_LOOP('',(#20394)); #9365=EDGE_LOOP('',(#20395)); #9366=EDGE_LOOP('',(#20396)); #9367=EDGE_LOOP('',(#20397)); #9368=EDGE_LOOP('',(#20398)); #9369=EDGE_LOOP('',(#20399)); #9370=EDGE_LOOP('',(#20400)); #9371=EDGE_LOOP('',(#20401)); #9372=EDGE_LOOP('',(#20402)); #9373=EDGE_LOOP('',(#20403)); #9374=EDGE_LOOP('',(#20404)); #9375=EDGE_LOOP('',(#20405)); #9376=EDGE_LOOP('',(#20406)); #9377=EDGE_LOOP('',(#20407)); #9378=EDGE_LOOP('',(#20408)); #9379=EDGE_LOOP('',(#20409)); #9380=EDGE_LOOP('',(#20410)); #9381=EDGE_LOOP('',(#20411)); #9382=EDGE_LOOP('',(#20412)); #9383=EDGE_LOOP('',(#20413)); #9384=EDGE_LOOP('',(#20414)); #9385=EDGE_LOOP('',(#20415)); #9386=EDGE_LOOP('',(#20416)); #9387=EDGE_LOOP('',(#20417)); #9388=EDGE_LOOP('',(#20418)); #9389=EDGE_LOOP('',(#20419)); #9390=EDGE_LOOP('',(#20420)); #9391=EDGE_LOOP('',(#20421)); #9392=EDGE_LOOP('',(#20422)); #9393=EDGE_LOOP('',(#20423)); #9394=EDGE_LOOP('',(#20424)); #9395=EDGE_LOOP('',(#20425)); #9396=EDGE_LOOP('',(#20426)); #9397=EDGE_LOOP('',(#20427)); #9398=EDGE_LOOP('',(#20428)); #9399=EDGE_LOOP('',(#20429)); #9400=EDGE_LOOP('',(#20430)); #9401=EDGE_LOOP('',(#20431)); #9402=EDGE_LOOP('',(#20432)); #9403=EDGE_LOOP('',(#20433)); #9404=EDGE_LOOP('',(#20434)); #9405=EDGE_LOOP('',(#20435)); #9406=EDGE_LOOP('',(#20436)); #9407=EDGE_LOOP('',(#20437)); #9408=EDGE_LOOP('',(#20438)); #9409=EDGE_LOOP('',(#20439)); #9410=EDGE_LOOP('',(#20440)); #9411=EDGE_LOOP('',(#20441)); #9412=EDGE_LOOP('',(#20442)); #9413=EDGE_LOOP('',(#20443,#20444,#20445,#20446)); #9414=EDGE_LOOP('',(#20447,#20448,#20449,#20450)); #9415=EDGE_LOOP('',(#20451,#20452,#20453,#20454)); #9416=EDGE_LOOP('',(#20455,#20456,#20457,#20458)); #9417=EDGE_LOOP('',(#20459)); #9418=EDGE_LOOP('',(#20460)); #9419=EDGE_LOOP('',(#20461)); #9420=EDGE_LOOP('',(#20462)); #9421=EDGE_LOOP('',(#20463)); #9422=EDGE_LOOP('',(#20464)); #9423=EDGE_LOOP('',(#20465)); #9424=EDGE_LOOP('',(#20466)); #9425=EDGE_LOOP('',(#20467)); #9426=EDGE_LOOP('',(#20468)); #9427=EDGE_LOOP('',(#20469,#20470,#20471,#20472)); #9428=EDGE_LOOP('',(#20473)); #9429=EDGE_LOOP('',(#20474)); #9430=EDGE_LOOP('',(#20475)); #9431=EDGE_LOOP('',(#20476)); #9432=EDGE_LOOP('',(#20477)); #9433=EDGE_LOOP('',(#20478)); #9434=EDGE_LOOP('',(#20479)); #9435=EDGE_LOOP('',(#20480)); #9436=EDGE_LOOP('',(#20481)); #9437=EDGE_LOOP('',(#20482)); #9438=EDGE_LOOP('',(#20483)); #9439=EDGE_LOOP('',(#20484)); #9440=EDGE_LOOP('',(#20485)); #9441=EDGE_LOOP('',(#20486)); #9442=EDGE_LOOP('',(#20487)); #9443=EDGE_LOOP('',(#20488)); #9444=EDGE_LOOP('',(#20489)); #9445=EDGE_LOOP('',(#20490)); #9446=EDGE_LOOP('',(#20491)); #9447=EDGE_LOOP('',(#20492)); #9448=EDGE_LOOP('',(#20493)); #9449=EDGE_LOOP('',(#20494)); #9450=EDGE_LOOP('',(#20495)); #9451=EDGE_LOOP('',(#20496)); #9452=EDGE_LOOP('',(#20497)); #9453=EDGE_LOOP('',(#20498)); #9454=EDGE_LOOP('',(#20499)); #9455=EDGE_LOOP('',(#20500)); #9456=EDGE_LOOP('',(#20501)); #9457=EDGE_LOOP('',(#20502)); #9458=EDGE_LOOP('',(#20503)); #9459=EDGE_LOOP('',(#20504)); #9460=EDGE_LOOP('',(#20505)); #9461=EDGE_LOOP('',(#20506)); #9462=EDGE_LOOP('',(#20507)); #9463=EDGE_LOOP('',(#20508)); #9464=EDGE_LOOP('',(#20509)); #9465=EDGE_LOOP('',(#20510)); #9466=EDGE_LOOP('',(#20511)); #9467=EDGE_LOOP('',(#20512)); #9468=EDGE_LOOP('',(#20513)); #9469=EDGE_LOOP('',(#20514)); #9470=EDGE_LOOP('',(#20515)); #9471=EDGE_LOOP('',(#20516)); #9472=EDGE_LOOP('',(#20517)); #9473=EDGE_LOOP('',(#20518)); #9474=EDGE_LOOP('',(#20519)); #9475=EDGE_LOOP('',(#20520)); #9476=EDGE_LOOP('',(#20521)); #9477=EDGE_LOOP('',(#20522)); #9478=EDGE_LOOP('',(#20523)); #9479=EDGE_LOOP('',(#20524)); #9480=EDGE_LOOP('',(#20525)); #9481=EDGE_LOOP('',(#20526)); #9482=EDGE_LOOP('',(#20527)); #9483=EDGE_LOOP('',(#20528)); #9484=EDGE_LOOP('',(#20529)); #9485=EDGE_LOOP('',(#20530)); #9486=EDGE_LOOP('',(#20531)); #9487=EDGE_LOOP('',(#20532)); #9488=EDGE_LOOP('',(#20533)); #9489=EDGE_LOOP('',(#20534)); #9490=EDGE_LOOP('',(#20535)); #9491=EDGE_LOOP('',(#20536)); #9492=EDGE_LOOP('',(#20537)); #9493=EDGE_LOOP('',(#20538)); #9494=EDGE_LOOP('',(#20539)); #9495=EDGE_LOOP('',(#20540)); #9496=EDGE_LOOP('',(#20541)); #9497=EDGE_LOOP('',(#20542)); #9498=EDGE_LOOP('',(#20543)); #9499=EDGE_LOOP('',(#20544)); #9500=EDGE_LOOP('',(#20545)); #9501=EDGE_LOOP('',(#20546)); #9502=EDGE_LOOP('',(#20547)); #9503=EDGE_LOOP('',(#20548)); #9504=EDGE_LOOP('',(#20549)); #9505=EDGE_LOOP('',(#20550)); #9506=EDGE_LOOP('',(#20551)); #9507=EDGE_LOOP('',(#20552)); #9508=EDGE_LOOP('',(#20553)); #9509=EDGE_LOOP('',(#20554)); #9510=EDGE_LOOP('',(#20555)); #9511=EDGE_LOOP('',(#20556)); #9512=EDGE_LOOP('',(#20557)); #9513=EDGE_LOOP('',(#20558)); #9514=EDGE_LOOP('',(#20559)); #9515=EDGE_LOOP('',(#20560)); #9516=EDGE_LOOP('',(#20561)); #9517=EDGE_LOOP('',(#20562)); #9518=EDGE_LOOP('',(#20563)); #9519=EDGE_LOOP('',(#20564)); #9520=EDGE_LOOP('',(#20565)); #9521=EDGE_LOOP('',(#20566)); #9522=EDGE_LOOP('',(#20567)); #9523=EDGE_LOOP('',(#20568)); #9524=EDGE_LOOP('',(#20569)); #9525=EDGE_LOOP('',(#20570)); #9526=EDGE_LOOP('',(#20571)); #9527=EDGE_LOOP('',(#20572)); #9528=EDGE_LOOP('',(#20573)); #9529=EDGE_LOOP('',(#20574)); #9530=EDGE_LOOP('',(#20575)); #9531=EDGE_LOOP('',(#20576)); #9532=EDGE_LOOP('',(#20577)); #9533=EDGE_LOOP('',(#20578)); #9534=EDGE_LOOP('',(#20579)); #9535=EDGE_LOOP('',(#20580)); #9536=EDGE_LOOP('',(#20581)); #9537=EDGE_LOOP('',(#20582)); #9538=EDGE_LOOP('',(#20583)); #9539=EDGE_LOOP('',(#20584)); #9540=EDGE_LOOP('',(#20585)); #9541=EDGE_LOOP('',(#20586)); #9542=EDGE_LOOP('',(#20587)); #9543=EDGE_LOOP('',(#20588)); #9544=EDGE_LOOP('',(#20589)); #9545=EDGE_LOOP('',(#20590)); #9546=EDGE_LOOP('',(#20591)); #9547=EDGE_LOOP('',(#20592)); #9548=EDGE_LOOP('',(#20593)); #9549=EDGE_LOOP('',(#20594)); #9550=EDGE_LOOP('',(#20595)); #9551=EDGE_LOOP('',(#20596)); #9552=EDGE_LOOP('',(#20597)); #9553=EDGE_LOOP('',(#20598)); #9554=EDGE_LOOP('',(#20599)); #9555=EDGE_LOOP('',(#20600)); #9556=EDGE_LOOP('',(#20601)); #9557=EDGE_LOOP('',(#20602)); #9558=EDGE_LOOP('',(#20603)); #9559=EDGE_LOOP('',(#20604)); #9560=EDGE_LOOP('',(#20605)); #9561=EDGE_LOOP('',(#20606)); #9562=EDGE_LOOP('',(#20607)); #9563=EDGE_LOOP('',(#20608)); #9564=EDGE_LOOP('',(#20609)); #9565=EDGE_LOOP('',(#20610)); #9566=EDGE_LOOP('',(#20611)); #9567=EDGE_LOOP('',(#20612)); #9568=EDGE_LOOP('',(#20613)); #9569=EDGE_LOOP('',(#20614)); #9570=EDGE_LOOP('',(#20615)); #9571=EDGE_LOOP('',(#20616)); #9572=EDGE_LOOP('',(#20617)); #9573=EDGE_LOOP('',(#20618)); #9574=EDGE_LOOP('',(#20619)); #9575=EDGE_LOOP('',(#20620)); #9576=EDGE_LOOP('',(#20621)); #9577=EDGE_LOOP('',(#20622)); #9578=EDGE_LOOP('',(#20623)); #9579=EDGE_LOOP('',(#20624)); #9580=EDGE_LOOP('',(#20625)); #9581=EDGE_LOOP('',(#20626)); #9582=EDGE_LOOP('',(#20627)); #9583=EDGE_LOOP('',(#20628)); #9584=EDGE_LOOP('',(#20629)); #9585=EDGE_LOOP('',(#20630)); #9586=EDGE_LOOP('',(#20631)); #9587=EDGE_LOOP('',(#20632)); #9588=EDGE_LOOP('',(#20633)); #9589=EDGE_LOOP('',(#20634)); #9590=EDGE_LOOP('',(#20635)); #9591=EDGE_LOOP('',(#20636)); #9592=EDGE_LOOP('',(#20637)); #9593=EDGE_LOOP('',(#20638)); #9594=EDGE_LOOP('',(#20639)); #9595=EDGE_LOOP('',(#20640)); #9596=EDGE_LOOP('',(#20641)); #9597=EDGE_LOOP('',(#20642)); #9598=EDGE_LOOP('',(#20643)); #9599=EDGE_LOOP('',(#20644)); #9600=EDGE_LOOP('',(#20645)); #9601=EDGE_LOOP('',(#20646)); #9602=EDGE_LOOP('',(#20647)); #9603=EDGE_LOOP('',(#20648)); #9604=EDGE_LOOP('',(#20649)); #9605=EDGE_LOOP('',(#20650)); #9606=EDGE_LOOP('',(#20651)); #9607=EDGE_LOOP('',(#20652)); #9608=EDGE_LOOP('',(#20653)); #9609=EDGE_LOOP('',(#20654)); #9610=EDGE_LOOP('',(#20655)); #9611=EDGE_LOOP('',(#20656)); #9612=EDGE_LOOP('',(#20657)); #9613=EDGE_LOOP('',(#20658)); #9614=EDGE_LOOP('',(#20659)); #9615=EDGE_LOOP('',(#20660)); #9616=EDGE_LOOP('',(#20661)); #9617=EDGE_LOOP('',(#20662)); #9618=EDGE_LOOP('',(#20663)); #9619=EDGE_LOOP('',(#20664)); #9620=EDGE_LOOP('',(#20665)); #9621=EDGE_LOOP('',(#20666)); #9622=EDGE_LOOP('',(#20667)); #9623=EDGE_LOOP('',(#20668)); #9624=EDGE_LOOP('',(#20669)); #9625=EDGE_LOOP('',(#20670)); #9626=EDGE_LOOP('',(#20671)); #9627=EDGE_LOOP('',(#20672)); #9628=EDGE_LOOP('',(#20673)); #9629=EDGE_LOOP('',(#20674)); #9630=EDGE_LOOP('',(#20675,#20676,#20677,#20678)); #9631=EDGE_LOOP('',(#20679,#20680,#20681,#20682)); #9632=EDGE_LOOP('',(#20683,#20684,#20685,#20686)); #9633=EDGE_LOOP('',(#20687,#20688,#20689,#20690)); #9634=EDGE_LOOP('',(#20691,#20692,#20693,#20694)); #9635=EDGE_LOOP('',(#20695,#20696,#20697,#20698)); #9636=EDGE_LOOP('',(#20699,#20700,#20701,#20702)); #9637=EDGE_LOOP('',(#20703,#20704,#20705,#20706)); #9638=EDGE_LOOP('',(#20707,#20708,#20709,#20710)); #9639=EDGE_LOOP('',(#20711,#20712,#20713,#20714)); #9640=EDGE_LOOP('',(#20715,#20716,#20717,#20718)); #9641=EDGE_LOOP('',(#20719,#20720,#20721,#20722)); #9642=EDGE_LOOP('',(#20723,#20724,#20725,#20726)); #9643=EDGE_LOOP('',(#20727)); #9644=EDGE_LOOP('',(#20728)); #9645=EDGE_LOOP('',(#20729)); #9646=EDGE_LOOP('',(#20730)); #9647=EDGE_LOOP('',(#20731)); #9648=EDGE_LOOP('',(#20732)); #9649=EDGE_LOOP('',(#20733)); #9650=EDGE_LOOP('',(#20734)); #9651=EDGE_LOOP('',(#20735)); #9652=EDGE_LOOP('',(#20736)); #9653=EDGE_LOOP('',(#20737)); #9654=EDGE_LOOP('',(#20738)); #9655=EDGE_LOOP('',(#20739)); #9656=EDGE_LOOP('',(#20740)); #9657=EDGE_LOOP('',(#20741)); #9658=EDGE_LOOP('',(#20742)); #9659=EDGE_LOOP('',(#20743)); #9660=EDGE_LOOP('',(#20744)); #9661=EDGE_LOOP('',(#20745)); #9662=EDGE_LOOP('',(#20746)); #9663=EDGE_LOOP('',(#20747)); #9664=EDGE_LOOP('',(#20748)); #9665=EDGE_LOOP('',(#20749)); #9666=EDGE_LOOP('',(#20750)); #9667=EDGE_LOOP('',(#20751)); #9668=EDGE_LOOP('',(#20752)); #9669=EDGE_LOOP('',(#20753)); #9670=EDGE_LOOP('',(#20754)); #9671=EDGE_LOOP('',(#20755)); #9672=EDGE_LOOP('',(#20756)); #9673=EDGE_LOOP('',(#20757)); #9674=EDGE_LOOP('',(#20758)); #9675=EDGE_LOOP('',(#20759)); #9676=EDGE_LOOP('',(#20760)); #9677=EDGE_LOOP('',(#20761)); #9678=EDGE_LOOP('',(#20762)); #9679=EDGE_LOOP('',(#20763)); #9680=EDGE_LOOP('',(#20764)); #9681=EDGE_LOOP('',(#20765)); #9682=EDGE_LOOP('',(#20766)); #9683=EDGE_LOOP('',(#20767)); #9684=EDGE_LOOP('',(#20768)); #9685=EDGE_LOOP('',(#20769)); #9686=EDGE_LOOP('',(#20770)); #9687=EDGE_LOOP('',(#20771)); #9688=EDGE_LOOP('',(#20772)); #9689=EDGE_LOOP('',(#20773)); #9690=EDGE_LOOP('',(#20774)); #9691=EDGE_LOOP('',(#20775)); #9692=EDGE_LOOP('',(#20776)); #9693=EDGE_LOOP('',(#20777)); #9694=EDGE_LOOP('',(#20778)); #9695=EDGE_LOOP('',(#20779)); #9696=EDGE_LOOP('',(#20780)); #9697=EDGE_LOOP('',(#20781)); #9698=EDGE_LOOP('',(#20782)); #9699=EDGE_LOOP('',(#20783)); #9700=EDGE_LOOP('',(#20784)); #9701=EDGE_LOOP('',(#20785)); #9702=EDGE_LOOP('',(#20786)); #9703=EDGE_LOOP('',(#20787)); #9704=EDGE_LOOP('',(#20788)); #9705=EDGE_LOOP('',(#20789)); #9706=EDGE_LOOP('',(#20790)); #9707=EDGE_LOOP('',(#20791)); #9708=EDGE_LOOP('',(#20792)); #9709=EDGE_LOOP('',(#20793)); #9710=EDGE_LOOP('',(#20794)); #9711=EDGE_LOOP('',(#20795)); #9712=EDGE_LOOP('',(#20796)); #9713=EDGE_LOOP('',(#20797)); #9714=EDGE_LOOP('',(#20798)); #9715=EDGE_LOOP('',(#20799)); #9716=EDGE_LOOP('',(#20800)); #9717=EDGE_LOOP('',(#20801)); #9718=EDGE_LOOP('',(#20802)); #9719=EDGE_LOOP('',(#20803)); #9720=EDGE_LOOP('',(#20804)); #9721=EDGE_LOOP('',(#20805)); #9722=EDGE_LOOP('',(#20806)); #9723=EDGE_LOOP('',(#20807)); #9724=EDGE_LOOP('',(#20808)); #9725=EDGE_LOOP('',(#20809)); #9726=EDGE_LOOP('',(#20810)); #9727=EDGE_LOOP('',(#20811)); #9728=EDGE_LOOP('',(#20812)); #9729=EDGE_LOOP('',(#20813)); #9730=EDGE_LOOP('',(#20814)); #9731=EDGE_LOOP('',(#20815)); #9732=EDGE_LOOP('',(#20816)); #9733=EDGE_LOOP('',(#20817)); #9734=EDGE_LOOP('',(#20818)); #9735=EDGE_LOOP('',(#20819)); #9736=EDGE_LOOP('',(#20820)); #9737=EDGE_LOOP('',(#20821)); #9738=EDGE_LOOP('',(#20822)); #9739=EDGE_LOOP('',(#20823,#20824,#20825,#20826,#20827,#20828,#20829,#20830)); #9740=EDGE_LOOP('',(#20831,#20832,#20833,#20834)); #9741=EDGE_LOOP('',(#20835,#20836,#20837,#20838)); #9742=EDGE_LOOP('',(#20839,#20840,#20841,#20842)); #9743=EDGE_LOOP('',(#20843,#20844,#20845,#20846)); #9744=EDGE_LOOP('',(#20847,#20848,#20849,#20850)); #9745=EDGE_LOOP('',(#20851,#20852,#20853,#20854)); #9746=EDGE_LOOP('',(#20855,#20856,#20857,#20858)); #9747=EDGE_LOOP('',(#20859,#20860,#20861,#20862)); #9748=EDGE_LOOP('',(#20863,#20864,#20865,#20866)); #9749=EDGE_LOOP('',(#20867)); #9750=EDGE_LOOP('',(#20868)); #9751=EDGE_LOOP('',(#20869)); #9752=EDGE_LOOP('',(#20870)); #9753=EDGE_LOOP('',(#20871)); #9754=EDGE_LOOP('',(#20872)); #9755=EDGE_LOOP('',(#20873)); #9756=EDGE_LOOP('',(#20874)); #9757=EDGE_LOOP('',(#20875)); #9758=EDGE_LOOP('',(#20876)); #9759=EDGE_LOOP('',(#20877)); #9760=EDGE_LOOP('',(#20878)); #9761=EDGE_LOOP('',(#20879)); #9762=EDGE_LOOP('',(#20880)); #9763=EDGE_LOOP('',(#20881)); #9764=EDGE_LOOP('',(#20882)); #9765=EDGE_LOOP('',(#20883)); #9766=EDGE_LOOP('',(#20884)); #9767=EDGE_LOOP('',(#20885)); #9768=EDGE_LOOP('',(#20886)); #9769=EDGE_LOOP('',(#20887)); #9770=EDGE_LOOP('',(#20888)); #9771=EDGE_LOOP('',(#20889)); #9772=EDGE_LOOP('',(#20890)); #9773=EDGE_LOOP('',(#20891)); #9774=EDGE_LOOP('',(#20892)); #9775=EDGE_LOOP('',(#20893)); #9776=EDGE_LOOP('',(#20894)); #9777=EDGE_LOOP('',(#20895)); #9778=EDGE_LOOP('',(#20896)); #9779=EDGE_LOOP('',(#20897)); #9780=EDGE_LOOP('',(#20898)); #9781=EDGE_LOOP('',(#20899)); #9782=EDGE_LOOP('',(#20900)); #9783=EDGE_LOOP('',(#20901)); #9784=EDGE_LOOP('',(#20902)); #9785=EDGE_LOOP('',(#20903)); #9786=EDGE_LOOP('',(#20904)); #9787=EDGE_LOOP('',(#20905)); #9788=EDGE_LOOP('',(#20906)); #9789=EDGE_LOOP('',(#20907)); #9790=EDGE_LOOP('',(#20908)); #9791=EDGE_LOOP('',(#20909)); #9792=EDGE_LOOP('',(#20910)); #9793=EDGE_LOOP('',(#20911)); #9794=EDGE_LOOP('',(#20912)); #9795=EDGE_LOOP('',(#20913)); #9796=EDGE_LOOP('',(#20914)); #9797=EDGE_LOOP('',(#20915)); #9798=EDGE_LOOP('',(#20916)); #9799=EDGE_LOOP('',(#20917)); #9800=EDGE_LOOP('',(#20918)); #9801=EDGE_LOOP('',(#20919)); #9802=EDGE_LOOP('',(#20920)); #9803=EDGE_LOOP('',(#20921)); #9804=EDGE_LOOP('',(#20922)); #9805=EDGE_LOOP('',(#20923)); #9806=EDGE_LOOP('',(#20924)); #9807=EDGE_LOOP('',(#20925)); #9808=EDGE_LOOP('',(#20926)); #9809=EDGE_LOOP('',(#20927)); #9810=EDGE_LOOP('',(#20928)); #9811=EDGE_LOOP('',(#20929)); #9812=EDGE_LOOP('',(#20930)); #9813=EDGE_LOOP('',(#20931)); #9814=EDGE_LOOP('',(#20932)); #9815=EDGE_LOOP('',(#20933)); #9816=EDGE_LOOP('',(#20934)); #9817=EDGE_LOOP('',(#20935)); #9818=EDGE_LOOP('',(#20936)); #9819=EDGE_LOOP('',(#20937)); #9820=EDGE_LOOP('',(#20938)); #9821=EDGE_LOOP('',(#20939)); #9822=EDGE_LOOP('',(#20940)); #9823=EDGE_LOOP('',(#20941)); #9824=EDGE_LOOP('',(#20942)); #9825=EDGE_LOOP('',(#20943)); #9826=EDGE_LOOP('',(#20944)); #9827=EDGE_LOOP('',(#20945)); #9828=EDGE_LOOP('',(#20946)); #9829=EDGE_LOOP('',(#20947)); #9830=EDGE_LOOP('',(#20948)); #9831=EDGE_LOOP('',(#20949)); #9832=EDGE_LOOP('',(#20950)); #9833=EDGE_LOOP('',(#20951)); #9834=EDGE_LOOP('',(#20952)); #9835=EDGE_LOOP('',(#20953)); #9836=EDGE_LOOP('',(#20954)); #9837=EDGE_LOOP('',(#20955)); #9838=EDGE_LOOP('',(#20956)); #9839=EDGE_LOOP('',(#20957)); #9840=EDGE_LOOP('',(#20958)); #9841=EDGE_LOOP('',(#20959)); #9842=EDGE_LOOP('',(#20960)); #9843=EDGE_LOOP('',(#20961)); #9844=EDGE_LOOP('',(#20962)); #9845=EDGE_LOOP('',(#20963,#20964,#20965,#20966,#20967,#20968,#20969,#20970)); #9846=CIRCLE('',#23749,0.166); #9847=CIRCLE('',#23750,0.166); #9848=CIRCLE('',#23752,0.166); #9849=CIRCLE('',#23753,0.166); #9850=CIRCLE('',#23755,0.166); #9851=CIRCLE('',#23756,0.166); #9852=CIRCLE('',#23758,0.166); #9853=CIRCLE('',#23759,0.166); #9854=CIRCLE('',#23761,0.219); #9855=CIRCLE('',#23762,0.133); #9856=CIRCLE('',#23764,0.219); #9857=CIRCLE('',#23766,0.133); #9858=CIRCLE('',#23768,0.219); #9859=CIRCLE('',#23769,0.133); #9860=CIRCLE('',#23771,0.219); #9861=CIRCLE('',#23773,0.133); #9862=CIRCLE('',#23775,0.133); #9863=CIRCLE('',#23776,0.133); #9864=CIRCLE('',#23778,0.219); #9865=CIRCLE('',#23779,0.219); #9866=CIRCLE('',#23782,0.219); #9867=CIRCLE('',#23783,0.133); #9868=CIRCLE('',#23785,0.219); #9869=CIRCLE('',#23787,0.133); #9870=CIRCLE('',#23789,0.133); #9871=CIRCLE('',#23790,0.133); #9872=CIRCLE('',#23792,0.219); #9873=CIRCLE('',#23793,0.219); #9874=CIRCLE('',#23796,0.219); #9875=CIRCLE('',#23797,0.133); #9876=CIRCLE('',#23799,0.219); #9877=CIRCLE('',#23801,0.133); #9878=CIRCLE('',#23803,0.133); #9879=CIRCLE('',#23804,0.133); #9880=CIRCLE('',#23806,0.219); #9881=CIRCLE('',#23807,0.219); #9882=CIRCLE('',#23810,0.219); #9883=CIRCLE('',#23811,0.133); #9884=CIRCLE('',#23813,0.219); #9885=CIRCLE('',#23815,0.133); #9886=CIRCLE('',#23817,0.133); #9887=CIRCLE('',#23818,0.133); #9888=CIRCLE('',#23820,0.219); #9889=CIRCLE('',#23821,0.219); #9890=CIRCLE('',#23824,0.219); #9891=CIRCLE('',#23825,0.133); #9892=CIRCLE('',#23827,0.219); #9893=CIRCLE('',#23829,0.133); #9894=CIRCLE('',#23831,0.133); #9895=CIRCLE('',#23832,0.133); #9896=CIRCLE('',#23834,0.219); #9897=CIRCLE('',#23835,0.219); #9898=CIRCLE('',#23838,0.219); #9899=CIRCLE('',#23839,0.133); #9900=CIRCLE('',#23841,0.219); #9901=CIRCLE('',#23843,0.133); #9902=CIRCLE('',#23845,0.133); #9903=CIRCLE('',#23846,0.133); #9904=CIRCLE('',#23848,0.219); #9905=CIRCLE('',#23849,0.219); #9906=CIRCLE('',#23852,0.219); #9907=CIRCLE('',#23853,0.133); #9908=CIRCLE('',#23855,0.219); #9909=CIRCLE('',#23857,0.133); #9910=CIRCLE('',#23859,0.133); #9911=CIRCLE('',#23860,0.133); #9912=CIRCLE('',#23862,0.219); #9913=CIRCLE('',#23863,0.219); #9914=CIRCLE('',#23866,0.219); #9915=CIRCLE('',#23867,0.133); #9916=CIRCLE('',#23869,0.219); #9917=CIRCLE('',#23871,0.133); #9918=CIRCLE('',#23873,0.219); #9919=CIRCLE('',#23874,0.133); #9920=CIRCLE('',#23876,0.219); #9921=CIRCLE('',#23878,0.133); #9922=CIRCLE('',#23880,0.219); #9923=CIRCLE('',#23881,0.133); #9924=CIRCLE('',#23883,0.219); #9925=CIRCLE('',#23885,0.133); #9926=CIRCLE('',#23887,0.219); #9927=CIRCLE('',#23888,0.133); #9928=CIRCLE('',#23890,0.219); #9929=CIRCLE('',#23892,0.133); #9930=CIRCLE('',#23894,0.219); #9931=CIRCLE('',#23895,0.133); #9932=CIRCLE('',#23897,0.219); #9933=CIRCLE('',#23899,0.133); #9934=CIRCLE('',#23901,0.219); #9935=CIRCLE('',#23902,0.133); #9936=CIRCLE('',#23904,0.219); #9937=CIRCLE('',#23906,0.133); #9938=CIRCLE('',#23908,0.219); #9939=CIRCLE('',#23909,0.133); #9940=CIRCLE('',#23911,0.219); #9941=CIRCLE('',#23913,0.133); #9942=CIRCLE('',#23915,0.219); #9943=CIRCLE('',#23916,0.133); #9944=CIRCLE('',#23918,0.219); #9945=CIRCLE('',#23920,0.133); #9946=CIRCLE('',#23922,0.219); #9947=CIRCLE('',#23923,0.133); #9948=CIRCLE('',#23925,0.219); #9949=CIRCLE('',#23927,0.133); #9950=CIRCLE('',#23929,0.219); #9951=CIRCLE('',#23930,0.133); #9952=CIRCLE('',#23932,0.219); #9953=CIRCLE('',#23934,0.133); #9954=CIRCLE('',#23936,0.219); #9955=CIRCLE('',#23937,0.133); #9956=CIRCLE('',#23939,0.219); #9957=CIRCLE('',#23941,0.133); #9958=CIRCLE('',#23943,0.219); #9959=CIRCLE('',#23944,0.133); #9960=CIRCLE('',#23946,0.219); #9961=CIRCLE('',#23948,0.133); #9962=CIRCLE('',#23950,0.219); #9963=CIRCLE('',#23951,0.133); #9964=CIRCLE('',#23953,0.219); #9965=CIRCLE('',#23955,0.133); #9966=CIRCLE('',#23957,0.219); #9967=CIRCLE('',#23958,0.133); #9968=CIRCLE('',#23960,0.219); #9969=CIRCLE('',#23962,0.133); #9970=CIRCLE('',#23964,0.219); #9971=CIRCLE('',#23965,0.133); #9972=CIRCLE('',#23967,0.219); #9973=CIRCLE('',#23969,0.133); #9974=CIRCLE('',#23971,0.166); #9975=CIRCLE('',#23972,0.166); #9976=CIRCLE('',#23974,0.166); #9977=CIRCLE('',#23975,0.166); #9978=CIRCLE('',#23977,0.1335); #9979=CIRCLE('',#23979,0.1335); #9980=CIRCLE('',#23981,0.1335); #9981=CIRCLE('',#23983,0.1335); #9982=CIRCLE('',#23985,0.1335); #9983=CIRCLE('',#23987,0.1335); #9984=CIRCLE('',#23989,0.1335); #9985=CIRCLE('',#23991,0.1335); #9986=CIRCLE('',#23993,0.1335); #9987=CIRCLE('',#23995,0.1335); #9988=CIRCLE('',#23997,0.1335); #9989=CIRCLE('',#23999,0.1335); #9990=CIRCLE('',#24001,0.1335); #9991=CIRCLE('',#24003,0.1335); #9992=CIRCLE('',#24005,0.1335); #9993=CIRCLE('',#24007,0.1335); #9994=CIRCLE('',#24009,0.1335); #9995=CIRCLE('',#24011,0.1335); #9996=CIRCLE('',#24013,0.1335); #9997=CIRCLE('',#24015,0.1335); #9998=CIRCLE('',#24017,0.1335); #9999=CIRCLE('',#24019,0.1335); #10000=CIRCLE('',#24021,0.1335); #10001=CIRCLE('',#24023,0.1335); #10002=CIRCLE('',#24025,0.1335); #10003=CIRCLE('',#24027,0.1335); #10004=CIRCLE('',#24029,0.1335); #10005=CIRCLE('',#24031,0.1335); #10006=CIRCLE('',#24033,0.1335); #10007=CIRCLE('',#24035,0.1335); #10008=CIRCLE('',#24037,0.1335); #10009=CIRCLE('',#24039,0.1335); #10010=CIRCLE('',#24041,0.1335); #10011=CIRCLE('',#24043,0.1335); #10012=CIRCLE('',#24045,0.1335); #10013=CIRCLE('',#24047,0.1335); #10014=CIRCLE('',#24049,0.1335); #10015=CIRCLE('',#24051,0.1335); #10016=CIRCLE('',#24053,0.1335); #10017=CIRCLE('',#24055,0.1335); #10018=CIRCLE('',#24057,0.1335); #10019=CIRCLE('',#24059,0.1335); #10020=CIRCLE('',#24061,0.166); #10021=CIRCLE('',#24062,0.166); #10022=CIRCLE('',#24064,0.166); #10023=CIRCLE('',#24065,0.166); #10024=CIRCLE('',#24067,0.166); #10025=CIRCLE('',#24068,0.166); #10026=CIRCLE('',#24070,0.166); #10027=CIRCLE('',#24071,0.166); #10028=CIRCLE('',#24073,0.166); #10029=CIRCLE('',#24074,0.166); #10030=CIRCLE('',#24076,0.166); #10031=CIRCLE('',#24077,0.166); #10032=CIRCLE('',#24079,0.166); #10033=CIRCLE('',#24080,0.166); #10034=CIRCLE('',#24082,0.166); #10035=CIRCLE('',#24083,0.166); #10036=CIRCLE('',#24085,0.166); #10037=CIRCLE('',#24086,0.166); #10038=CIRCLE('',#24088,0.166); #10039=CIRCLE('',#24089,0.166); #10040=CIRCLE('',#24091,0.125); #10041=CIRCLE('',#24092,2.96999999999987); #10042=CIRCLE('',#24093,0.125); #10043=CIRCLE('',#24094,3.09499999999987); #10044=CIRCLE('',#24096,0.125); #10045=CIRCLE('',#24098,0.124999999999718); #10046=CIRCLE('',#24099,3.09499999999987); #10047=CIRCLE('',#24100,2.96999999999987); #10048=CIRCLE('',#24102,0.124999999999993); #10049=CIRCLE('',#24103,32.1597734880977); #10050=CIRCLE('',#24104,32.2847734880977); #10051=CIRCLE('',#24106,0.125); #10052=CIRCLE('',#24107,3.09499999999987); #10053=CIRCLE('',#24108,2.96999999999987); #10054=CIRCLE('',#24110,0.125000000000004); #10055=CIRCLE('',#24112,0.12499999999999); #10056=CIRCLE('',#24113,3.09499999999987); #10057=CIRCLE('',#24114,2.96999999999987); #10058=CIRCLE('',#24116,69.6769999999999); #10059=CIRCLE('',#24117,69.5519999999999); #10060=CIRCLE('',#24119,32.2847734880977); #10061=CIRCLE('',#24121,2.96999999999987); #10062=CIRCLE('',#24124,2.96999999999987); #10063=CIRCLE('',#24126,3.44999999999956); #10064=CIRCLE('',#24127,3.44999999999949); #10065=CIRCLE('',#24128,70.032); #10066=CIRCLE('',#24129,3.44999999999996); #10067=CIRCLE('',#24130,3.44999999999997); #10068=CIRCLE('',#24131,31.8047734880976); #10069=CIRCLE('',#24133,2.96999999999987); #10070=CIRCLE('',#24136,2.96999999999987); #10071=CIRCLE('',#24138,69.5519999999999); #10072=CIRCLE('',#24140,0.166); #10073=CIRCLE('',#24141,0.166); #10074=CIRCLE('',#24143,0.166); #10075=CIRCLE('',#24144,0.166); #10076=CIRCLE('',#24146,0.166); #10077=CIRCLE('',#24147,0.166); #10078=CIRCLE('',#24149,0.166); #10079=CIRCLE('',#24150,0.166); #10080=CIRCLE('',#24152,0.166); #10081=CIRCLE('',#24153,0.166); #10082=CIRCLE('',#24155,0.166); #10083=CIRCLE('',#24156,0.166); #10084=CIRCLE('',#24158,0.166); #10085=CIRCLE('',#24159,0.166); #10086=CIRCLE('',#24161,0.166); #10087=CIRCLE('',#24162,0.166); #10088=CIRCLE('',#24164,0.166); #10089=CIRCLE('',#24165,0.166); #10090=CIRCLE('',#24167,0.166); #10091=CIRCLE('',#24168,0.166); #10092=CIRCLE('',#24170,0.166); #10093=CIRCLE('',#24171,0.166); #10094=CIRCLE('',#24173,0.166); #10095=CIRCLE('',#24174,0.166); #10096=CIRCLE('',#24176,0.166); #10097=CIRCLE('',#24177,0.166); #10098=CIRCLE('',#24179,0.166); #10099=CIRCLE('',#24180,0.166); #10100=CIRCLE('',#24182,0.166); #10101=CIRCLE('',#24183,0.166); #10102=CIRCLE('',#24185,0.166); #10103=CIRCLE('',#24186,0.166); #10104=CIRCLE('',#24188,0.166); #10105=CIRCLE('',#24189,0.166); #10106=CIRCLE('',#24191,0.166); #10107=CIRCLE('',#24192,0.166); #10108=CIRCLE('',#24194,0.166); #10109=CIRCLE('',#24195,0.166); #10110=CIRCLE('',#24197,0.166); #10111=CIRCLE('',#24198,0.166); #10112=CIRCLE('',#24200,0.166); #10113=CIRCLE('',#24201,0.166); #10114=CIRCLE('',#24203,0.166); #10115=CIRCLE('',#24204,0.166); #10116=CIRCLE('',#24206,0.166); #10117=CIRCLE('',#24207,0.166); #10118=CIRCLE('',#24209,0.166); #10119=CIRCLE('',#24210,0.166); #10120=CIRCLE('',#24212,0.166); #10121=CIRCLE('',#24213,0.166); #10122=CIRCLE('',#24215,0.166); #10123=CIRCLE('',#24216,0.166); #10124=CIRCLE('',#24218,0.166); #10125=CIRCLE('',#24219,0.166); #10126=CIRCLE('',#24221,0.166); #10127=CIRCLE('',#24222,0.166); #10128=CIRCLE('',#24224,0.166); #10129=CIRCLE('',#24225,0.166); #10130=CIRCLE('',#24227,0.166); #10131=CIRCLE('',#24228,0.166); #10132=CIRCLE('',#24230,0.166); #10133=CIRCLE('',#24231,0.166); #10134=CIRCLE('',#24233,0.166); #10135=CIRCLE('',#24234,0.166); #10136=CIRCLE('',#24236,0.166); #10137=CIRCLE('',#24237,0.166); #10138=CIRCLE('',#24239,0.166); #10139=CIRCLE('',#24240,0.166); #10140=CIRCLE('',#24242,0.166); #10141=CIRCLE('',#24243,0.166); #10142=CIRCLE('',#24245,0.166); #10143=CIRCLE('',#24246,0.166); #10144=CIRCLE('',#24248,0.166); #10145=CIRCLE('',#24249,0.166); #10146=CIRCLE('',#24251,0.166); #10147=CIRCLE('',#24252,0.166); #10148=CIRCLE('',#24254,0.166); #10149=CIRCLE('',#24255,0.166); #10150=CIRCLE('',#24257,0.166); #10151=CIRCLE('',#24258,0.166); #10152=CIRCLE('',#24260,0.166); #10153=CIRCLE('',#24261,0.166); #10154=CIRCLE('',#24263,0.166); #10155=CIRCLE('',#24264,0.166); #10156=CIRCLE('',#24266,0.166); #10157=CIRCLE('',#24267,0.166); #10158=CIRCLE('',#24269,0.166); #10159=CIRCLE('',#24270,0.166); #10160=CIRCLE('',#24272,0.166); #10161=CIRCLE('',#24273,0.166); #10162=CIRCLE('',#24275,0.166); #10163=CIRCLE('',#24276,0.166); #10164=CIRCLE('',#24278,0.166); #10165=CIRCLE('',#24279,0.166); #10166=CIRCLE('',#24281,0.166); #10167=CIRCLE('',#24282,0.166); #10168=CIRCLE('',#24284,0.166); #10169=CIRCLE('',#24285,0.166); #10170=CIRCLE('',#24287,0.166); #10171=CIRCLE('',#24288,0.166); #10172=CIRCLE('',#24290,0.166); #10173=CIRCLE('',#24291,0.166); #10174=CIRCLE('',#24293,0.166); #10175=CIRCLE('',#24294,0.166); #10176=CIRCLE('',#24296,0.166); #10177=CIRCLE('',#24297,0.166); #10178=CIRCLE('',#24299,0.166); #10179=CIRCLE('',#24300,0.166); #10180=CIRCLE('',#24302,0.166); #10181=CIRCLE('',#24303,0.166); #10182=CIRCLE('',#24305,3.60999999999994); #10183=CIRCLE('',#24306,3.60999999999995); #10184=CIRCLE('',#24308,70.192); #10185=CIRCLE('',#24309,70.1919999999997); #10186=CIRCLE('',#24311,3.60999999999948); #10187=CIRCLE('',#24312,3.60999999999948); #10188=CIRCLE('',#24315,3.44999999999996); #10189=CIRCLE('',#24318,3.45); #10190=CIRCLE('',#24320,31.8047734880973); #10191=CIRCLE('',#24322,3.60999999999955); #10192=CIRCLE('',#24323,3.60999999999956); #10193=CIRCLE('',#24325,3.44999999999957); #10194=CIRCLE('',#24328,3.4499999999995); #10195=CIRCLE('',#24330,70.0319999999997); #10196=CIRCLE('',#24333,3.60999999999999); #10197=CIRCLE('',#24334,31.6447734880973); #10198=CIRCLE('',#24336,3.60999999999996); #10199=CIRCLE('',#24338,31.6447734880976); #10200=CIRCLE('',#24340,0.126); #10201=CIRCLE('',#24342,0.126); #10202=CIRCLE('',#24344,0.126); #10203=CIRCLE('',#24346,0.126); #10204=CIRCLE('',#24348,0.126); #10205=CIRCLE('',#24350,0.126); #10206=CIRCLE('',#24352,0.126); #10207=CIRCLE('',#24354,0.126); #10208=CIRCLE('',#24356,0.126); #10209=CIRCLE('',#24358,0.126); #10210=CIRCLE('',#24360,0.126); #10211=CIRCLE('',#24362,0.126); #10212=CIRCLE('',#24364,0.126); #10213=CIRCLE('',#24366,0.126); #10214=CIRCLE('',#24368,0.126); #10215=CIRCLE('',#24370,0.126); #10216=CIRCLE('',#24372,0.126); #10217=CIRCLE('',#24374,0.126); #10218=CIRCLE('',#24376,0.126); #10219=CIRCLE('',#24378,0.126); #10220=CIRCLE('',#24380,0.126); #10221=CIRCLE('',#24382,0.126); #10222=CIRCLE('',#24384,0.126); #10223=CIRCLE('',#24386,0.126); #10224=CIRCLE('',#24388,0.126); #10225=CIRCLE('',#24390,0.126); #10226=CIRCLE('',#24392,0.126); #10227=CIRCLE('',#24394,0.126); #10228=CIRCLE('',#24396,0.126); #10229=CIRCLE('',#24398,0.126); #10230=CIRCLE('',#24400,0.126); #10231=CIRCLE('',#24402,0.126); #10232=CIRCLE('',#24404,0.126); #10233=CIRCLE('',#24406,0.126); #10234=CIRCLE('',#24408,0.126); #10235=CIRCLE('',#24410,0.126); #10236=CIRCLE('',#24412,0.126); #10237=CIRCLE('',#24414,0.126); #10238=CIRCLE('',#24416,0.126); #10239=CIRCLE('',#24418,0.126); #10240=CIRCLE('',#24420,0.126); #10241=CIRCLE('',#24422,0.126); #10242=CIRCLE('',#24424,0.126); #10243=CIRCLE('',#24426,0.126); #10244=CIRCLE('',#24428,0.126); #10245=CIRCLE('',#24430,0.126); #10246=CIRCLE('',#24432,0.126); #10247=CIRCLE('',#24434,0.126); #10248=CIRCLE('',#24436,0.126); #10249=CIRCLE('',#24438,0.126); #10250=CIRCLE('',#24440,0.126); #10251=CIRCLE('',#24442,0.126); #10252=CIRCLE('',#24444,0.126); #10253=CIRCLE('',#24446,0.126); #10254=CIRCLE('',#24448,0.126); #10255=CIRCLE('',#24450,0.126); #10256=CIRCLE('',#24452,0.126); #10257=CIRCLE('',#24454,0.126); #10258=CIRCLE('',#24456,0.126); #10259=CIRCLE('',#24458,0.126); #10260=CIRCLE('',#24460,0.126); #10261=CIRCLE('',#24462,0.126); #10262=CIRCLE('',#24464,0.126); #10263=CIRCLE('',#24466,0.126); #10264=CIRCLE('',#24468,0.126); #10265=CIRCLE('',#24470,0.126); #10266=CIRCLE('',#24472,0.126); #10267=CIRCLE('',#24474,0.126); #10268=CIRCLE('',#24476,0.126); #10269=CIRCLE('',#24478,0.126); #10270=CIRCLE('',#24481,30.7847734880976); #10271=CIRCLE('',#24486,30.7847734880976); #10272=CIRCLE('',#24491,0.098); #10273=CIRCLE('',#24493,0.098); #10274=CIRCLE('',#24495,0.098); #10275=CIRCLE('',#24497,0.098); #10276=CIRCLE('',#24499,0.1335); #10277=CIRCLE('',#24501,0.1335); #10278=CIRCLE('',#24503,0.1335); #10279=CIRCLE('',#24505,0.1335); #10280=CIRCLE('',#24507,0.1335); #10281=CIRCLE('',#24509,0.1335); #10282=CIRCLE('',#24511,0.1335); #10283=CIRCLE('',#24513,0.1335); #10284=CIRCLE('',#24515,0.1335); #10285=CIRCLE('',#24517,0.1335); #10286=CIRCLE('',#24519,0.1335); #10287=CIRCLE('',#24520,0.1335); #10288=CIRCLE('',#24522,0.1335); #10289=CIRCLE('',#24523,0.1335); #10290=CIRCLE('',#24525,0.1335); #10291=CIRCLE('',#24526,0.1335); #10292=CIRCLE('',#24528,0.1335); #10293=CIRCLE('',#24529,0.1335); #10294=CIRCLE('',#24531,0.1335); #10295=CIRCLE('',#24532,0.1335); #10296=CIRCLE('',#24534,0.1335); #10297=CIRCLE('',#24535,0.1335); #10298=CIRCLE('',#24537,0.1335); #10299=CIRCLE('',#24538,0.1335); #10300=CIRCLE('',#24540,0.1335); #10301=CIRCLE('',#24541,0.1335); #10302=CIRCLE('',#24543,0.1335); #10303=CIRCLE('',#24544,0.1335); #10304=CIRCLE('',#24546,0.1335); #10305=CIRCLE('',#24547,0.1335); #10306=CIRCLE('',#24549,0.1335); #10307=CIRCLE('',#24550,0.1335); #10308=CIRCLE('',#24552,0.1335); #10309=CIRCLE('',#24553,0.1335); #10310=CIRCLE('',#24555,0.1335); #10311=CIRCLE('',#24556,0.1335); #10312=CIRCLE('',#24558,0.1335); #10313=CIRCLE('',#24559,0.1335); #10314=CIRCLE('',#24561,0.1335); #10315=CIRCLE('',#24562,0.1335); #10316=CIRCLE('',#24564,0.1335); #10317=CIRCLE('',#24565,0.1335); #10318=CIRCLE('',#24588,0.098); #10319=CIRCLE('',#24590,0.098); #10320=CIRCLE('',#24592,0.098); #10321=CIRCLE('',#24594,0.098); #10322=CIRCLE('',#24596,0.1335); #10323=CIRCLE('',#24598,0.1335); #10324=CIRCLE('',#24600,0.1335); #10325=CIRCLE('',#24602,0.1335); #10326=CIRCLE('',#24604,0.1335); #10327=CIRCLE('',#24606,0.1335); #10328=CIRCLE('',#24608,0.166); #10329=CIRCLE('',#24609,0.166); #10330=CIRCLE('',#24611,0.166); #10331=CIRCLE('',#24612,0.166); #10332=CIRCLE('',#24614,0.166); #10333=CIRCLE('',#24615,0.166); #10334=CIRCLE('',#24617,0.166); #10335=CIRCLE('',#24618,0.166); #10336=CIRCLE('',#24620,0.166); #10337=CIRCLE('',#24621,0.166); #10338=CIRCLE('',#24623,0.166); #10339=CIRCLE('',#24624,0.166); #10340=CIRCLE('',#24626,0.166); #10341=CIRCLE('',#24627,0.166); #10342=CIRCLE('',#24629,0.166); #10343=CIRCLE('',#24630,0.166); #10344=CIRCLE('',#24632,0.166); #10345=CIRCLE('',#24633,0.166); #10346=CIRCLE('',#24635,0.166); #10347=CIRCLE('',#24636,0.166); #10348=CIRCLE('',#24638,0.166); #10349=CIRCLE('',#24639,0.166); #10350=CIRCLE('',#24641,0.166); #10351=CIRCLE('',#24642,0.166); #10352=CIRCLE('',#24644,0.166); #10353=CIRCLE('',#24645,0.166); #10354=CIRCLE('',#24647,0.166); #10355=CIRCLE('',#24648,0.166); #10356=CIRCLE('',#24650,0.166); #10357=CIRCLE('',#24651,0.166); #10358=CIRCLE('',#24653,0.166); #10359=CIRCLE('',#24654,0.166); #10360=CIRCLE('',#24656,0.166); #10361=CIRCLE('',#24657,0.166); #10362=CIRCLE('',#24674,0.098); #10363=CIRCLE('',#24676,0.098); #10364=CIRCLE('',#24678,0.098); #10365=CIRCLE('',#24680,0.098); #10366=CIRCLE('',#24682,0.1335); #10367=CIRCLE('',#24684,0.1335); #10368=CIRCLE('',#24686,0.1335); #10369=CIRCLE('',#24688,0.1335); #10370=CIRCLE('',#24690,0.1335); #10371=CIRCLE('',#24692,0.1335); #10372=CIRCLE('',#24694,0.166); #10373=CIRCLE('',#24695,0.166); #10374=CIRCLE('',#24697,0.166); #10375=CIRCLE('',#24698,0.166); #10376=CIRCLE('',#24700,0.166); #10377=CIRCLE('',#24701,0.166); #10378=CIRCLE('',#24703,0.166); #10379=CIRCLE('',#24704,0.166); #10380=CIRCLE('',#24706,0.166); #10381=CIRCLE('',#24707,0.166); #10382=CIRCLE('',#24709,0.166); #10383=CIRCLE('',#24710,0.166); #10384=CIRCLE('',#24712,0.166); #10385=CIRCLE('',#24713,0.166); #10386=CIRCLE('',#24715,0.166); #10387=CIRCLE('',#24716,0.166); #10388=CIRCLE('',#24718,0.166); #10389=CIRCLE('',#24719,0.166); #10390=CIRCLE('',#24721,0.166); #10391=CIRCLE('',#24722,0.166); #10392=CIRCLE('',#24724,0.166); #10393=CIRCLE('',#24725,0.166); #10394=CIRCLE('',#24727,0.166); #10395=CIRCLE('',#24728,0.166); #10396=CIRCLE('',#24730,0.166); #10397=CIRCLE('',#24731,0.166); #10398=CIRCLE('',#24733,0.166); #10399=CIRCLE('',#24734,0.166); #10400=CIRCLE('',#24736,0.166); #10401=CIRCLE('',#24737,0.166); #10402=CIRCLE('',#24739,0.166); #10403=CIRCLE('',#24740,0.166); #10404=CIRCLE('',#24742,0.166); #10405=CIRCLE('',#24743,0.166); #10406=CIRCLE('',#24760,0.098); #10407=CIRCLE('',#24762,0.098); #10408=CIRCLE('',#24764,0.098); #10409=CIRCLE('',#24766,0.098); #10410=CIRCLE('',#24768,0.098); #10411=CIRCLE('',#24770,0.098); #10412=CIRCLE('',#24772,0.098); #10413=CIRCLE('',#24774,0.098); #10414=CIRCLE('',#24776,0.098); #10415=CIRCLE('',#24778,0.098); #10416=CIRCLE('',#24780,0.098); #10417=CIRCLE('',#24782,0.098); #10418=CIRCLE('',#24784,0.098); #10419=CIRCLE('',#24786,0.098); #10420=CIRCLE('',#24788,0.098); #10421=CIRCLE('',#24790,0.098); #10422=CIRCLE('',#24792,0.098); #10423=CIRCLE('',#24794,0.098); #10424=CIRCLE('',#24796,0.098); #10425=CIRCLE('',#24798,0.098); #10426=CIRCLE('',#24800,0.098); #10427=CIRCLE('',#24802,0.098); #10428=CIRCLE('',#24804,0.098); #10429=CIRCLE('',#24806,0.098); #10430=CIRCLE('',#24808,0.1335); #10431=CIRCLE('',#24809,0.1335); #10432=CIRCLE('',#24811,0.1335); #10433=CIRCLE('',#24813,0.1335); #10434=CIRCLE('',#24815,0.1335); #10435=CIRCLE('',#24817,0.1335); #10436=CIRCLE('',#24819,0.1335); #10437=CIRCLE('',#24821,0.1335); #10438=CIRCLE('',#24823,0.1335); #10439=CIRCLE('',#24825,0.1335); #10440=CIRCLE('',#24827,0.25); #10441=CIRCLE('',#24830,0.25); #10442=CIRCLE('',#24831,0.75); #10443=CIRCLE('',#24832,0.5); #10444=CIRCLE('',#24834,0.25); #10445=CIRCLE('',#24835,98.5); #10446=CIRCLE('',#24836,98.25); #10447=CIRCLE('',#24838,0.25); #10448=CIRCLE('',#24839,0.75); #10449=CIRCLE('',#24840,0.5); #10450=CIRCLE('',#24842,0.25); #10451=CIRCLE('',#24844,0.25); #10452=CIRCLE('',#24845,0.75); #10453=CIRCLE('',#24846,0.5); #10454=CIRCLE('',#24848,0.25); #10455=CIRCLE('',#24850,0.25); #10456=CIRCLE('',#24851,0.75); #10457=CIRCLE('',#24852,0.5); #10458=CIRCLE('',#24854,0.25); #10459=CIRCLE('',#24856,0.25); #10460=CIRCLE('',#24857,0.75); #10461=CIRCLE('',#24858,0.5); #10462=CIRCLE('',#24860,0.25); #10463=CIRCLE('',#24862,0.25); #10464=CIRCLE('',#24863,0.75); #10465=CIRCLE('',#24864,0.5); #10466=CIRCLE('',#24867,0.75); #10467=CIRCLE('',#24870,0.75); #10468=CIRCLE('',#24873,0.75); #10469=CIRCLE('',#24878,0.1335); #10470=CIRCLE('',#24880,0.1335); #10471=CIRCLE('',#24882,0.1335); #10472=CIRCLE('',#24884,0.1335); #10473=CIRCLE('',#24886,0.1335); #10474=CIRCLE('',#24888,0.1335); #10475=CIRCLE('',#24890,0.1335); #10476=CIRCLE('',#24892,0.1335); #10477=CIRCLE('',#24895,0.1335); #10478=CIRCLE('',#24897,0.1335); #10479=CIRCLE('',#24899,0.1335); #10480=CIRCLE('',#24901,0.1335); #10481=CIRCLE('',#24903,0.1335); #10482=CIRCLE('',#24905,0.1335); #10483=CIRCLE('',#24907,0.1335); #10484=CIRCLE('',#24909,0.1335); #10485=CIRCLE('',#24911,0.1335); #10486=CIRCLE('',#24913,0.1335); #10487=CIRCLE('',#24915,0.1335); #10488=CIRCLE('',#24917,0.1335); #10489=CIRCLE('',#24919,0.1335); #10490=CIRCLE('',#24921,0.1335); #10491=CIRCLE('',#24923,0.1335); #10492=CIRCLE('',#24925,0.1335); #10493=CIRCLE('',#24927,0.1335); #10494=CIRCLE('',#24929,0.1335); #10495=CIRCLE('',#24931,0.1335); #10496=CIRCLE('',#24933,0.1335); #10497=CIRCLE('',#24935,0.1335); #10498=CIRCLE('',#24937,0.1335); #10499=CIRCLE('',#24939,0.1335); #10500=CIRCLE('',#24941,0.1335); #10501=CIRCLE('',#24943,0.1335); #10502=CIRCLE('',#24945,0.1335); #10503=CIRCLE('',#24947,0.1335); #10504=CIRCLE('',#24949,0.1335); #10505=CIRCLE('',#24951,0.1335); #10506=CIRCLE('',#24953,0.1335); #10507=CIRCLE('',#24955,0.1335); #10508=CIRCLE('',#24957,0.1335); #10509=CIRCLE('',#24959,0.1335); #10510=CIRCLE('',#24961,0.1335); #10511=CIRCLE('',#24963,0.1335); #10512=CIRCLE('',#24965,0.1335); #10513=CIRCLE('',#24967,0.1335); #10514=CIRCLE('',#24969,0.1335); #10515=CIRCLE('',#24971,0.1335); #10516=CIRCLE('',#24973,0.1335); #10517=CIRCLE('',#24975,0.1335); #10518=CIRCLE('',#24977,0.1335); #10519=CIRCLE('',#24979,0.1335); #10520=CIRCLE('',#24981,0.1335); #10521=CIRCLE('',#24983,0.1335); #10522=CIRCLE('',#24985,0.1335); #10523=CIRCLE('',#24987,0.1335); #10524=CIRCLE('',#24989,0.1335); #10525=CIRCLE('',#24991,0.1335); #10526=CIRCLE('',#24993,0.1335); #10527=CIRCLE('',#24995,0.1335); #10528=CIRCLE('',#24997,0.1335); #10529=CIRCLE('',#24999,0.1335); #10530=CIRCLE('',#25001,0.1335); #10531=CIRCLE('',#25003,0.1335); #10532=CIRCLE('',#25005,0.1335); #10533=CIRCLE('',#25007,0.1335); #10534=CIRCLE('',#25009,0.1335); #10535=CIRCLE('',#25011,0.1335); #10536=CIRCLE('',#25013,0.1335); #10537=CIRCLE('',#25015,0.1335); #10538=CIRCLE('',#25017,0.1335); #10539=CIRCLE('',#25019,0.1335); #10540=CIRCLE('',#25021,0.1335); #10541=CIRCLE('',#25023,0.1335); #10542=CIRCLE('',#25025,0.1335); #10543=CIRCLE('',#25027,0.1335); #10544=CIRCLE('',#25029,0.1335); #10545=CIRCLE('',#25031,0.1335); #10546=CIRCLE('',#25033,0.1335); #10547=CIRCLE('',#25035,0.1335); #10548=CIRCLE('',#25037,0.1335); #10549=CIRCLE('',#25039,0.1335); #10550=CIRCLE('',#25041,0.1335); #10551=CIRCLE('',#25043,0.1335); #10552=CIRCLE('',#25045,0.1335); #10553=CIRCLE('',#25047,0.1335); #10554=CIRCLE('',#25049,0.1335); #10555=CIRCLE('',#25051,0.1335); #10556=CIRCLE('',#25053,0.1335); #10557=CIRCLE('',#25055,0.1335); #10558=CIRCLE('',#25057,0.1335); #10559=CIRCLE('',#25059,0.1335); #10560=CIRCLE('',#25061,0.1335); #10561=CIRCLE('',#25063,0.1335); #10562=CIRCLE('',#25065,0.1335); #10563=CIRCLE('',#25067,0.1335); #10564=CIRCLE('',#25069,0.1335); #10565=CIRCLE('',#25071,0.1335); #10566=CIRCLE('',#25073,0.1335); #10567=CIRCLE('',#25075,0.1335); #10568=CIRCLE('',#25077,0.1335); #10569=CIRCLE('',#25079,0.1335); #10570=CIRCLE('',#25081,0.1335); #10571=CIRCLE('',#25096,0.75); #10572=CIRCLE('',#25097,98.5); #10573=CIRCLE('',#25098,0.75); #10574=CIRCLE('',#25099,0.75); #10575=CIRCLE('',#25109,0.1335); #10576=CIRCLE('',#25111,0.1335); #10577=CIRCLE('',#25113,0.1335); #10578=CIRCLE('',#25115,0.1335); #10579=CIRCLE('',#25117,0.1335); #10580=CIRCLE('',#25119,0.1335); #10581=CIRCLE('',#25121,0.1335); #10582=CIRCLE('',#25123,0.1335); #10583=CIRCLE('',#25125,0.166); #10584=CIRCLE('',#25126,0.166); #10585=CIRCLE('',#25128,0.166); #10586=CIRCLE('',#25129,0.166); #10587=CIRCLE('',#25131,0.166); #10588=CIRCLE('',#25132,0.166); #10589=CIRCLE('',#25134,0.166); #10590=CIRCLE('',#25135,0.166); #10591=CIRCLE('',#25137,0.166); #10592=CIRCLE('',#25138,0.166); #10593=CIRCLE('',#25140,0.166); #10594=CIRCLE('',#25141,0.166); #10595=CIRCLE('',#25143,0.166); #10596=CIRCLE('',#25144,0.166); #10597=CIRCLE('',#25146,0.166); #10598=CIRCLE('',#25147,0.166); #10599=CIRCLE('',#25149,0.166); #10600=CIRCLE('',#25150,0.166); #10601=CIRCLE('',#25152,0.166); #10602=CIRCLE('',#25153,0.166); #10603=CIRCLE('',#25155,0.166); #10604=CIRCLE('',#25156,0.166); #10605=CIRCLE('',#25158,0.166); #10606=CIRCLE('',#25159,0.166); #10607=CIRCLE('',#25161,0.166); #10608=CIRCLE('',#25162,0.166); #10609=CIRCLE('',#25164,0.166); #10610=CIRCLE('',#25165,0.166); #10611=CIRCLE('',#25167,0.166); #10612=CIRCLE('',#25168,0.166); #10613=CIRCLE('',#25170,0.166); #10614=CIRCLE('',#25171,0.166); #10615=CIRCLE('',#25173,0.166); #10616=CIRCLE('',#25174,0.166); #10617=CIRCLE('',#25176,0.166); #10618=CIRCLE('',#25177,0.166); #10619=CIRCLE('',#25189,0.1335); #10620=CIRCLE('',#25190,0.1335); #10621=CIRCLE('',#25191,0.1335); #10622=CIRCLE('',#25192,0.1335); #10623=CIRCLE('',#25193,0.1335); #10624=CIRCLE('',#25194,0.1335); #10625=CIRCLE('',#25195,0.1335); #10626=CIRCLE('',#25196,0.1335); #10627=CIRCLE('',#25198,0.1335); #10628=CIRCLE('',#25200,0.1335); #10629=CIRCLE('',#25202,0.1335); #10630=CIRCLE('',#25204,0.1335); #10631=CIRCLE('',#25206,0.1335); #10632=CIRCLE('',#25208,0.1335); #10633=CIRCLE('',#25210,0.1335); #10634=CIRCLE('',#25212,0.1335); #10635=CIRCLE('',#25214,0.1335); #10636=CIRCLE('',#25216,0.1335); #10637=CIRCLE('',#25218,0.1335); #10638=CIRCLE('',#25220,0.1335); #10639=CIRCLE('',#25222,0.1335); #10640=CIRCLE('',#25224,0.1335); #10641=CIRCLE('',#25226,0.1335); #10642=CIRCLE('',#25228,0.1335); #10643=CIRCLE('',#25230,0.1335); #10644=CIRCLE('',#25231,0.1335); #10645=CIRCLE('',#25234,0.1335); #10646=CIRCLE('',#25236,0.1335); #10647=CIRCLE('',#25238,0.1335); #10648=CIRCLE('',#25240,0.1335); #10649=CIRCLE('',#25242,0.1335); #10650=CIRCLE('',#25244,0.1335); #10651=CIRCLE('',#25246,0.1335); #10652=CIRCLE('',#25248,0.1335); #10653=CIRCLE('',#25250,0.1335); #10654=CIRCLE('',#25252,0.1335); #10655=CIRCLE('',#25254,0.1335); #10656=CIRCLE('',#25256,0.1335); #10657=CIRCLE('',#25258,0.1335); #10658=CIRCLE('',#25260,0.1335); #10659=CIRCLE('',#25262,0.1335); #10660=CIRCLE('',#25264,0.1335); #10661=CIRCLE('',#25266,0.1335); #10662=CIRCLE('',#25268,0.1335); #10663=CIRCLE('',#25270,0.1335); #10664=CIRCLE('',#25272,0.098); #10665=CIRCLE('',#25274,0.098); #10666=CIRCLE('',#25276,0.098); #10667=CIRCLE('',#25278,0.098); #10668=CIRCLE('',#25280,0.098); #10669=CIRCLE('',#25282,0.098); #10670=CIRCLE('',#25284,0.098); #10671=CIRCLE('',#25286,0.098); #10672=CIRCLE('',#25288,0.098); #10673=CIRCLE('',#25290,0.098); #10674=CIRCLE('',#25292,0.098); #10675=CIRCLE('',#25294,0.098); #10676=CIRCLE('',#25296,0.098); #10677=CIRCLE('',#25298,0.098); #10678=CIRCLE('',#25300,0.098); #10679=CIRCLE('',#25302,0.098); #10680=CIRCLE('',#25304,0.098); #10681=CIRCLE('',#25306,0.098); #10682=CIRCLE('',#25308,0.098); #10683=CIRCLE('',#25310,0.098); #10684=CIRCLE('',#25312,0.098); #10685=CIRCLE('',#25314,0.098); #10686=CIRCLE('',#25316,0.098); #10687=CIRCLE('',#25318,0.098); #10688=CIRCLE('',#25320,0.1335); #10689=CIRCLE('',#25322,0.1335); #10690=CIRCLE('',#25324,0.1335); #10691=CIRCLE('',#25326,0.1335); #10692=CIRCLE('',#25328,0.1335); #10693=CIRCLE('',#25330,0.1335); #10694=CIRCLE('',#25332,0.1335); #10695=CIRCLE('',#25334,0.1335); #10696=CIRCLE('',#25336,0.1335); #10697=CIRCLE('',#25338,0.1335); #10698=CIRCLE('',#25340,0.1335); #10699=CIRCLE('',#25342,0.1335); #10700=CIRCLE('',#25344,0.1335); #10701=CIRCLE('',#25346,0.1335); #10702=CIRCLE('',#25348,0.1335); #10703=CIRCLE('',#25350,0.1335); #10704=CIRCLE('',#25352,0.1335); #10705=CIRCLE('',#25354,0.1335); #10706=CIRCLE('',#25356,0.1335); #10707=CIRCLE('',#25358,0.1335); #10708=CIRCLE('',#25360,0.1335); #10709=CIRCLE('',#25362,0.1335); #10710=CIRCLE('',#25364,0.1335); #10711=CIRCLE('',#25366,0.1335); #10712=CIRCLE('',#25368,0.1335); #10713=CIRCLE('',#25370,0.1335); #10714=CIRCLE('',#25372,0.1335); #10715=CIRCLE('',#25374,0.1335); #10716=CIRCLE('',#25376,0.1335); #10717=CIRCLE('',#25378,0.1335); #10718=CIRCLE('',#25380,0.1335); #10719=CIRCLE('',#25382,0.1335); #10720=CIRCLE('',#25384,0.1335); #10721=CIRCLE('',#25386,0.1335); #10722=CIRCLE('',#25388,0.1335); #10723=CIRCLE('',#25390,0.1335); #10724=CIRCLE('',#25392,0.1335); #10725=CIRCLE('',#25394,0.1335); #10726=CIRCLE('',#25396,0.1335); #10727=CIRCLE('',#25398,0.1335); #10728=CIRCLE('',#25400,0.1335); #10729=CIRCLE('',#25402,0.1335); #10730=CIRCLE('',#25404,0.1335); #10731=CIRCLE('',#25406,0.1335); #10732=CIRCLE('',#25408,0.1335); #10733=CIRCLE('',#25410,0.1335); #10734=CIRCLE('',#25412,0.1335); #10735=CIRCLE('',#25414,0.1335); #10736=CIRCLE('',#25416,0.1335); #10737=CIRCLE('',#25418,0.1335); #10738=CIRCLE('',#25420,0.1335); #10739=CIRCLE('',#25422,0.1335); #10740=CIRCLE('',#25424,0.1335); #10741=CIRCLE('',#25426,0.1335); #10742=CIRCLE('',#25428,0.1335); #10743=CIRCLE('',#25430,0.1335); #10744=CIRCLE('',#25432,0.1335); #10745=CIRCLE('',#25434,0.1335); #10746=CIRCLE('',#25436,0.1335); #10747=CIRCLE('',#25438,0.1335); #10748=CIRCLE('',#25440,0.1335); #10749=CIRCLE('',#25442,0.1335); #10750=CIRCLE('',#25444,0.1335); #10751=CIRCLE('',#25446,0.1335); #10752=CIRCLE('',#25448,0.1335); #10753=CIRCLE('',#25450,0.1335); #10754=CIRCLE('',#25452,0.1335); #10755=CIRCLE('',#25454,0.1335); #10756=CIRCLE('',#25456,0.1335); #10757=CIRCLE('',#25458,0.1335); #10758=CIRCLE('',#25460,0.1335); #10759=CIRCLE('',#25462,0.1335); #10760=CIRCLE('',#25464,0.1335); #10761=CIRCLE('',#25466,0.1335); #10762=CIRCLE('',#25468,0.1335); #10763=CIRCLE('',#25470,0.1335); #10764=CIRCLE('',#25472,0.1335); #10765=CIRCLE('',#25474,0.1335); #10766=CIRCLE('',#25476,0.1335); #10767=CIRCLE('',#25478,0.1335); #10768=CIRCLE('',#25480,0.1335); #10769=CIRCLE('',#25482,0.1335); #10770=CIRCLE('',#25484,0.1335); #10771=CIRCLE('',#25486,0.1335); #10772=CIRCLE('',#25488,0.1335); #10773=CIRCLE('',#25490,0.1335); #10774=CIRCLE('',#25492,0.1335); #10775=CIRCLE('',#25494,0.1335); #10776=CIRCLE('',#25496,0.1335); #10777=CIRCLE('',#25498,0.1335); #10778=CIRCLE('',#25500,0.1335); #10779=CIRCLE('',#25502,0.1335); #10780=CIRCLE('',#25504,0.1335); #10781=CIRCLE('',#25506,0.1335); #10782=CIRCLE('',#25508,0.1335); #10783=CIRCLE('',#25510,0.1335); #10784=CIRCLE('',#25512,0.1335); #10785=CIRCLE('',#25514,0.1335); #10786=CIRCLE('',#25516,0.1335); #10787=CIRCLE('',#25518,0.1335); #10788=CIRCLE('',#25520,0.1335); #10789=CIRCLE('',#25522,0.1335); #10790=CIRCLE('',#25524,0.1335); #10791=CIRCLE('',#25526,0.1335); #10792=CIRCLE('',#25528,0.1335); #10793=CIRCLE('',#25530,0.1335); #10794=CIRCLE('',#25532,0.1335); #10795=CIRCLE('',#25534,0.1335); #10796=CIRCLE('',#25536,0.1335); #10797=CIRCLE('',#25538,0.1335); #10798=CIRCLE('',#25540,0.1335); #10799=CIRCLE('',#25542,0.1335); #10800=CIRCLE('',#25544,0.1335); #10801=CIRCLE('',#25546,0.1335); #10802=CIRCLE('',#25548,0.1335); #10803=CIRCLE('',#25550,0.1335); #10804=CIRCLE('',#25552,0.1335); #10805=CIRCLE('',#25555,0.1335); #10806=CIRCLE('',#25558,0.1335); #10807=CIRCLE('',#25561,0.1335); #10808=CIRCLE('',#25564,0.1335); #10809=CIRCLE('',#25567,0.1335); #10810=CIRCLE('',#25570,0.1335); #10811=CIRCLE('',#25573,0.1335); #10812=CIRCLE('',#25576,0.1335); #10813=CIRCLE('',#25578,0.1335); #10814=CIRCLE('',#25580,0.1335); #10815=CIRCLE('',#25582,0.1335); #10816=CIRCLE('',#25584,0.1335); #10817=CIRCLE('',#25586,0.1335); #10818=CIRCLE('',#25588,0.1335); #10819=CIRCLE('',#25590,0.1335); #10820=CIRCLE('',#25592,0.1335); #10821=CIRCLE('',#25594,0.1335); #10822=CIRCLE('',#25596,0.1335); #10823=CIRCLE('',#25598,0.1335); #10824=CIRCLE('',#25600,0.1335); #10825=CIRCLE('',#25602,0.1335); #10826=CIRCLE('',#25604,0.1335); #10827=CIRCLE('',#25606,0.1335); #10828=CIRCLE('',#25608,0.1335); #10829=CIRCLE('',#25610,0.1335); #10830=CIRCLE('',#25612,0.1335); #10831=CIRCLE('',#25614,0.1335); #10832=CIRCLE('',#25616,0.1335); #10833=CIRCLE('',#25618,0.1335); #10834=CIRCLE('',#25620,0.1335); #10835=CIRCLE('',#25622,0.1335); #10836=CIRCLE('',#25624,0.1335); #10837=CIRCLE('',#25626,0.1335); #10838=CIRCLE('',#25628,0.1335); #10839=CIRCLE('',#25630,0.1335); #10840=CIRCLE('',#25632,0.1335); #10841=CIRCLE('',#25634,0.1335); #10842=CIRCLE('',#25636,0.1335); #10843=CIRCLE('',#25640,5.); #10844=CIRCLE('',#25641,5.); #10845=CIRCLE('',#25642,98.5); #10846=CIRCLE('',#25643,5.); #10847=CIRCLE('',#25644,2.5); #10848=CIRCLE('',#25645,2.5); #10849=CIRCLE('',#25646,2.5); #10850=CIRCLE('',#25653,5.); #10851=CIRCLE('',#25654,2.5); #10852=CIRCLE('',#25655,2.5); #10853=CIRCLE('',#25656,2.5); #10854=CIRCLE('',#25657,5.); #10855=CIRCLE('',#25658,98.5); #10856=CIRCLE('',#25659,5.); #10857=CIRCLE('',#25684,0.25); #10858=CIRCLE('',#25687,0.250000000000003); #10859=CIRCLE('',#25688,0.5); #10860=CIRCLE('',#25689,0.75); #10861=CIRCLE('',#25691,0.249999999999998); #10862=CIRCLE('',#25692,98.25); #10863=CIRCLE('',#25693,98.5); #10864=CIRCLE('',#25695,0.249999999999998); #10865=CIRCLE('',#25696,0.5); #10866=CIRCLE('',#25697,0.75); #10867=CIRCLE('',#25699,0.249999999999999); #10868=CIRCLE('',#25701,0.249999999999999); #10869=CIRCLE('',#25702,0.5); #10870=CIRCLE('',#25703,0.75); #10871=CIRCLE('',#25705,0.249999999999999); #10872=CIRCLE('',#25707,0.25); #10873=CIRCLE('',#25708,0.5); #10874=CIRCLE('',#25709,0.75); #10875=CIRCLE('',#25711,0.250000000000003); #10876=CIRCLE('',#25713,0.250000000000001); #10877=CIRCLE('',#25714,0.5); #10878=CIRCLE('',#25715,0.75); #10879=CIRCLE('',#25717,0.250000000000001); #10880=CIRCLE('',#25719,0.250000000000002); #10881=CIRCLE('',#25720,0.5); #10882=CIRCLE('',#25721,0.75); #10883=CIRCLE('',#25725,0.75); #10884=CIRCLE('',#25728,0.75); #10885=CIRCLE('',#25730,98.5); #10886=CIRCLE('',#25732,0.75); #10887=CIRCLE('',#25736,0.1335); #10888=CIRCLE('',#25738,0.1335); #10889=CIRCLE('',#25740,0.1335); #10890=CIRCLE('',#25742,0.1335); #10891=CIRCLE('',#25744,0.1335); #10892=CIRCLE('',#25746,0.1335); #10893=CIRCLE('',#25748,0.1335); #10894=CIRCLE('',#25750,0.1335); #10895=CIRCLE('',#25752,0.1335); #10896=CIRCLE('',#25754,0.1335); #10897=CIRCLE('',#25756,0.1335); #10898=CIRCLE('',#25758,0.1335); #10899=CIRCLE('',#25760,0.1335); #10900=CIRCLE('',#25762,0.1335); #10901=CIRCLE('',#25764,0.1335); #10902=CIRCLE('',#25766,0.1335); #10903=CIRCLE('',#25768,0.1335); #10904=CIRCLE('',#25770,0.1335); #10905=CIRCLE('',#25772,0.1335); #10906=CIRCLE('',#25774,0.1335); #10907=CIRCLE('',#25776,0.1335); #10908=CIRCLE('',#25778,0.1335); #10909=CIRCLE('',#25780,0.1335); #10910=CIRCLE('',#25782,0.1335); #10911=CIRCLE('',#25784,0.1335); #10912=CIRCLE('',#25785,0.1335); #10913=CIRCLE('',#25788,0.1335); #10914=CIRCLE('',#25790,0.1335); #10915=CIRCLE('',#25792,0.1335); #10916=CIRCLE('',#25794,0.1335); #10917=CIRCLE('',#25796,0.1335); #10918=CIRCLE('',#25798,0.1335); #10919=CIRCLE('',#25800,0.1335); #10920=CIRCLE('',#25802,0.1335); #10921=CIRCLE('',#25804,0.1335); #10922=CIRCLE('',#25806,0.1335); #10923=CIRCLE('',#25808,0.1335); #10924=CIRCLE('',#25810,0.1335); #10925=CIRCLE('',#25812,0.1335); #10926=CIRCLE('',#25814,0.1335); #10927=CIRCLE('',#25816,0.1335); #10928=CIRCLE('',#25818,0.1335); #10929=CIRCLE('',#25820,0.1335); #10930=CIRCLE('',#25822,0.1335); #10931=CIRCLE('',#25824,0.1335); #10932=CIRCLE('',#25826,0.1335); #10933=CIRCLE('',#25828,0.1335); #10934=CIRCLE('',#25830,0.1335); #10935=CIRCLE('',#25832,0.1335); #10936=CIRCLE('',#25834,0.1335); #10937=CIRCLE('',#25836,0.1335); #10938=CIRCLE('',#25838,0.1335); #10939=CIRCLE('',#25840,0.1335); #10940=CIRCLE('',#25842,0.1335); #10941=CIRCLE('',#25844,0.1335); #10942=CIRCLE('',#25846,0.1335); #10943=CIRCLE('',#25848,0.1335); #10944=CIRCLE('',#25850,0.1335); #10945=CIRCLE('',#25852,0.1335); #10946=CIRCLE('',#25854,0.1335); #10947=CIRCLE('',#25856,0.1335); #10948=CIRCLE('',#25858,0.1335); #10949=CIRCLE('',#25860,0.1335); #10950=CIRCLE('',#25862,0.1335); #10951=CIRCLE('',#25864,0.1335); #10952=CIRCLE('',#25866,0.1335); #10953=CIRCLE('',#25868,0.1335); #10954=CIRCLE('',#25870,0.1335); #10955=CIRCLE('',#25872,0.1335); #10956=CIRCLE('',#25874,0.1335); #10957=CIRCLE('',#25876,0.1335); #10958=CIRCLE('',#25878,0.1335); #10959=CIRCLE('',#25880,0.1335); #10960=CIRCLE('',#25882,0.1335); #10961=CIRCLE('',#25884,0.1335); #10962=CIRCLE('',#25886,0.1335); #10963=CIRCLE('',#25888,0.1335); #10964=CIRCLE('',#25890,0.1335); #10965=CIRCLE('',#25892,0.1335); #10966=CIRCLE('',#25894,0.1335); #10967=CIRCLE('',#25896,0.1335); #10968=CIRCLE('',#25898,0.1335); #10969=CIRCLE('',#25900,0.1335); #10970=CIRCLE('',#25902,0.1335); #10971=CIRCLE('',#25904,0.1335); #10972=CIRCLE('',#25906,0.1335); #10973=CIRCLE('',#25908,0.1335); #10974=CIRCLE('',#25910,0.1335); #10975=CIRCLE('',#25922,0.75); #10976=CIRCLE('',#25923,0.75); #10977=CIRCLE('',#25924,0.75); #10978=CIRCLE('',#25937,0.166); #10979=CIRCLE('',#25938,0.166); #10980=CIRCLE('',#25939,0.166); #10981=CIRCLE('',#25940,0.166); #10982=CIRCLE('',#25941,0.166); #10983=CIRCLE('',#25942,0.166); #10984=CIRCLE('',#25943,0.166); #10985=CIRCLE('',#25944,0.166); #10986=CIRCLE('',#25945,0.166); #10987=CIRCLE('',#25946,0.166); #10988=CIRCLE('',#25947,0.166); #10989=CIRCLE('',#25948,0.166); #10990=CIRCLE('',#25949,0.166); #10991=CIRCLE('',#25950,0.166); #10992=CIRCLE('',#25951,0.166); #10993=CIRCLE('',#25952,0.166); #10994=CIRCLE('',#25953,0.166); #10995=CIRCLE('',#25954,0.166); #10996=CIRCLE('',#25955,0.166); #10997=CIRCLE('',#25956,0.166); #10998=CIRCLE('',#25957,0.166); #10999=CIRCLE('',#25958,0.166); #11000=CIRCLE('',#25959,0.166); #11001=CIRCLE('',#25960,0.166); #11002=CIRCLE('',#25961,0.166); #11003=CIRCLE('',#25962,0.166); #11004=CIRCLE('',#25963,0.166); #11005=CIRCLE('',#25964,0.166); #11006=CIRCLE('',#25965,0.166); #11007=CIRCLE('',#25966,0.166); #11008=CIRCLE('',#25967,0.166); #11009=CIRCLE('',#25968,0.166); #11010=CIRCLE('',#25969,0.166); #11011=CIRCLE('',#25970,0.166); #11012=CIRCLE('',#25971,0.166); #11013=CIRCLE('',#25972,0.166); #11014=CIRCLE('',#25974,0.166); #11015=CIRCLE('',#25976,0.166); #11016=CIRCLE('',#25978,0.166); #11017=CIRCLE('',#25980,0.166); #11018=CIRCLE('',#25982,0.166); #11019=CIRCLE('',#25984,0.166); #11020=CIRCLE('',#25986,0.166); #11021=CIRCLE('',#25988,0.166); #11022=CIRCLE('',#25990,0.166); #11023=CIRCLE('',#25992,0.166); #11024=CIRCLE('',#25994,0.166); #11025=CIRCLE('',#25996,0.166); #11026=CIRCLE('',#25998,0.166); #11027=CIRCLE('',#26000,0.166); #11028=CIRCLE('',#26002,0.166); #11029=CIRCLE('',#26004,0.166); #11030=CIRCLE('',#26006,0.166); #11031=CIRCLE('',#26008,0.166); #11032=CIRCLE('',#26010,0.166); #11033=CIRCLE('',#26012,0.166); #11034=CIRCLE('',#26014,0.166); #11035=CIRCLE('',#26016,0.166); #11036=CIRCLE('',#26018,0.166); #11037=CIRCLE('',#26020,0.166); #11038=CIRCLE('',#26022,0.166); #11039=CIRCLE('',#26024,0.166); #11040=CIRCLE('',#26026,0.166); #11041=CIRCLE('',#26028,0.166); #11042=CIRCLE('',#26030,0.166); #11043=CIRCLE('',#26032,0.166); #11044=CIRCLE('',#26034,0.166); #11045=CIRCLE('',#26036,0.166); #11046=CIRCLE('',#26038,0.166); #11047=CIRCLE('',#26040,0.166); #11048=CIRCLE('',#26042,0.166); #11049=CIRCLE('',#26044,0.166); #11050=CIRCLE('',#26046,0.1335); #11051=CIRCLE('',#26048,0.1335); #11052=CIRCLE('',#26050,0.1335); #11053=CIRCLE('',#26052,0.1335); #11054=CIRCLE('',#26054,0.1335); #11055=CIRCLE('',#26056,0.1335); #11056=CIRCLE('',#26058,0.1335); #11057=CIRCLE('',#26060,0.1335); #11058=CIRCLE('',#26062,0.1335); #11059=CIRCLE('',#26064,0.1335); #11060=CIRCLE('',#26066,0.1335); #11061=CIRCLE('',#26068,0.1335); #11062=CIRCLE('',#26070,0.1335); #11063=CIRCLE('',#26072,0.1335); #11064=CIRCLE('',#26078,0.166); #11065=CIRCLE('',#26079,0.166); #11066=CIRCLE('',#26081,0.166); #11067=CIRCLE('',#26082,0.166); #11068=CIRCLE('',#26084,0.166); #11069=CIRCLE('',#26085,0.166); #11070=CIRCLE('',#26087,0.166); #11071=CIRCLE('',#26088,0.166); #11072=CIRCLE('',#26090,0.166); #11073=CIRCLE('',#26091,0.166); #11074=CIRCLE('',#26093,0.166); #11075=CIRCLE('',#26094,0.166); #11076=CIRCLE('',#26096,0.166); #11077=CIRCLE('',#26097,0.166); #11078=CIRCLE('',#26099,0.166); #11079=CIRCLE('',#26100,0.166); #11080=CIRCLE('',#26102,0.166); #11081=CIRCLE('',#26103,0.166); #11082=CIRCLE('',#26105,0.166); #11083=CIRCLE('',#26106,0.166); #11084=CIRCLE('',#26108,0.166); #11085=CIRCLE('',#26109,0.166); #11086=CIRCLE('',#26111,0.166); #11087=CIRCLE('',#26112,0.166); #11088=CIRCLE('',#26114,0.166); #11089=CIRCLE('',#26115,0.166); #11090=CIRCLE('',#26117,0.166); #11091=CIRCLE('',#26118,0.166); #11092=CIRCLE('',#26120,0.166); #11093=CIRCLE('',#26121,0.166); #11094=CIRCLE('',#26123,0.166); #11095=CIRCLE('',#26124,0.166); #11096=CIRCLE('',#26126,0.166); #11097=CIRCLE('',#26127,0.166); #11098=CIRCLE('',#26129,0.166); #11099=CIRCLE('',#26130,0.166); #11100=CIRCLE('',#26132,0.166); #11101=CIRCLE('',#26133,0.166); #11102=CIRCLE('',#26135,0.166); #11103=CIRCLE('',#26136,0.166); #11104=CIRCLE('',#26138,0.166); #11105=CIRCLE('',#26139,0.166); #11106=CIRCLE('',#26141,0.166); #11107=CIRCLE('',#26142,0.166); #11108=CIRCLE('',#26144,0.166); #11109=CIRCLE('',#26145,0.166); #11110=CIRCLE('',#26147,0.166); #11111=CIRCLE('',#26148,0.166); #11112=CIRCLE('',#26150,0.166); #11113=CIRCLE('',#26151,0.166); #11114=CIRCLE('',#26153,0.166); #11115=CIRCLE('',#26154,0.166); #11116=CIRCLE('',#26156,0.166); #11117=CIRCLE('',#26157,0.166); #11118=CIRCLE('',#26159,0.166); #11119=CIRCLE('',#26160,0.166); #11120=CIRCLE('',#26162,0.166); #11121=CIRCLE('',#26163,0.166); #11122=CIRCLE('',#26165,0.166); #11123=CIRCLE('',#26166,0.166); #11124=CIRCLE('',#26168,0.166); #11125=CIRCLE('',#26169,0.166); #11126=CIRCLE('',#26171,0.166); #11127=CIRCLE('',#26172,0.166); #11128=CIRCLE('',#26181,0.1535); #11129=CIRCLE('',#26183,0.1535); #11130=CIRCLE('',#26185,0.1535); #11131=CIRCLE('',#26187,0.1535); #11132=CIRCLE('',#26189,0.1535); #11133=CIRCLE('',#26191,0.1535); #11134=CIRCLE('',#26193,0.1535); #11135=CIRCLE('',#26195,0.1535); #11136=CIRCLE('',#26197,0.1535); #11137=CIRCLE('',#26199,0.1535); #11138=CIRCLE('',#26201,0.1535); #11139=CIRCLE('',#26203,0.1535); #11140=CIRCLE('',#26205,0.1535); #11141=CIRCLE('',#26207,0.1535); #11142=CIRCLE('',#26209,0.1535); #11143=CIRCLE('',#26211,0.1535); #11144=CIRCLE('',#26213,0.1535); #11145=CIRCLE('',#26215,0.1535); #11146=CIRCLE('',#26217,0.1535); #11147=CIRCLE('',#26219,0.1535); #11148=CIRCLE('',#26221,0.1335); #11149=CIRCLE('',#26223,0.1335); #11150=CIRCLE('',#26225,0.1335); #11151=CIRCLE('',#26227,0.1335); #11152=CIRCLE('',#26229,0.1335); #11153=CIRCLE('',#26231,0.1335); #11154=CIRCLE('',#26233,0.1335); #11155=CIRCLE('',#26235,0.1335); #11156=CIRCLE('',#26237,0.1335); #11157=CIRCLE('',#26239,0.1335); #11158=CIRCLE('',#26241,0.1335); #11159=CIRCLE('',#26243,0.1335); #11160=CIRCLE('',#26245,0.1335); #11161=CIRCLE('',#26247,0.1335); #11162=CIRCLE('',#26249,0.1335); #11163=CIRCLE('',#26251,0.1335); #11164=CIRCLE('',#26253,0.1335); #11165=CIRCLE('',#26255,0.1335); #11166=CIRCLE('',#26257,0.1335); #11167=CIRCLE('',#26259,0.1335); #11168=CIRCLE('',#26261,0.1335); #11169=CIRCLE('',#26263,0.1335); #11170=CIRCLE('',#26265,0.1335); #11171=CIRCLE('',#26267,0.1335); #11172=CIRCLE('',#26269,0.1335); #11173=CIRCLE('',#26271,0.1335); #11174=CIRCLE('',#26273,0.1335); #11175=CIRCLE('',#26275,0.1335); #11176=CIRCLE('',#26277,0.166); #11177=CIRCLE('',#26278,0.166); #11178=CIRCLE('',#26280,0.166); #11179=CIRCLE('',#26281,0.166); #11180=CIRCLE('',#26283,0.166); #11181=CIRCLE('',#26284,0.166); #11182=CIRCLE('',#26286,0.166); #11183=CIRCLE('',#26287,0.166); #11184=CIRCLE('',#26289,0.166); #11185=CIRCLE('',#26290,0.166); #11186=CIRCLE('',#26292,0.166); #11187=CIRCLE('',#26293,0.166); #11188=CIRCLE('',#26295,0.166); #11189=CIRCLE('',#26296,0.166); #11190=CIRCLE('',#26298,0.166); #11191=CIRCLE('',#26299,0.166); #11192=CIRCLE('',#26301,0.166); #11193=CIRCLE('',#26302,0.166); #11194=CIRCLE('',#26304,0.166); #11195=CIRCLE('',#26305,0.166); #11196=CIRCLE('',#26307,0.166); #11197=CIRCLE('',#26308,0.166); #11198=CIRCLE('',#26310,0.166); #11199=CIRCLE('',#26311,0.166); #11200=CIRCLE('',#26313,0.166); #11201=CIRCLE('',#26314,0.166); #11202=CIRCLE('',#26316,0.166); #11203=CIRCLE('',#26317,0.166); #11204=CIRCLE('',#26319,0.166); #11205=CIRCLE('',#26320,0.166); #11206=CIRCLE('',#26322,0.166); #11207=CIRCLE('',#26323,0.166); #11208=CIRCLE('',#26325,0.166); #11209=CIRCLE('',#26326,0.166); #11210=CIRCLE('',#26328,0.166); #11211=CIRCLE('',#26329,0.166); #11212=CIRCLE('',#26341,0.166); #11213=CIRCLE('',#26342,0.166); #11214=CIRCLE('',#26343,0.166); #11215=CIRCLE('',#26344,0.166); #11216=CIRCLE('',#26345,0.166); #11217=CIRCLE('',#26346,0.166); #11218=CIRCLE('',#26347,0.166); #11219=CIRCLE('',#26349,97.928); #11220=CIRCLE('',#26350,0.166); #11221=CIRCLE('',#26351,0.166); #11222=CIRCLE('',#26352,0.166); #11223=CIRCLE('',#26353,0.166); #11224=CIRCLE('',#26354,0.166); #11225=CIRCLE('',#26355,0.166); #11226=CIRCLE('',#26357,80.318); #11227=CIRCLE('',#26358,0.166); #11228=CIRCLE('',#26359,0.166); #11229=CIRCLE('',#26360,0.166); #11230=CIRCLE('',#26361,0.166); #11231=CIRCLE('',#26362,0.166); #11232=CIRCLE('',#26363,0.166); #11233=CIRCLE('',#26364,0.166); #11234=CIRCLE('',#26365,0.166); #11235=CIRCLE('',#26367,0.166); #11236=CIRCLE('',#26369,0.166); #11237=CIRCLE('',#26371,0.166); #11238=CIRCLE('',#26373,0.166); #11239=CIRCLE('',#26375,0.166); #11240=CIRCLE('',#26377,0.166); #11241=CIRCLE('',#26379,97.928); #11242=CIRCLE('',#26381,80.3179999999999); #11243=CIRCLE('',#26382,0.166); #11244=CIRCLE('',#26383,0.166); #11245=CIRCLE('',#26384,0.166); #11246=CIRCLE('',#26385,0.166); #11247=CIRCLE('',#26386,0.166); #11248=CIRCLE('',#26387,0.166); #11249=CIRCLE('',#26388,0.166); #11250=CIRCLE('',#26389,0.166); #11251=CIRCLE('',#26390,0.166); #11252=CIRCLE('',#26391,0.166); #11253=CIRCLE('',#26392,0.166); #11254=CIRCLE('',#26393,0.166); #11255=CIRCLE('',#26394,0.166); #11256=CIRCLE('',#26395,0.166); #11257=CIRCLE('',#26396,0.166); #11258=CIRCLE('',#26420,0.1335); #11259=CIRCLE('',#26422,0.1335); #11260=CIRCLE('',#26424,0.1335); #11261=CIRCLE('',#26426,0.1335); #11262=CIRCLE('',#26428,0.1335); #11263=CIRCLE('',#26430,0.1335); #11264=CIRCLE('',#26432,0.1335); #11265=CIRCLE('',#26434,0.1335); #11266=CIRCLE('',#26436,0.1335); #11267=CIRCLE('',#26438,0.1335); #11268=CIRCLE('',#26440,0.1335); #11269=CIRCLE('',#26442,0.1335); #11270=CIRCLE('',#26444,0.1335); #11271=CIRCLE('',#26446,0.1335); #11272=CIRCLE('',#26448,0.1335); #11273=CIRCLE('',#26450,0.1335); #11274=CIRCLE('',#26452,0.1335); #11275=CIRCLE('',#26454,0.1335); #11276=CIRCLE('',#26456,0.1335); #11277=CIRCLE('',#26458,0.1335); #11278=CIRCLE('',#26460,0.1335); #11279=CIRCLE('',#26462,0.1335); #11280=CIRCLE('',#26464,0.1335); #11281=CIRCLE('',#26466,0.1335); #11282=CIRCLE('',#26468,0.1335); #11283=CIRCLE('',#26470,0.1335); #11284=CIRCLE('',#26472,0.1335); #11285=CIRCLE('',#26474,0.1335); #11286=CIRCLE('',#26476,0.1335); #11287=CIRCLE('',#26478,0.1335); #11288=CIRCLE('',#26480,0.1335); #11289=CIRCLE('',#26482,0.1335); #11290=CIRCLE('',#26484,0.1335); #11291=CIRCLE('',#26486,0.1335); #11292=CIRCLE('',#26488,0.1335); #11293=CIRCLE('',#26490,0.1335); #11294=CIRCLE('',#26492,0.1335); #11295=CIRCLE('',#26494,0.1335); #11296=CIRCLE('',#26496,0.1335); #11297=CIRCLE('',#26498,0.1335); #11298=CIRCLE('',#26500,0.1335); #11299=CIRCLE('',#26502,0.1335); #11300=CIRCLE('',#26504,0.1335); #11301=CIRCLE('',#26506,0.1335); #11302=CIRCLE('',#26508,0.1335); #11303=CIRCLE('',#26510,0.1335); #11304=CIRCLE('',#26512,0.1335); #11305=CIRCLE('',#26514,0.1335); #11306=CIRCLE('',#26516,0.1335); #11307=CIRCLE('',#26518,0.1335); #11308=CIRCLE('',#26520,0.1335); #11309=CIRCLE('',#26522,0.1335); #11310=CIRCLE('',#26524,0.1335); #11311=CIRCLE('',#26526,0.1335); #11312=CIRCLE('',#26528,0.1335); #11313=CIRCLE('',#26530,0.1335); #11314=CIRCLE('',#26532,0.1335); #11315=CIRCLE('',#26534,0.1335); #11316=CIRCLE('',#26536,0.1335); #11317=CIRCLE('',#26538,0.1335); #11318=CIRCLE('',#26540,0.1335); #11319=CIRCLE('',#26542,0.1335); #11320=CIRCLE('',#26544,0.1335); #11321=CIRCLE('',#26546,0.1335); #11322=CIRCLE('',#26548,0.1335); #11323=CIRCLE('',#26550,0.1335); #11324=CIRCLE('',#26552,0.1335); #11325=CIRCLE('',#26554,0.1335); #11326=CIRCLE('',#26556,0.1335); #11327=CIRCLE('',#26558,0.1335); #11328=CIRCLE('',#26560,0.1335); #11329=CIRCLE('',#26562,0.1335); #11330=CIRCLE('',#26567,0.5); #11331=CIRCLE('',#26572,0.5); #11332=CIRCLE('',#26579,0.5); #11333=CIRCLE('',#26580,0.5); #11334=CIRCLE('',#26582,0.5); #11335=CIRCLE('',#26583,0.166); #11336=CIRCLE('',#26584,0.166); #11337=CIRCLE('',#26585,0.166); #11338=CIRCLE('',#26586,0.166); #11339=CIRCLE('',#26587,0.166); #11340=CIRCLE('',#26588,0.166); #11341=CIRCLE('',#26589,0.166); #11342=CIRCLE('',#26590,0.166); #11343=CIRCLE('',#26591,0.166); #11344=CIRCLE('',#26592,0.166); #11345=CIRCLE('',#26593,0.166); #11346=CIRCLE('',#26594,0.166); #11347=CIRCLE('',#26595,0.166); #11348=CIRCLE('',#26596,0.166); #11349=CIRCLE('',#26597,0.166); #11350=CIRCLE('',#26598,0.166); #11351=CIRCLE('',#26599,0.166); #11352=CIRCLE('',#26600,0.166); #11353=CIRCLE('',#26601,0.166); #11354=CIRCLE('',#26602,0.166); #11355=CIRCLE('',#26603,0.166); #11356=CIRCLE('',#26606,0.5); #11357=CIRCLE('',#26609,97.927805574297); #11358=CIRCLE('',#26610,0.166); #11359=CIRCLE('',#26611,0.166); #11360=CIRCLE('',#26612,0.166); #11361=CIRCLE('',#26613,0.166); #11362=CIRCLE('',#26614,0.166); #11363=CIRCLE('',#26615,0.166); #11364=CIRCLE('',#26616,0.166); #11365=CIRCLE('',#26617,0.166); #11366=CIRCLE('',#26618,0.166); #11367=CIRCLE('',#26619,0.166); #11368=CIRCLE('',#26620,0.166); #11369=CIRCLE('',#26621,0.166); #11370=CIRCLE('',#26627,80.3181714265491); #11371=CIRCLE('',#26628,0.166); #11372=CIRCLE('',#26629,0.166); #11373=CIRCLE('',#26630,0.166); #11374=CIRCLE('',#26631,0.166); #11375=CIRCLE('',#26632,0.166); #11376=CIRCLE('',#26633,0.166); #11377=CIRCLE('',#26634,0.166); #11378=CIRCLE('',#26635,0.166); #11379=CIRCLE('',#26638,0.166); #11380=CIRCLE('',#26640,0.166); #11381=CIRCLE('',#26642,0.166); #11382=CIRCLE('',#26644,0.166); #11383=CIRCLE('',#26646,0.166); #11384=CIRCLE('',#26648,0.166); #11385=CIRCLE('',#26650,0.166); #11386=CIRCLE('',#26652,0.166); #11387=CIRCLE('',#26654,0.166); #11388=CIRCLE('',#26656,0.166); #11389=CIRCLE('',#26658,0.166); #11390=CIRCLE('',#26660,0.166); #11391=CIRCLE('',#26662,97.927805574297); #11392=CIRCLE('',#26664,80.3181714265491); #11393=CIRCLE('',#26665,0.166); #11394=CIRCLE('',#26666,0.166); #11395=CIRCLE('',#26667,0.166); #11396=CIRCLE('',#26668,0.166); #11397=CIRCLE('',#26669,0.166); #11398=CIRCLE('',#26670,0.166); #11399=CIRCLE('',#26671,0.166); #11400=CIRCLE('',#26672,0.166); #11401=CIRCLE('',#26673,0.166); #11402=CIRCLE('',#26674,0.166); #11403=CIRCLE('',#26675,0.166); #11404=CIRCLE('',#26676,0.166); #11405=CIRCLE('',#26677,0.166); #11406=CIRCLE('',#26678,0.166); #11407=CIRCLE('',#26679,0.166); #11408=CIRCLE('',#26680,0.166); #11409=CIRCLE('',#26681,0.166); #11410=CIRCLE('',#26682,0.166); #11411=CIRCLE('',#26683,0.166); #11412=CIRCLE('',#26684,0.166); #11413=CIRCLE('',#26685,0.166); #11414=CIRCLE('',#26686,0.166); #11415=CIRCLE('',#26687,0.166); #11416=CIRCLE('',#26688,0.166); #11417=CIRCLE('',#26689,0.166); #11418=CIRCLE('',#26690,0.166); #11419=CIRCLE('',#26691,0.166); #11420=CIRCLE('',#26692,0.166); #11421=CIRCLE('',#26693,0.166); #11422=CIRCLE('',#26731,1.); #11423=CIRCLE('',#26732,1.); #11424=CIRCLE('',#26734,1.); #11425=CIRCLE('',#26735,1.); #11426=CIRCLE('',#26737,1.); #11427=CIRCLE('',#26738,1.); #11428=CIRCLE('',#26740,1.); #11429=CIRCLE('',#26741,1.); #11430=CIRCLE('',#26743,0.1335); #11431=CIRCLE('',#26745,0.1335); #11432=CIRCLE('',#26747,0.1335); #11433=CIRCLE('',#26749,0.1335); #11434=CIRCLE('',#26751,0.1335); #11435=CIRCLE('',#26753,0.1335); #11436=CIRCLE('',#26755,0.1985); #11437=CIRCLE('',#26756,0.1985); #11438=CIRCLE('',#26757,0.1985); #11439=CIRCLE('',#26758,0.1985); #11440=CIRCLE('',#26759,0.1985); #11441=CIRCLE('',#26762,0.1985); #11442=CIRCLE('',#26764,0.1985); #11443=CIRCLE('',#26766,0.1985); #11444=CIRCLE('',#26768,0.1985); #11445=CIRCLE('',#26770,0.1985); #11446=CIRCLE('',#26777,0.1535); #11447=CIRCLE('',#26780,0.1535); #11448=CIRCLE('',#26784,0.1535); #11449=CIRCLE('',#26791,0.3125); #11450=CIRCLE('',#26792,0.1985); #11451=CIRCLE('',#26794,0.3125); #11452=CIRCLE('',#26796,0.1985); #11453=CIRCLE('',#26798,0.3125); #11454=CIRCLE('',#26799,0.1985); #11455=CIRCLE('',#26801,0.3125); #11456=CIRCLE('',#26803,0.1985); #11457=CIRCLE('',#26805,0.3125); #11458=CIRCLE('',#26806,0.1985); #11459=CIRCLE('',#26808,0.3125); #11460=CIRCLE('',#26810,0.1985); #11461=CIRCLE('',#26812,0.3125); #11462=CIRCLE('',#26813,0.1985); #11463=CIRCLE('',#26815,0.3125); #11464=CIRCLE('',#26817,0.1985); #11465=CIRCLE('',#26819,0.3125); #11466=CIRCLE('',#26820,0.1985); #11467=CIRCLE('',#26822,0.3125); #11468=CIRCLE('',#26824,0.1985); #11469=CIRCLE('',#26826,0.3125); #11470=CIRCLE('',#26827,0.1985); #11471=CIRCLE('',#26829,0.3125); #11472=CIRCLE('',#26831,0.1985); #11473=CIRCLE('',#26833,0.3125); #11474=CIRCLE('',#26834,0.1985); #11475=CIRCLE('',#26836,0.3125); #11476=CIRCLE('',#26838,0.1985); #11477=CIRCLE('',#26840,0.3125); #11478=CIRCLE('',#26841,0.1985); #11479=CIRCLE('',#26843,0.3125); #11480=CIRCLE('',#26845,0.1985); #11481=CIRCLE('',#26847,0.3125); #11482=CIRCLE('',#26848,0.1985); #11483=CIRCLE('',#26850,0.3125); #11484=CIRCLE('',#26852,0.1985); #11485=CIRCLE('',#26854,0.3125); #11486=CIRCLE('',#26855,0.1985); #11487=CIRCLE('',#26857,0.3125); #11488=CIRCLE('',#26859,0.1985); #11489=CIRCLE('',#26867,0.166); #11490=CIRCLE('',#26868,0.166); #11491=CIRCLE('',#26870,0.166); #11492=CIRCLE('',#26871,0.166); #11493=CIRCLE('',#26873,0.166); #11494=CIRCLE('',#26874,0.166); #11495=CIRCLE('',#26876,0.166); #11496=CIRCLE('',#26877,0.166); #11497=CIRCLE('',#26879,0.166); #11498=CIRCLE('',#26880,0.166); #11499=CIRCLE('',#26882,0.166); #11500=CIRCLE('',#26883,0.166); #11501=CIRCLE('',#26885,0.166); #11502=CIRCLE('',#26886,0.166); #11503=CIRCLE('',#26888,0.166); #11504=CIRCLE('',#26889,0.166); #11505=CIRCLE('',#26891,0.166); #11506=CIRCLE('',#26892,0.166); #11507=CIRCLE('',#26894,0.166); #11508=CIRCLE('',#26895,0.166); #11509=CIRCLE('',#26897,0.166); #11510=CIRCLE('',#26898,0.166); #11511=CIRCLE('',#26900,0.166); #11512=CIRCLE('',#26901,0.166); #11513=CIRCLE('',#26903,0.166); #11514=CIRCLE('',#26904,0.166); #11515=CIRCLE('',#26906,0.166); #11516=CIRCLE('',#26907,0.166); #11517=CIRCLE('',#26909,0.166); #11518=CIRCLE('',#26910,0.166); #11519=CIRCLE('',#26912,0.166); #11520=CIRCLE('',#26913,0.166); #11521=CIRCLE('',#26915,0.166); #11522=CIRCLE('',#26916,0.166); #11523=CIRCLE('',#26918,0.166); #11524=CIRCLE('',#26919,0.166); #11525=CIRCLE('',#26921,0.166); #11526=CIRCLE('',#26922,0.166); #11527=CIRCLE('',#26924,0.166); #11528=CIRCLE('',#26925,0.166); #11529=CIRCLE('',#26927,0.166); #11530=CIRCLE('',#26928,0.166); #11531=CIRCLE('',#26930,0.166); #11532=CIRCLE('',#26931,0.166); #11533=CIRCLE('',#26933,0.166); #11534=CIRCLE('',#26934,0.166); #11535=CIRCLE('',#26936,0.166); #11536=CIRCLE('',#26937,0.166); #11537=CIRCLE('',#26939,0.166); #11538=CIRCLE('',#26940,0.166); #11539=CIRCLE('',#26942,0.166); #11540=CIRCLE('',#26943,0.166); #11541=CIRCLE('',#26945,0.166); #11542=CIRCLE('',#26946,0.166); #11543=CIRCLE('',#26948,0.166); #11544=CIRCLE('',#26949,0.166); #11545=CIRCLE('',#26951,0.166); #11546=CIRCLE('',#26952,0.166); #11547=CIRCLE('',#26954,0.166); #11548=CIRCLE('',#26955,0.166); #11549=CIRCLE('',#26957,0.166); #11550=CIRCLE('',#26958,0.166); #11551=CIRCLE('',#26960,0.166); #11552=CIRCLE('',#26961,0.166); #11553=CIRCLE('',#26963,0.166); #11554=CIRCLE('',#26964,0.166); #11555=CIRCLE('',#26966,0.166); #11556=CIRCLE('',#26967,0.166); #11557=CIRCLE('',#26969,0.166); #11558=CIRCLE('',#26970,0.166); #11559=CIRCLE('',#26972,0.166); #11560=CIRCLE('',#26973,0.166); #11561=CIRCLE('',#26975,0.166); #11562=CIRCLE('',#26976,0.166); #11563=CIRCLE('',#26978,0.166); #11564=CIRCLE('',#26979,0.166); #11565=CIRCLE('',#26981,0.166); #11566=CIRCLE('',#26982,0.166); #11567=CIRCLE('',#26984,0.166); #11568=CIRCLE('',#26985,0.166); #11569=CIRCLE('',#26987,0.166); #11570=CIRCLE('',#26988,0.166); #11571=CIRCLE('',#26990,0.166); #11572=CIRCLE('',#26991,0.166); #11573=CIRCLE('',#26993,0.166); #11574=CIRCLE('',#26994,0.166); #11575=CIRCLE('',#26996,0.166); #11576=CIRCLE('',#26997,0.166); #11577=CIRCLE('',#26999,0.166); #11578=CIRCLE('',#27000,0.166); #11579=CIRCLE('',#27002,0.166); #11580=CIRCLE('',#27003,0.166); #11581=CIRCLE('',#27005,0.166); #11582=CIRCLE('',#27006,0.166); #11583=CIRCLE('',#27008,0.166); #11584=CIRCLE('',#27009,0.166); #11585=CIRCLE('',#27011,0.166); #11586=CIRCLE('',#27012,0.166); #11587=CIRCLE('',#27014,0.166); #11588=CIRCLE('',#27015,0.166); #11589=CIRCLE('',#27017,0.166); #11590=CIRCLE('',#27018,0.166); #11591=CIRCLE('',#27020,0.166); #11592=CIRCLE('',#27021,0.166); #11593=CIRCLE('',#27023,0.166); #11594=CIRCLE('',#27024,0.166); #11595=CIRCLE('',#27026,0.166); #11596=CIRCLE('',#27027,0.166); #11597=CIRCLE('',#27029,0.166); #11598=CIRCLE('',#27030,0.166); #11599=CIRCLE('',#27032,0.166); #11600=CIRCLE('',#27033,0.166); #11601=CIRCLE('',#27035,0.166); #11602=CIRCLE('',#27036,0.166); #11603=CIRCLE('',#27038,0.166); #11604=CIRCLE('',#27039,0.166); #11605=CIRCLE('',#27041,0.166); #11606=CIRCLE('',#27042,0.166); #11607=CIRCLE('',#27044,0.166); #11608=CIRCLE('',#27045,0.166); #11609=CIRCLE('',#27047,0.166); #11610=CIRCLE('',#27048,0.166); #11611=CIRCLE('',#27050,0.166); #11612=CIRCLE('',#27051,0.166); #11613=CIRCLE('',#27053,0.166); #11614=CIRCLE('',#27054,0.166); #11615=CIRCLE('',#27056,0.166); #11616=CIRCLE('',#27057,0.166); #11617=CIRCLE('',#27059,0.166); #11618=CIRCLE('',#27060,0.166); #11619=CIRCLE('',#27062,0.166); #11620=CIRCLE('',#27063,0.166); #11621=CIRCLE('',#27065,0.166); #11622=CIRCLE('',#27066,0.166); #11623=CIRCLE('',#27068,0.166); #11624=CIRCLE('',#27069,0.166); #11625=CIRCLE('',#27071,0.166); #11626=CIRCLE('',#27072,0.166); #11627=CIRCLE('',#27074,0.166); #11628=CIRCLE('',#27075,0.166); #11629=CIRCLE('',#27077,0.166); #11630=CIRCLE('',#27078,0.166); #11631=CIRCLE('',#27080,0.166); #11632=CIRCLE('',#27081,0.166); #11633=CIRCLE('',#27083,0.166); #11634=CIRCLE('',#27084,0.166); #11635=CIRCLE('',#27086,0.166); #11636=CIRCLE('',#27087,0.166); #11637=CIRCLE('',#27089,0.166); #11638=CIRCLE('',#27090,0.166); #11639=CIRCLE('',#27092,0.166); #11640=CIRCLE('',#27093,0.166); #11641=CIRCLE('',#27095,0.166); #11642=CIRCLE('',#27096,0.166); #11643=CIRCLE('',#27098,0.166); #11644=CIRCLE('',#27099,0.166); #11645=CIRCLE('',#27101,0.166); #11646=CIRCLE('',#27102,0.166); #11647=CIRCLE('',#27104,0.166); #11648=CIRCLE('',#27105,0.166); #11649=CIRCLE('',#27107,0.166); #11650=CIRCLE('',#27108,0.166); #11651=CIRCLE('',#27110,0.166); #11652=CIRCLE('',#27111,0.166); #11653=CIRCLE('',#27113,0.166); #11654=CIRCLE('',#27114,0.166); #11655=CIRCLE('',#27116,0.166); #11656=CIRCLE('',#27117,0.166); #11657=CIRCLE('',#27119,0.166); #11658=CIRCLE('',#27120,0.166); #11659=CIRCLE('',#27122,0.166); #11660=CIRCLE('',#27123,0.166); #11661=CIRCLE('',#27125,0.166); #11662=CIRCLE('',#27126,0.166); #11663=CIRCLE('',#27128,0.166); #11664=CIRCLE('',#27129,0.166); #11665=CIRCLE('',#27131,0.166); #11666=CIRCLE('',#27132,0.166); #11667=CIRCLE('',#27134,0.166); #11668=CIRCLE('',#27135,0.166); #11669=CIRCLE('',#27137,0.166); #11670=CIRCLE('',#27138,0.166); #11671=CIRCLE('',#27140,0.166); #11672=CIRCLE('',#27141,0.166); #11673=CIRCLE('',#27143,0.166); #11674=CIRCLE('',#27144,0.166); #11675=CIRCLE('',#27146,0.166); #11676=CIRCLE('',#27147,0.166); #11677=CIRCLE('',#27149,0.166); #11678=CIRCLE('',#27150,0.166); #11679=CIRCLE('',#27152,0.166); #11680=CIRCLE('',#27153,0.166); #11681=CIRCLE('',#27155,0.375); #11682=CIRCLE('',#27156,2.94499999999999); #11683=CIRCLE('',#27157,0.375000000000412); #11684=CIRCLE('',#27158,3.31999999999999); #11685=CIRCLE('',#27160,0.374999999999991); #11686=CIRCLE('',#27161,69.902); #11687=CIRCLE('',#27162,69.527); #11688=CIRCLE('',#27164,0.374999999999986); #11689=CIRCLE('',#27165,3.31999999999999); #11690=CIRCLE('',#27166,2.94499999999999); #11691=CIRCLE('',#27168,0.375000000000001); #11692=CIRCLE('',#27170,0.375000000000004); #11693=CIRCLE('',#27171,3.31999999999999); #11694=CIRCLE('',#27172,2.94499999999999); #11695=CIRCLE('',#27174,0.375000000000026); #11696=CIRCLE('',#27175,31.9347734880976); #11697=CIRCLE('',#27176,32.3097734880976); #11698=CIRCLE('',#27178,0.374999999999973); #11699=CIRCLE('',#27179,3.31999999999999); #11700=CIRCLE('',#27180,2.94499999999999); #11701=CIRCLE('',#27184,2.94499999999999); #11702=CIRCLE('',#27186,69.527); #11703=CIRCLE('',#27188,2.94499999999999); #11704=CIRCLE('',#27190,30.7847734880976); #11705=CIRCLE('',#27191,71.882); #11706=CIRCLE('',#27194,2.94499999999999); #11707=CIRCLE('',#27196,32.3097734880976); #11708=CIRCLE('',#27198,2.94499999999999); #11709=CIRCLE('',#27201,71.882); #11710=CIRCLE('',#27204,30.7847734880976); #11711=VERTEX_POINT('',#35636); #11712=VERTEX_POINT('',#35638); #11713=VERTEX_POINT('',#35641); #11714=VERTEX_POINT('',#35643); #11715=VERTEX_POINT('',#35646); #11716=VERTEX_POINT('',#35648); #11717=VERTEX_POINT('',#35651); #11718=VERTEX_POINT('',#35653); #11719=VERTEX_POINT('',#35656); #11720=VERTEX_POINT('',#35658); #11721=VERTEX_POINT('',#35661); #11722=VERTEX_POINT('',#35664); #11723=VERTEX_POINT('',#35667); #11724=VERTEX_POINT('',#35669); #11725=VERTEX_POINT('',#35672); #11726=VERTEX_POINT('',#35675); #11727=VERTEX_POINT('',#35678); #11728=VERTEX_POINT('',#35680); #11729=VERTEX_POINT('',#35683); #11730=VERTEX_POINT('',#35685); #11731=VERTEX_POINT('',#35689); #11732=VERTEX_POINT('',#35691); #11733=VERTEX_POINT('',#35694); #11734=VERTEX_POINT('',#35697); #11735=VERTEX_POINT('',#35700); #11736=VERTEX_POINT('',#35702); #11737=VERTEX_POINT('',#35705); #11738=VERTEX_POINT('',#35707); #11739=VERTEX_POINT('',#35711); #11740=VERTEX_POINT('',#35713); #11741=VERTEX_POINT('',#35716); #11742=VERTEX_POINT('',#35719); #11743=VERTEX_POINT('',#35722); #11744=VERTEX_POINT('',#35724); #11745=VERTEX_POINT('',#35727); #11746=VERTEX_POINT('',#35729); #11747=VERTEX_POINT('',#35733); #11748=VERTEX_POINT('',#35735); #11749=VERTEX_POINT('',#35738); #11750=VERTEX_POINT('',#35741); #11751=VERTEX_POINT('',#35744); #11752=VERTEX_POINT('',#35746); #11753=VERTEX_POINT('',#35749); #11754=VERTEX_POINT('',#35751); #11755=VERTEX_POINT('',#35755); #11756=VERTEX_POINT('',#35757); #11757=VERTEX_POINT('',#35760); #11758=VERTEX_POINT('',#35763); #11759=VERTEX_POINT('',#35766); #11760=VERTEX_POINT('',#35768); #11761=VERTEX_POINT('',#35771); #11762=VERTEX_POINT('',#35773); #11763=VERTEX_POINT('',#35777); #11764=VERTEX_POINT('',#35779); #11765=VERTEX_POINT('',#35782); #11766=VERTEX_POINT('',#35785); #11767=VERTEX_POINT('',#35788); #11768=VERTEX_POINT('',#35790); #11769=VERTEX_POINT('',#35793); #11770=VERTEX_POINT('',#35795); #11771=VERTEX_POINT('',#35799); #11772=VERTEX_POINT('',#35801); #11773=VERTEX_POINT('',#35804); #11774=VERTEX_POINT('',#35807); #11775=VERTEX_POINT('',#35810); #11776=VERTEX_POINT('',#35812); #11777=VERTEX_POINT('',#35815); #11778=VERTEX_POINT('',#35817); #11779=VERTEX_POINT('',#35821); #11780=VERTEX_POINT('',#35823); #11781=VERTEX_POINT('',#35826); #11782=VERTEX_POINT('',#35829); #11783=VERTEX_POINT('',#35832); #11784=VERTEX_POINT('',#35834); #11785=VERTEX_POINT('',#35837); #11786=VERTEX_POINT('',#35840); #11787=VERTEX_POINT('',#35843); #11788=VERTEX_POINT('',#35845); #11789=VERTEX_POINT('',#35848); #11790=VERTEX_POINT('',#35851); #11791=VERTEX_POINT('',#35854); #11792=VERTEX_POINT('',#35856); #11793=VERTEX_POINT('',#35859); #11794=VERTEX_POINT('',#35862); #11795=VERTEX_POINT('',#35865); #11796=VERTEX_POINT('',#35867); #11797=VERTEX_POINT('',#35870); #11798=VERTEX_POINT('',#35873); #11799=VERTEX_POINT('',#35876); #11800=VERTEX_POINT('',#35878); #11801=VERTEX_POINT('',#35881); #11802=VERTEX_POINT('',#35884); #11803=VERTEX_POINT('',#35887); #11804=VERTEX_POINT('',#35889); #11805=VERTEX_POINT('',#35892); #11806=VERTEX_POINT('',#35895); #11807=VERTEX_POINT('',#35898); #11808=VERTEX_POINT('',#35900); #11809=VERTEX_POINT('',#35903); #11810=VERTEX_POINT('',#35906); #11811=VERTEX_POINT('',#35909); #11812=VERTEX_POINT('',#35911); #11813=VERTEX_POINT('',#35914); #11814=VERTEX_POINT('',#35917); #11815=VERTEX_POINT('',#35920); #11816=VERTEX_POINT('',#35922); #11817=VERTEX_POINT('',#35925); #11818=VERTEX_POINT('',#35928); #11819=VERTEX_POINT('',#35931); #11820=VERTEX_POINT('',#35933); #11821=VERTEX_POINT('',#35936); #11822=VERTEX_POINT('',#35939); #11823=VERTEX_POINT('',#35942); #11824=VERTEX_POINT('',#35944); #11825=VERTEX_POINT('',#35947); #11826=VERTEX_POINT('',#35950); #11827=VERTEX_POINT('',#35953); #11828=VERTEX_POINT('',#35955); #11829=VERTEX_POINT('',#35958); #11830=VERTEX_POINT('',#35961); #11831=VERTEX_POINT('',#35964); #11832=VERTEX_POINT('',#35966); #11833=VERTEX_POINT('',#35969); #11834=VERTEX_POINT('',#35972); #11835=VERTEX_POINT('',#35975); #11836=VERTEX_POINT('',#35977); #11837=VERTEX_POINT('',#35980); #11838=VERTEX_POINT('',#35983); #11839=VERTEX_POINT('',#35986); #11840=VERTEX_POINT('',#35988); #11841=VERTEX_POINT('',#35991); #11842=VERTEX_POINT('',#35993); #11843=VERTEX_POINT('',#35996); #11844=VERTEX_POINT('',#35999); #11845=VERTEX_POINT('',#36002); #11846=VERTEX_POINT('',#36005); #11847=VERTEX_POINT('',#36008); #11848=VERTEX_POINT('',#36011); #11849=VERTEX_POINT('',#36014); #11850=VERTEX_POINT('',#36017); #11851=VERTEX_POINT('',#36020); #11852=VERTEX_POINT('',#36023); #11853=VERTEX_POINT('',#36026); #11854=VERTEX_POINT('',#36029); #11855=VERTEX_POINT('',#36032); #11856=VERTEX_POINT('',#36035); #11857=VERTEX_POINT('',#36038); #11858=VERTEX_POINT('',#36041); #11859=VERTEX_POINT('',#36044); #11860=VERTEX_POINT('',#36047); #11861=VERTEX_POINT('',#36050); #11862=VERTEX_POINT('',#36053); #11863=VERTEX_POINT('',#36056); #11864=VERTEX_POINT('',#36059); #11865=VERTEX_POINT('',#36062); #11866=VERTEX_POINT('',#36065); #11867=VERTEX_POINT('',#36068); #11868=VERTEX_POINT('',#36071); #11869=VERTEX_POINT('',#36074); #11870=VERTEX_POINT('',#36077); #11871=VERTEX_POINT('',#36080); #11872=VERTEX_POINT('',#36083); #11873=VERTEX_POINT('',#36086); #11874=VERTEX_POINT('',#36089); #11875=VERTEX_POINT('',#36092); #11876=VERTEX_POINT('',#36095); #11877=VERTEX_POINT('',#36098); #11878=VERTEX_POINT('',#36101); #11879=VERTEX_POINT('',#36104); #11880=VERTEX_POINT('',#36107); #11881=VERTEX_POINT('',#36110); #11882=VERTEX_POINT('',#36113); #11883=VERTEX_POINT('',#36116); #11884=VERTEX_POINT('',#36119); #11885=VERTEX_POINT('',#36122); #11886=VERTEX_POINT('',#36124); #11887=VERTEX_POINT('',#36127); #11888=VERTEX_POINT('',#36129); #11889=VERTEX_POINT('',#36132); #11890=VERTEX_POINT('',#36134); #11891=VERTEX_POINT('',#36137); #11892=VERTEX_POINT('',#36139); #11893=VERTEX_POINT('',#36142); #11894=VERTEX_POINT('',#36144); #11895=VERTEX_POINT('',#36147); #11896=VERTEX_POINT('',#36149); #11897=VERTEX_POINT('',#36152); #11898=VERTEX_POINT('',#36154); #11899=VERTEX_POINT('',#36157); #11900=VERTEX_POINT('',#36159); #11901=VERTEX_POINT('',#36162); #11902=VERTEX_POINT('',#36164); #11903=VERTEX_POINT('',#36167); #11904=VERTEX_POINT('',#36169); #11905=VERTEX_POINT('',#36172); #11906=VERTEX_POINT('',#36173); #11907=VERTEX_POINT('',#36175); #11908=VERTEX_POINT('',#36177); #11909=VERTEX_POINT('',#36181); #11910=VERTEX_POINT('',#36182); #11911=VERTEX_POINT('',#36187); #11912=VERTEX_POINT('',#36188); #11913=VERTEX_POINT('',#36193); #11914=VERTEX_POINT('',#36194); #11915=VERTEX_POINT('',#36199); #11916=VERTEX_POINT('',#36200); #11917=VERTEX_POINT('',#36205); #11918=VERTEX_POINT('',#36206); #11919=VERTEX_POINT('',#36211); #11920=VERTEX_POINT('',#36212); #11921=VERTEX_POINT('',#36220); #11922=VERTEX_POINT('',#36222); #11923=VERTEX_POINT('',#36226); #11924=VERTEX_POINT('',#36230); #11925=VERTEX_POINT('',#36234); #11926=VERTEX_POINT('',#36238); #11927=VERTEX_POINT('',#36239); #11928=VERTEX_POINT('',#36241); #11929=VERTEX_POINT('',#36243); #11930=VERTEX_POINT('',#36245); #11931=VERTEX_POINT('',#36247); #11932=VERTEX_POINT('',#36249); #11933=VERTEX_POINT('',#36251); #11934=VERTEX_POINT('',#36255); #11935=VERTEX_POINT('',#36259); #11936=VERTEX_POINT('',#36263); #11937=VERTEX_POINT('',#36269); #11938=VERTEX_POINT('',#36271); #11939=VERTEX_POINT('',#36274); #11940=VERTEX_POINT('',#36276); #11941=VERTEX_POINT('',#36279); #11942=VERTEX_POINT('',#36281); #11943=VERTEX_POINT('',#36284); #11944=VERTEX_POINT('',#36286); #11945=VERTEX_POINT('',#36289); #11946=VERTEX_POINT('',#36291); #11947=VERTEX_POINT('',#36294); #11948=VERTEX_POINT('',#36296); #11949=VERTEX_POINT('',#36299); #11950=VERTEX_POINT('',#36301); #11951=VERTEX_POINT('',#36304); #11952=VERTEX_POINT('',#36306); #11953=VERTEX_POINT('',#36309); #11954=VERTEX_POINT('',#36311); #11955=VERTEX_POINT('',#36314); #11956=VERTEX_POINT('',#36316); #11957=VERTEX_POINT('',#36319); #11958=VERTEX_POINT('',#36321); #11959=VERTEX_POINT('',#36324); #11960=VERTEX_POINT('',#36326); #11961=VERTEX_POINT('',#36329); #11962=VERTEX_POINT('',#36331); #11963=VERTEX_POINT('',#36334); #11964=VERTEX_POINT('',#36336); #11965=VERTEX_POINT('',#36339); #11966=VERTEX_POINT('',#36341); #11967=VERTEX_POINT('',#36344); #11968=VERTEX_POINT('',#36346); #11969=VERTEX_POINT('',#36349); #11970=VERTEX_POINT('',#36351); #11971=VERTEX_POINT('',#36354); #11972=VERTEX_POINT('',#36356); #11973=VERTEX_POINT('',#36359); #11974=VERTEX_POINT('',#36361); #11975=VERTEX_POINT('',#36364); #11976=VERTEX_POINT('',#36366); #11977=VERTEX_POINT('',#36369); #11978=VERTEX_POINT('',#36371); #11979=VERTEX_POINT('',#36374); #11980=VERTEX_POINT('',#36376); #11981=VERTEX_POINT('',#36379); #11982=VERTEX_POINT('',#36381); #11983=VERTEX_POINT('',#36384); #11984=VERTEX_POINT('',#36386); #11985=VERTEX_POINT('',#36389); #11986=VERTEX_POINT('',#36391); #11987=VERTEX_POINT('',#36394); #11988=VERTEX_POINT('',#36396); #11989=VERTEX_POINT('',#36399); #11990=VERTEX_POINT('',#36401); #11991=VERTEX_POINT('',#36404); #11992=VERTEX_POINT('',#36406); #11993=VERTEX_POINT('',#36409); #11994=VERTEX_POINT('',#36411); #11995=VERTEX_POINT('',#36414); #11996=VERTEX_POINT('',#36416); #11997=VERTEX_POINT('',#36419); #11998=VERTEX_POINT('',#36421); #11999=VERTEX_POINT('',#36424); #12000=VERTEX_POINT('',#36426); #12001=VERTEX_POINT('',#36429); #12002=VERTEX_POINT('',#36431); #12003=VERTEX_POINT('',#36434); #12004=VERTEX_POINT('',#36436); #12005=VERTEX_POINT('',#36439); #12006=VERTEX_POINT('',#36441); #12007=VERTEX_POINT('',#36444); #12008=VERTEX_POINT('',#36446); #12009=VERTEX_POINT('',#36449); #12010=VERTEX_POINT('',#36451); #12011=VERTEX_POINT('',#36454); #12012=VERTEX_POINT('',#36456); #12013=VERTEX_POINT('',#36459); #12014=VERTEX_POINT('',#36461); #12015=VERTEX_POINT('',#36464); #12016=VERTEX_POINT('',#36466); #12017=VERTEX_POINT('',#36469); #12018=VERTEX_POINT('',#36471); #12019=VERTEX_POINT('',#36474); #12020=VERTEX_POINT('',#36476); #12021=VERTEX_POINT('',#36479); #12022=VERTEX_POINT('',#36481); #12023=VERTEX_POINT('',#36484); #12024=VERTEX_POINT('',#36486); #12025=VERTEX_POINT('',#36489); #12026=VERTEX_POINT('',#36491); #12027=VERTEX_POINT('',#36494); #12028=VERTEX_POINT('',#36496); #12029=VERTEX_POINT('',#36499); #12030=VERTEX_POINT('',#36501); #12031=VERTEX_POINT('',#36504); #12032=VERTEX_POINT('',#36506); #12033=VERTEX_POINT('',#36509); #12034=VERTEX_POINT('',#36511); #12035=VERTEX_POINT('',#36514); #12036=VERTEX_POINT('',#36516); #12037=VERTEX_POINT('',#36519); #12038=VERTEX_POINT('',#36521); #12039=VERTEX_POINT('',#36524); #12040=VERTEX_POINT('',#36526); #12041=VERTEX_POINT('',#36529); #12042=VERTEX_POINT('',#36531); #12043=VERTEX_POINT('',#36534); #12044=VERTEX_POINT('',#36536); #12045=VERTEX_POINT('',#36539); #12046=VERTEX_POINT('',#36541); #12047=VERTEX_POINT('',#36544); #12048=VERTEX_POINT('',#36545); #12049=VERTEX_POINT('',#36547); #12050=VERTEX_POINT('',#36549); #12051=VERTEX_POINT('',#36553); #12052=VERTEX_POINT('',#36555); #12053=VERTEX_POINT('',#36559); #12054=VERTEX_POINT('',#36561); #12055=VERTEX_POINT('',#36565); #12056=VERTEX_POINT('',#36567); #12057=VERTEX_POINT('',#36571); #12058=VERTEX_POINT('',#36573); #12059=VERTEX_POINT('',#36577); #12060=VERTEX_POINT('',#36581); #12061=VERTEX_POINT('',#36585); #12062=VERTEX_POINT('',#36589); #12063=VERTEX_POINT('',#36591); #12064=VERTEX_POINT('',#36595); #12065=VERTEX_POINT('',#36599); #12066=VERTEX_POINT('',#36603); #12067=VERTEX_POINT('',#36609); #12068=VERTEX_POINT('',#36611); #12069=VERTEX_POINT('',#36615); #12070=VERTEX_POINT('',#36619); #12071=VERTEX_POINT('',#36625); #12072=VERTEX_POINT('',#36628); #12073=VERTEX_POINT('',#36631); #12074=VERTEX_POINT('',#36634); #12075=VERTEX_POINT('',#36637); #12076=VERTEX_POINT('',#36640); #12077=VERTEX_POINT('',#36643); #12078=VERTEX_POINT('',#36646); #12079=VERTEX_POINT('',#36649); #12080=VERTEX_POINT('',#36652); #12081=VERTEX_POINT('',#36655); #12082=VERTEX_POINT('',#36658); #12083=VERTEX_POINT('',#36661); #12084=VERTEX_POINT('',#36664); #12085=VERTEX_POINT('',#36667); #12086=VERTEX_POINT('',#36670); #12087=VERTEX_POINT('',#36673); #12088=VERTEX_POINT('',#36676); #12089=VERTEX_POINT('',#36679); #12090=VERTEX_POINT('',#36682); #12091=VERTEX_POINT('',#36685); #12092=VERTEX_POINT('',#36688); #12093=VERTEX_POINT('',#36691); #12094=VERTEX_POINT('',#36694); #12095=VERTEX_POINT('',#36697); #12096=VERTEX_POINT('',#36700); #12097=VERTEX_POINT('',#36703); #12098=VERTEX_POINT('',#36706); #12099=VERTEX_POINT('',#36709); #12100=VERTEX_POINT('',#36712); #12101=VERTEX_POINT('',#36715); #12102=VERTEX_POINT('',#36718); #12103=VERTEX_POINT('',#36721); #12104=VERTEX_POINT('',#36724); #12105=VERTEX_POINT('',#36727); #12106=VERTEX_POINT('',#36730); #12107=VERTEX_POINT('',#36733); #12108=VERTEX_POINT('',#36736); #12109=VERTEX_POINT('',#36739); #12110=VERTEX_POINT('',#36742); #12111=VERTEX_POINT('',#36745); #12112=VERTEX_POINT('',#36748); #12113=VERTEX_POINT('',#36751); #12114=VERTEX_POINT('',#36754); #12115=VERTEX_POINT('',#36757); #12116=VERTEX_POINT('',#36760); #12117=VERTEX_POINT('',#36763); #12118=VERTEX_POINT('',#36766); #12119=VERTEX_POINT('',#36769); #12120=VERTEX_POINT('',#36772); #12121=VERTEX_POINT('',#36775); #12122=VERTEX_POINT('',#36778); #12123=VERTEX_POINT('',#36781); #12124=VERTEX_POINT('',#36784); #12125=VERTEX_POINT('',#36787); #12126=VERTEX_POINT('',#36790); #12127=VERTEX_POINT('',#36793); #12128=VERTEX_POINT('',#36796); #12129=VERTEX_POINT('',#36799); #12130=VERTEX_POINT('',#36802); #12131=VERTEX_POINT('',#36805); #12132=VERTEX_POINT('',#36808); #12133=VERTEX_POINT('',#36811); #12134=VERTEX_POINT('',#36814); #12135=VERTEX_POINT('',#36817); #12136=VERTEX_POINT('',#36820); #12137=VERTEX_POINT('',#36823); #12138=VERTEX_POINT('',#36826); #12139=VERTEX_POINT('',#36829); #12140=VERTEX_POINT('',#36832); #12141=VERTEX_POINT('',#36835); #12142=VERTEX_POINT('',#36836); #12143=VERTEX_POINT('',#36838); #12144=VERTEX_POINT('',#36840); #12145=VERTEX_POINT('',#36844); #12146=VERTEX_POINT('',#36846); #12147=VERTEX_POINT('',#36848); #12148=VERTEX_POINT('',#36850); #12149=VERTEX_POINT('',#36854); #12150=VERTEX_POINT('',#36858); #12151=VERTEX_POINT('',#36862); #12152=VERTEX_POINT('',#36866); #12153=VERTEX_POINT('',#36874); #12154=VERTEX_POINT('',#36877); #12155=VERTEX_POINT('',#36880); #12156=VERTEX_POINT('',#36883); #12157=VERTEX_POINT('',#36886); #12158=VERTEX_POINT('',#36889); #12159=VERTEX_POINT('',#36892); #12160=VERTEX_POINT('',#36895); #12161=VERTEX_POINT('',#36898); #12162=VERTEX_POINT('',#36901); #12163=VERTEX_POINT('',#36904); #12164=VERTEX_POINT('',#36907); #12165=VERTEX_POINT('',#36910); #12166=VERTEX_POINT('',#36913); #12167=VERTEX_POINT('',#36916); #12168=VERTEX_POINT('',#36918); #12169=VERTEX_POINT('',#36921); #12170=VERTEX_POINT('',#36923); #12171=VERTEX_POINT('',#36926); #12172=VERTEX_POINT('',#36928); #12173=VERTEX_POINT('',#36931); #12174=VERTEX_POINT('',#36933); #12175=VERTEX_POINT('',#36936); #12176=VERTEX_POINT('',#36938); #12177=VERTEX_POINT('',#36941); #12178=VERTEX_POINT('',#36943); #12179=VERTEX_POINT('',#36946); #12180=VERTEX_POINT('',#36948); #12181=VERTEX_POINT('',#36951); #12182=VERTEX_POINT('',#36953); #12183=VERTEX_POINT('',#36956); #12184=VERTEX_POINT('',#36958); #12185=VERTEX_POINT('',#36961); #12186=VERTEX_POINT('',#36963); #12187=VERTEX_POINT('',#36966); #12188=VERTEX_POINT('',#36968); #12189=VERTEX_POINT('',#36971); #12190=VERTEX_POINT('',#36973); #12191=VERTEX_POINT('',#36976); #12192=VERTEX_POINT('',#36978); #12193=VERTEX_POINT('',#36981); #12194=VERTEX_POINT('',#36983); #12195=VERTEX_POINT('',#36986); #12196=VERTEX_POINT('',#36988); #12197=VERTEX_POINT('',#36991); #12198=VERTEX_POINT('',#36993); #12199=VERTEX_POINT('',#36996); #12200=VERTEX_POINT('',#36997); #12201=VERTEX_POINT('',#36999); #12202=VERTEX_POINT('',#37001); #12203=VERTEX_POINT('',#37005); #12204=VERTEX_POINT('',#37007); #12205=VERTEX_POINT('',#37011); #12206=VERTEX_POINT('',#37013); #12207=VERTEX_POINT('',#37017); #12208=VERTEX_POINT('',#37019); #12209=VERTEX_POINT('',#37021); #12210=VERTEX_POINT('',#37023); #12211=VERTEX_POINT('',#37025); #12212=VERTEX_POINT('',#37027); #12213=VERTEX_POINT('',#37031); #12214=VERTEX_POINT('',#37033); #12215=VERTEX_POINT('',#37039); #12216=VERTEX_POINT('',#37041); #12217=VERTEX_POINT('',#37043); #12218=VERTEX_POINT('',#37045); #12219=VERTEX_POINT('',#37059); #12220=VERTEX_POINT('',#37062); #12221=VERTEX_POINT('',#37065); #12222=VERTEX_POINT('',#37068); #12223=VERTEX_POINT('',#37071); #12224=VERTEX_POINT('',#37074); #12225=VERTEX_POINT('',#37077); #12226=VERTEX_POINT('',#37080); #12227=VERTEX_POINT('',#37083); #12228=VERTEX_POINT('',#37086); #12229=VERTEX_POINT('',#37089); #12230=VERTEX_POINT('',#37091); #12231=VERTEX_POINT('',#37094); #12232=VERTEX_POINT('',#37096); #12233=VERTEX_POINT('',#37099); #12234=VERTEX_POINT('',#37101); #12235=VERTEX_POINT('',#37104); #12236=VERTEX_POINT('',#37106); #12237=VERTEX_POINT('',#37109); #12238=VERTEX_POINT('',#37111); #12239=VERTEX_POINT('',#37114); #12240=VERTEX_POINT('',#37116); #12241=VERTEX_POINT('',#37119); #12242=VERTEX_POINT('',#37121); #12243=VERTEX_POINT('',#37124); #12244=VERTEX_POINT('',#37126); #12245=VERTEX_POINT('',#37129); #12246=VERTEX_POINT('',#37131); #12247=VERTEX_POINT('',#37134); #12248=VERTEX_POINT('',#37136); #12249=VERTEX_POINT('',#37139); #12250=VERTEX_POINT('',#37141); #12251=VERTEX_POINT('',#37144); #12252=VERTEX_POINT('',#37146); #12253=VERTEX_POINT('',#37149); #12254=VERTEX_POINT('',#37151); #12255=VERTEX_POINT('',#37154); #12256=VERTEX_POINT('',#37156); #12257=VERTEX_POINT('',#37159); #12258=VERTEX_POINT('',#37161); #12259=VERTEX_POINT('',#37164); #12260=VERTEX_POINT('',#37166); #12261=VERTEX_POINT('',#37169); #12262=VERTEX_POINT('',#37171); #12263=VERTEX_POINT('',#37174); #12264=VERTEX_POINT('',#37175); #12265=VERTEX_POINT('',#37177); #12266=VERTEX_POINT('',#37179); #12267=VERTEX_POINT('',#37183); #12268=VERTEX_POINT('',#37185); #12269=VERTEX_POINT('',#37189); #12270=VERTEX_POINT('',#37190); #12271=VERTEX_POINT('',#37192); #12272=VERTEX_POINT('',#37194); #12273=VERTEX_POINT('',#37201); #12274=VERTEX_POINT('',#37205); #12275=VERTEX_POINT('',#37213); #12276=VERTEX_POINT('',#37216); #12277=VERTEX_POINT('',#37219); #12278=VERTEX_POINT('',#37222); #12279=VERTEX_POINT('',#37225); #12280=VERTEX_POINT('',#37228); #12281=VERTEX_POINT('',#37231); #12282=VERTEX_POINT('',#37234); #12283=VERTEX_POINT('',#37237); #12284=VERTEX_POINT('',#37240); #12285=VERTEX_POINT('',#37243); #12286=VERTEX_POINT('',#37245); #12287=VERTEX_POINT('',#37248); #12288=VERTEX_POINT('',#37250); #12289=VERTEX_POINT('',#37253); #12290=VERTEX_POINT('',#37255); #12291=VERTEX_POINT('',#37258); #12292=VERTEX_POINT('',#37260); #12293=VERTEX_POINT('',#37263); #12294=VERTEX_POINT('',#37265); #12295=VERTEX_POINT('',#37268); #12296=VERTEX_POINT('',#37270); #12297=VERTEX_POINT('',#37273); #12298=VERTEX_POINT('',#37275); #12299=VERTEX_POINT('',#37278); #12300=VERTEX_POINT('',#37280); #12301=VERTEX_POINT('',#37283); #12302=VERTEX_POINT('',#37285); #12303=VERTEX_POINT('',#37288); #12304=VERTEX_POINT('',#37290); #12305=VERTEX_POINT('',#37293); #12306=VERTEX_POINT('',#37295); #12307=VERTEX_POINT('',#37298); #12308=VERTEX_POINT('',#37300); #12309=VERTEX_POINT('',#37303); #12310=VERTEX_POINT('',#37305); #12311=VERTEX_POINT('',#37308); #12312=VERTEX_POINT('',#37310); #12313=VERTEX_POINT('',#37313); #12314=VERTEX_POINT('',#37315); #12315=VERTEX_POINT('',#37318); #12316=VERTEX_POINT('',#37320); #12317=VERTEX_POINT('',#37323); #12318=VERTEX_POINT('',#37325); #12319=VERTEX_POINT('',#37328); #12320=VERTEX_POINT('',#37329); #12321=VERTEX_POINT('',#37331); #12322=VERTEX_POINT('',#37333); #12323=VERTEX_POINT('',#37337); #12324=VERTEX_POINT('',#37339); #12325=VERTEX_POINT('',#37341); #12326=VERTEX_POINT('',#37343); #12327=VERTEX_POINT('',#37347); #12328=VERTEX_POINT('',#37351); #12329=VERTEX_POINT('',#37353); #12330=VERTEX_POINT('',#37355); #12331=VERTEX_POINT('',#37367); #12332=VERTEX_POINT('',#37370); #12333=VERTEX_POINT('',#37373); #12334=VERTEX_POINT('',#37376); #12335=VERTEX_POINT('',#37379); #12336=VERTEX_POINT('',#37382); #12337=VERTEX_POINT('',#37385); #12338=VERTEX_POINT('',#37388); #12339=VERTEX_POINT('',#37391); #12340=VERTEX_POINT('',#37394); #12341=VERTEX_POINT('',#37397); #12342=VERTEX_POINT('',#37400); #12343=VERTEX_POINT('',#37403); #12344=VERTEX_POINT('',#37406); #12345=VERTEX_POINT('',#37409); #12346=VERTEX_POINT('',#37412); #12347=VERTEX_POINT('',#37415); #12348=VERTEX_POINT('',#37418); #12349=VERTEX_POINT('',#37421); #12350=VERTEX_POINT('',#37424); #12351=VERTEX_POINT('',#37427); #12352=VERTEX_POINT('',#37430); #12353=VERTEX_POINT('',#37433); #12354=VERTEX_POINT('',#37434); #12355=VERTEX_POINT('',#37472); #12356=VERTEX_POINT('',#37475); #12357=VERTEX_POINT('',#37479); #12358=VERTEX_POINT('',#37482); #12359=VERTEX_POINT('',#37485); #12360=VERTEX_POINT('',#37488); #12361=VERTEX_POINT('',#37491); #12362=VERTEX_POINT('',#37494); #12363=VERTEX_POINT('',#37497); #12364=VERTEX_POINT('',#37500); #12365=VERTEX_POINT('',#37503); #12366=VERTEX_POINT('',#37506); #12367=VERTEX_POINT('',#37507); #12368=VERTEX_POINT('',#37509); #12369=VERTEX_POINT('',#37511); #12370=VERTEX_POINT('',#37515); #12371=VERTEX_POINT('',#37516); #12372=VERTEX_POINT('',#37521); #12373=VERTEX_POINT('',#37522); #12374=VERTEX_POINT('',#37527); #12375=VERTEX_POINT('',#37528); #12376=VERTEX_POINT('',#37533); #12377=VERTEX_POINT('',#37534); #12378=VERTEX_POINT('',#37539); #12379=VERTEX_POINT('',#37540); #12380=VERTEX_POINT('',#37545); #12381=VERTEX_POINT('',#37546); #12382=VERTEX_POINT('',#37551); #12383=VERTEX_POINT('',#37552); #12384=VERTEX_POINT('',#37557); #12385=VERTEX_POINT('',#37558); #12386=VERTEX_POINT('',#37563); #12387=VERTEX_POINT('',#37564); #12388=VERTEX_POINT('',#37569); #12389=VERTEX_POINT('',#37570); #12390=VERTEX_POINT('',#37575); #12391=VERTEX_POINT('',#37576); #12392=VERTEX_POINT('',#37584); #12393=VERTEX_POINT('',#37586); #12394=VERTEX_POINT('',#37590); #12395=VERTEX_POINT('',#37594); #12396=VERTEX_POINT('',#37598); #12397=VERTEX_POINT('',#37602); #12398=VERTEX_POINT('',#37606); #12399=VERTEX_POINT('',#37610); #12400=VERTEX_POINT('',#37615); #12401=VERTEX_POINT('',#37618); #12402=VERTEX_POINT('',#37621); #12403=VERTEX_POINT('',#37624); #12404=VERTEX_POINT('',#37627); #12405=VERTEX_POINT('',#37630); #12406=VERTEX_POINT('',#37633); #12407=VERTEX_POINT('',#37636); #12408=VERTEX_POINT('',#37640); #12409=VERTEX_POINT('',#37643); #12410=VERTEX_POINT('',#37646); #12411=VERTEX_POINT('',#37649); #12412=VERTEX_POINT('',#37652); #12413=VERTEX_POINT('',#37655); #12414=VERTEX_POINT('',#37658); #12415=VERTEX_POINT('',#37661); #12416=VERTEX_POINT('',#37664); #12417=VERTEX_POINT('',#37667); #12418=VERTEX_POINT('',#37670); #12419=VERTEX_POINT('',#37673); #12420=VERTEX_POINT('',#37676); #12421=VERTEX_POINT('',#37679); #12422=VERTEX_POINT('',#37682); #12423=VERTEX_POINT('',#37685); #12424=VERTEX_POINT('',#37688); #12425=VERTEX_POINT('',#37691); #12426=VERTEX_POINT('',#37694); #12427=VERTEX_POINT('',#37697); #12428=VERTEX_POINT('',#37700); #12429=VERTEX_POINT('',#37703); #12430=VERTEX_POINT('',#37706); #12431=VERTEX_POINT('',#37709); #12432=VERTEX_POINT('',#37712); #12433=VERTEX_POINT('',#37715); #12434=VERTEX_POINT('',#37718); #12435=VERTEX_POINT('',#37721); #12436=VERTEX_POINT('',#37724); #12437=VERTEX_POINT('',#37727); #12438=VERTEX_POINT('',#37730); #12439=VERTEX_POINT('',#37733); #12440=VERTEX_POINT('',#37736); #12441=VERTEX_POINT('',#37739); #12442=VERTEX_POINT('',#37742); #12443=VERTEX_POINT('',#37745); #12444=VERTEX_POINT('',#37748); #12445=VERTEX_POINT('',#37751); #12446=VERTEX_POINT('',#37754); #12447=VERTEX_POINT('',#37757); #12448=VERTEX_POINT('',#37760); #12449=VERTEX_POINT('',#37763); #12450=VERTEX_POINT('',#37766); #12451=VERTEX_POINT('',#37769); #12452=VERTEX_POINT('',#37772); #12453=VERTEX_POINT('',#37775); #12454=VERTEX_POINT('',#37778); #12455=VERTEX_POINT('',#37781); #12456=VERTEX_POINT('',#37784); #12457=VERTEX_POINT('',#37787); #12458=VERTEX_POINT('',#37790); #12459=VERTEX_POINT('',#37793); #12460=VERTEX_POINT('',#37796); #12461=VERTEX_POINT('',#37799); #12462=VERTEX_POINT('',#37802); #12463=VERTEX_POINT('',#37805); #12464=VERTEX_POINT('',#37808); #12465=VERTEX_POINT('',#37811); #12466=VERTEX_POINT('',#37814); #12467=VERTEX_POINT('',#37817); #12468=VERTEX_POINT('',#37820); #12469=VERTEX_POINT('',#37823); #12470=VERTEX_POINT('',#37826); #12471=VERTEX_POINT('',#37829); #12472=VERTEX_POINT('',#37832); #12473=VERTEX_POINT('',#37835); #12474=VERTEX_POINT('',#37838); #12475=VERTEX_POINT('',#37841); #12476=VERTEX_POINT('',#37844); #12477=VERTEX_POINT('',#37847); #12478=VERTEX_POINT('',#37850); #12479=VERTEX_POINT('',#37853); #12480=VERTEX_POINT('',#37856); #12481=VERTEX_POINT('',#37859); #12482=VERTEX_POINT('',#37862); #12483=VERTEX_POINT('',#37865); #12484=VERTEX_POINT('',#37868); #12485=VERTEX_POINT('',#37871); #12486=VERTEX_POINT('',#37874); #12487=VERTEX_POINT('',#37877); #12488=VERTEX_POINT('',#37880); #12489=VERTEX_POINT('',#37883); #12490=VERTEX_POINT('',#37886); #12491=VERTEX_POINT('',#37889); #12492=VERTEX_POINT('',#37892); #12493=VERTEX_POINT('',#37895); #12494=VERTEX_POINT('',#37898); #12495=VERTEX_POINT('',#37901); #12496=VERTEX_POINT('',#37904); #12497=VERTEX_POINT('',#37907); #12498=VERTEX_POINT('',#37910); #12499=VERTEX_POINT('',#37913); #12500=VERTEX_POINT('',#37916); #12501=VERTEX_POINT('',#37919); #12502=VERTEX_POINT('',#37922); #12503=VERTEX_POINT('',#37923); #12504=VERTEX_POINT('',#37925); #12505=VERTEX_POINT('',#37927); #12506=VERTEX_POINT('',#37931); #12507=VERTEX_POINT('',#37933); #12508=VERTEX_POINT('',#37935); #12509=VERTEX_POINT('',#37939); #12510=VERTEX_POINT('',#37941); #12511=VERTEX_POINT('',#37945); #12512=VERTEX_POINT('',#37949); #12513=VERTEX_POINT('',#37951); #12514=VERTEX_POINT('',#37955); #12515=VERTEX_POINT('',#37957); #12516=VERTEX_POINT('',#37961); #12517=VERTEX_POINT('',#37963); #12518=VERTEX_POINT('',#37967); #12519=VERTEX_POINT('',#37969); #12520=VERTEX_POINT('',#37976); #12521=VERTEX_POINT('',#37978); #12522=VERTEX_POINT('',#37980); #12523=VERTEX_POINT('',#37982); #12524=VERTEX_POINT('',#37984); #12525=VERTEX_POINT('',#38001); #12526=VERTEX_POINT('',#38004); #12527=VERTEX_POINT('',#38007); #12528=VERTEX_POINT('',#38046); #12529=VERTEX_POINT('',#38049); #12530=VERTEX_POINT('',#38052); #12531=VERTEX_POINT('',#38055); #12532=VERTEX_POINT('',#38058); #12533=VERTEX_POINT('',#38097); #12534=VERTEX_POINT('',#38100); #12535=VERTEX_POINT('',#38103); #12536=VERTEX_POINT('',#38105); #12537=VERTEX_POINT('',#38108); #12538=VERTEX_POINT('',#38110); #12539=VERTEX_POINT('',#38113); #12540=VERTEX_POINT('',#38115); #12541=VERTEX_POINT('',#38118); #12542=VERTEX_POINT('',#38120); #12543=VERTEX_POINT('',#38123); #12544=VERTEX_POINT('',#38125); #12545=VERTEX_POINT('',#38128); #12546=VERTEX_POINT('',#38130); #12547=VERTEX_POINT('',#38133); #12548=VERTEX_POINT('',#38135); #12549=VERTEX_POINT('',#38138); #12550=VERTEX_POINT('',#38140); #12551=VERTEX_POINT('',#38143); #12552=VERTEX_POINT('',#38145); #12553=VERTEX_POINT('',#38148); #12554=VERTEX_POINT('',#38150); #12555=VERTEX_POINT('',#38153); #12556=VERTEX_POINT('',#38155); #12557=VERTEX_POINT('',#38158); #12558=VERTEX_POINT('',#38160); #12559=VERTEX_POINT('',#38163); #12560=VERTEX_POINT('',#38165); #12561=VERTEX_POINT('',#38168); #12562=VERTEX_POINT('',#38170); #12563=VERTEX_POINT('',#38173); #12564=VERTEX_POINT('',#38175); #12565=VERTEX_POINT('',#38178); #12566=VERTEX_POINT('',#38180); #12567=VERTEX_POINT('',#38183); #12568=VERTEX_POINT('',#38185); #12569=VERTEX_POINT('',#38188); #12570=VERTEX_POINT('',#38190); #12571=VERTEX_POINT('',#38193); #12572=VERTEX_POINT('',#38194); #12573=VERTEX_POINT('',#38196); #12574=VERTEX_POINT('',#38198); #12575=VERTEX_POINT('',#38202); #12576=VERTEX_POINT('',#38204); #12577=VERTEX_POINT('',#38208); #12578=VERTEX_POINT('',#38212); #12579=VERTEX_POINT('',#38220); #12580=VERTEX_POINT('',#38221); #12581=VERTEX_POINT('',#38223); #12582=VERTEX_POINT('',#38225); #12583=VERTEX_POINT('',#38229); #12584=VERTEX_POINT('',#38231); #12585=VERTEX_POINT('',#38233); #12586=VERTEX_POINT('',#38236); #12587=VERTEX_POINT('',#38238); #12588=VERTEX_POINT('',#38240); #12589=VERTEX_POINT('',#38242); #12590=VERTEX_POINT('',#38244); #12591=VERTEX_POINT('',#38246); #12592=VERTEX_POINT('',#38248); #12593=VERTEX_POINT('',#38250); #12594=VERTEX_POINT('',#38253); #12595=VERTEX_POINT('',#38256); #12596=VERTEX_POINT('',#38259); #12597=VERTEX_POINT('',#38262); #12598=VERTEX_POINT('',#38265); #12599=VERTEX_POINT('',#38268); #12600=VERTEX_POINT('',#38271); #12601=VERTEX_POINT('',#38274); #12602=VERTEX_POINT('',#38277); #12603=VERTEX_POINT('',#38280); #12604=VERTEX_POINT('',#38283); #12605=VERTEX_POINT('',#38286); #12606=VERTEX_POINT('',#38289); #12607=VERTEX_POINT('',#38292); #12608=VERTEX_POINT('',#38295); #12609=VERTEX_POINT('',#38298); #12610=VERTEX_POINT('',#38301); #12611=VERTEX_POINT('',#38303); #12612=VERTEX_POINT('',#38305); #12613=VERTEX_POINT('',#38306); #12614=VERTEX_POINT('',#38353); #12615=VERTEX_POINT('',#38356); #12616=VERTEX_POINT('',#38359); #12617=VERTEX_POINT('',#38362); #12618=VERTEX_POINT('',#38365); #12619=VERTEX_POINT('',#38368); #12620=VERTEX_POINT('',#38371); #12621=VERTEX_POINT('',#38374); #12622=VERTEX_POINT('',#38377); #12623=VERTEX_POINT('',#38378); #12624=VERTEX_POINT('',#38418); #12625=VERTEX_POINT('',#38421); #12626=VERTEX_POINT('',#38424); #12627=VERTEX_POINT('',#38427); #12628=VERTEX_POINT('',#38430); #12629=VERTEX_POINT('',#38433); #12630=VERTEX_POINT('',#38436); #12631=VERTEX_POINT('',#38439); #12632=VERTEX_POINT('',#38442); #12633=VERTEX_POINT('',#38445); #12634=VERTEX_POINT('',#38448); #12635=VERTEX_POINT('',#38451); #12636=VERTEX_POINT('',#38454); #12637=VERTEX_POINT('',#38457); #12638=VERTEX_POINT('',#38460); #12639=VERTEX_POINT('',#38463); #12640=VERTEX_POINT('',#38466); #12641=VERTEX_POINT('',#38469); #12642=VERTEX_POINT('',#38472); #12643=VERTEX_POINT('',#38475); #12644=VERTEX_POINT('',#38478); #12645=VERTEX_POINT('',#38481); #12646=VERTEX_POINT('',#38484); #12647=VERTEX_POINT('',#38487); #12648=VERTEX_POINT('',#38490); #12649=VERTEX_POINT('',#38493); #12650=VERTEX_POINT('',#38496); #12651=VERTEX_POINT('',#38499); #12652=VERTEX_POINT('',#38502); #12653=VERTEX_POINT('',#38505); #12654=VERTEX_POINT('',#38508); #12655=VERTEX_POINT('',#38511); #12656=VERTEX_POINT('',#38514); #12657=VERTEX_POINT('',#38517); #12658=VERTEX_POINT('',#38520); #12659=VERTEX_POINT('',#38523); #12660=VERTEX_POINT('',#38526); #12661=VERTEX_POINT('',#38529); #12662=VERTEX_POINT('',#38532); #12663=VERTEX_POINT('',#38535); #12664=VERTEX_POINT('',#38538); #12665=VERTEX_POINT('',#38541); #12666=VERTEX_POINT('',#38544); #12667=VERTEX_POINT('',#38547); #12668=VERTEX_POINT('',#38550); #12669=VERTEX_POINT('',#38553); #12670=VERTEX_POINT('',#38556); #12671=VERTEX_POINT('',#38559); #12672=VERTEX_POINT('',#38562); #12673=VERTEX_POINT('',#38565); #12674=VERTEX_POINT('',#38568); #12675=VERTEX_POINT('',#38571); #12676=VERTEX_POINT('',#38574); #12677=VERTEX_POINT('',#38577); #12678=VERTEX_POINT('',#38580); #12679=VERTEX_POINT('',#38583); #12680=VERTEX_POINT('',#38586); #12681=VERTEX_POINT('',#38589); #12682=VERTEX_POINT('',#38592); #12683=VERTEX_POINT('',#38595); #12684=VERTEX_POINT('',#38598); #12685=VERTEX_POINT('',#38601); #12686=VERTEX_POINT('',#38604); #12687=VERTEX_POINT('',#38607); #12688=VERTEX_POINT('',#38610); #12689=VERTEX_POINT('',#38613); #12690=VERTEX_POINT('',#38616); #12691=VERTEX_POINT('',#38619); #12692=VERTEX_POINT('',#38622); #12693=VERTEX_POINT('',#38625); #12694=VERTEX_POINT('',#38628); #12695=VERTEX_POINT('',#38631); #12696=VERTEX_POINT('',#38634); #12697=VERTEX_POINT('',#38637); #12698=VERTEX_POINT('',#38640); #12699=VERTEX_POINT('',#38643); #12700=VERTEX_POINT('',#38646); #12701=VERTEX_POINT('',#38649); #12702=VERTEX_POINT('',#38652); #12703=VERTEX_POINT('',#38655); #12704=VERTEX_POINT('',#38658); #12705=VERTEX_POINT('',#38661); #12706=VERTEX_POINT('',#38664); #12707=VERTEX_POINT('',#38667); #12708=VERTEX_POINT('',#38670); #12709=VERTEX_POINT('',#38673); #12710=VERTEX_POINT('',#38676); #12711=VERTEX_POINT('',#38679); #12712=VERTEX_POINT('',#38682); #12713=VERTEX_POINT('',#38685); #12714=VERTEX_POINT('',#38688); #12715=VERTEX_POINT('',#38691); #12716=VERTEX_POINT('',#38694); #12717=VERTEX_POINT('',#38697); #12718=VERTEX_POINT('',#38700); #12719=VERTEX_POINT('',#38703); #12720=VERTEX_POINT('',#38706); #12721=VERTEX_POINT('',#38709); #12722=VERTEX_POINT('',#38712); #12723=VERTEX_POINT('',#38715); #12724=VERTEX_POINT('',#38718); #12725=VERTEX_POINT('',#38721); #12726=VERTEX_POINT('',#38724); #12727=VERTEX_POINT('',#38727); #12728=VERTEX_POINT('',#38730); #12729=VERTEX_POINT('',#38733); #12730=VERTEX_POINT('',#38736); #12731=VERTEX_POINT('',#38739); #12732=VERTEX_POINT('',#38742); #12733=VERTEX_POINT('',#38745); #12734=VERTEX_POINT('',#38748); #12735=VERTEX_POINT('',#38751); #12736=VERTEX_POINT('',#38754); #12737=VERTEX_POINT('',#38757); #12738=VERTEX_POINT('',#38760); #12739=VERTEX_POINT('',#38763); #12740=VERTEX_POINT('',#38766); #12741=VERTEX_POINT('',#38769); #12742=VERTEX_POINT('',#38772); #12743=VERTEX_POINT('',#38775); #12744=VERTEX_POINT('',#38778); #12745=VERTEX_POINT('',#38781); #12746=VERTEX_POINT('',#38784); #12747=VERTEX_POINT('',#38787); #12748=VERTEX_POINT('',#38790); #12749=VERTEX_POINT('',#38793); #12750=VERTEX_POINT('',#38796); #12751=VERTEX_POINT('',#38799); #12752=VERTEX_POINT('',#38802); #12753=VERTEX_POINT('',#38805); #12754=VERTEX_POINT('',#38808); #12755=VERTEX_POINT('',#38811); #12756=VERTEX_POINT('',#38814); #12757=VERTEX_POINT('',#38817); #12758=VERTEX_POINT('',#38820); #12759=VERTEX_POINT('',#38823); #12760=VERTEX_POINT('',#38826); #12761=VERTEX_POINT('',#38829); #12762=VERTEX_POINT('',#38832); #12763=VERTEX_POINT('',#38835); #12764=VERTEX_POINT('',#38838); #12765=VERTEX_POINT('',#38841); #12766=VERTEX_POINT('',#38844); #12767=VERTEX_POINT('',#38847); #12768=VERTEX_POINT('',#38850); #12769=VERTEX_POINT('',#38853); #12770=VERTEX_POINT('',#38856); #12771=VERTEX_POINT('',#38859); #12772=VERTEX_POINT('',#38862); #12773=VERTEX_POINT('',#38865); #12774=VERTEX_POINT('',#38869); #12775=VERTEX_POINT('',#38873); #12776=VERTEX_POINT('',#38877); #12777=VERTEX_POINT('',#38881); #12778=VERTEX_POINT('',#38885); #12779=VERTEX_POINT('',#38889); #12780=VERTEX_POINT('',#38893); #12781=VERTEX_POINT('',#38897); #12782=VERTEX_POINT('',#38900); #12783=VERTEX_POINT('',#38903); #12784=VERTEX_POINT('',#38906); #12785=VERTEX_POINT('',#38909); #12786=VERTEX_POINT('',#38912); #12787=VERTEX_POINT('',#38915); #12788=VERTEX_POINT('',#38918); #12789=VERTEX_POINT('',#38921); #12790=VERTEX_POINT('',#38924); #12791=VERTEX_POINT('',#38927); #12792=VERTEX_POINT('',#38930); #12793=VERTEX_POINT('',#38933); #12794=VERTEX_POINT('',#38936); #12795=VERTEX_POINT('',#38939); #12796=VERTEX_POINT('',#38942); #12797=VERTEX_POINT('',#38945); #12798=VERTEX_POINT('',#38948); #12799=VERTEX_POINT('',#38951); #12800=VERTEX_POINT('',#38954); #12801=VERTEX_POINT('',#38957); #12802=VERTEX_POINT('',#38960); #12803=VERTEX_POINT('',#38963); #12804=VERTEX_POINT('',#38966); #12805=VERTEX_POINT('',#38969); #12806=VERTEX_POINT('',#38972); #12807=VERTEX_POINT('',#38975); #12808=VERTEX_POINT('',#38978); #12809=VERTEX_POINT('',#38981); #12810=VERTEX_POINT('',#38984); #12811=VERTEX_POINT('',#38987); #12812=VERTEX_POINT('',#38990); #12813=VERTEX_POINT('',#38991); #12814=VERTEX_POINT('',#38996); #12815=VERTEX_POINT('',#38998); #12816=VERTEX_POINT('',#39000); #12817=VERTEX_POINT('',#39002); #12818=VERTEX_POINT('',#39005); #12819=VERTEX_POINT('',#39006); #12820=VERTEX_POINT('',#39008); #12821=VERTEX_POINT('',#39010); #12822=VERTEX_POINT('',#39012); #12823=VERTEX_POINT('',#39014); #12824=VERTEX_POINT('',#39016); #12825=VERTEX_POINT('',#39018); #12826=VERTEX_POINT('',#39020); #12827=VERTEX_POINT('',#39022); #12828=VERTEX_POINT('',#39024); #12829=VERTEX_POINT('',#39026); #12830=VERTEX_POINT('',#39030); #12831=VERTEX_POINT('',#39034); #12832=VERTEX_POINT('',#39036); #12833=VERTEX_POINT('',#39038); #12834=VERTEX_POINT('',#39042); #12835=VERTEX_POINT('',#39048); #12836=VERTEX_POINT('',#39050); #12837=VERTEX_POINT('',#39053); #12838=VERTEX_POINT('',#39054); #12839=VERTEX_POINT('',#39056); #12840=VERTEX_POINT('',#39058); #12841=VERTEX_POINT('',#39060); #12842=VERTEX_POINT('',#39062); #12843=VERTEX_POINT('',#39064); #12844=VERTEX_POINT('',#39066); #12845=VERTEX_POINT('',#39068); #12846=VERTEX_POINT('',#39070); #12847=VERTEX_POINT('',#39072); #12848=VERTEX_POINT('',#39074); #12849=VERTEX_POINT('',#39099); #12850=VERTEX_POINT('',#39103); #12851=VERTEX_POINT('',#39105); #12852=VERTEX_POINT('',#39109); #12853=VERTEX_POINT('',#39122); #12854=VERTEX_POINT('',#39123); #12855=VERTEX_POINT('',#39125); #12856=VERTEX_POINT('',#39127); #12857=VERTEX_POINT('',#39131); #12858=VERTEX_POINT('',#39132); #12859=VERTEX_POINT('',#39137); #12860=VERTEX_POINT('',#39138); #12861=VERTEX_POINT('',#39143); #12862=VERTEX_POINT('',#39144); #12863=VERTEX_POINT('',#39149); #12864=VERTEX_POINT('',#39150); #12865=VERTEX_POINT('',#39155); #12866=VERTEX_POINT('',#39156); #12867=VERTEX_POINT('',#39161); #12868=VERTEX_POINT('',#39162); #12869=VERTEX_POINT('',#39167); #12870=VERTEX_POINT('',#39168); #12871=VERTEX_POINT('',#39173); #12872=VERTEX_POINT('',#39174); #12873=VERTEX_POINT('',#39179); #12874=VERTEX_POINT('',#39180); #12875=VERTEX_POINT('',#39185); #12876=VERTEX_POINT('',#39186); #12877=VERTEX_POINT('',#39191); #12878=VERTEX_POINT('',#39192); #12879=VERTEX_POINT('',#39200); #12880=VERTEX_POINT('',#39202); #12881=VERTEX_POINT('',#39206); #12882=VERTEX_POINT('',#39210); #12883=VERTEX_POINT('',#39214); #12884=VERTEX_POINT('',#39218); #12885=VERTEX_POINT('',#39222); #12886=VERTEX_POINT('',#39226); #12887=VERTEX_POINT('',#39231); #12888=VERTEX_POINT('',#39234); #12889=VERTEX_POINT('',#39237); #12890=VERTEX_POINT('',#39240); #12891=VERTEX_POINT('',#39243); #12892=VERTEX_POINT('',#39246); #12893=VERTEX_POINT('',#39249); #12894=VERTEX_POINT('',#39252); #12895=VERTEX_POINT('',#39255); #12896=VERTEX_POINT('',#39258); #12897=VERTEX_POINT('',#39261); #12898=VERTEX_POINT('',#39264); #12899=VERTEX_POINT('',#39267); #12900=VERTEX_POINT('',#39270); #12901=VERTEX_POINT('',#39273); #12902=VERTEX_POINT('',#39276); #12903=VERTEX_POINT('',#39279); #12904=VERTEX_POINT('',#39282); #12905=VERTEX_POINT('',#39285); #12906=VERTEX_POINT('',#39288); #12907=VERTEX_POINT('',#39291); #12908=VERTEX_POINT('',#39294); #12909=VERTEX_POINT('',#39297); #12910=VERTEX_POINT('',#39300); #12911=VERTEX_POINT('',#39303); #12912=VERTEX_POINT('',#39305); #12913=VERTEX_POINT('',#39307); #12914=VERTEX_POINT('',#39308); #12915=VERTEX_POINT('',#39357); #12916=VERTEX_POINT('',#39360); #12917=VERTEX_POINT('',#39363); #12918=VERTEX_POINT('',#39366); #12919=VERTEX_POINT('',#39369); #12920=VERTEX_POINT('',#39372); #12921=VERTEX_POINT('',#39375); #12922=VERTEX_POINT('',#39378); #12923=VERTEX_POINT('',#39381); #12924=VERTEX_POINT('',#39384); #12925=VERTEX_POINT('',#39387); #12926=VERTEX_POINT('',#39390); #12927=VERTEX_POINT('',#39393); #12928=VERTEX_POINT('',#39396); #12929=VERTEX_POINT('',#39399); #12930=VERTEX_POINT('',#39402); #12931=VERTEX_POINT('',#39405); #12932=VERTEX_POINT('',#39408); #12933=VERTEX_POINT('',#39411); #12934=VERTEX_POINT('',#39414); #12935=VERTEX_POINT('',#39417); #12936=VERTEX_POINT('',#39420); #12937=VERTEX_POINT('',#39423); #12938=VERTEX_POINT('',#39426); #12939=VERTEX_POINT('',#39429); #12940=VERTEX_POINT('',#39432); #12941=VERTEX_POINT('',#39435); #12942=VERTEX_POINT('',#39438); #12943=VERTEX_POINT('',#39441); #12944=VERTEX_POINT('',#39444); #12945=VERTEX_POINT('',#39447); #12946=VERTEX_POINT('',#39450); #12947=VERTEX_POINT('',#39453); #12948=VERTEX_POINT('',#39456); #12949=VERTEX_POINT('',#39459); #12950=VERTEX_POINT('',#39462); #12951=VERTEX_POINT('',#39465); #12952=VERTEX_POINT('',#39468); #12953=VERTEX_POINT('',#39471); #12954=VERTEX_POINT('',#39474); #12955=VERTEX_POINT('',#39477); #12956=VERTEX_POINT('',#39480); #12957=VERTEX_POINT('',#39483); #12958=VERTEX_POINT('',#39486); #12959=VERTEX_POINT('',#39489); #12960=VERTEX_POINT('',#39492); #12961=VERTEX_POINT('',#39495); #12962=VERTEX_POINT('',#39498); #12963=VERTEX_POINT('',#39501); #12964=VERTEX_POINT('',#39504); #12965=VERTEX_POINT('',#39507); #12966=VERTEX_POINT('',#39510); #12967=VERTEX_POINT('',#39513); #12968=VERTEX_POINT('',#39516); #12969=VERTEX_POINT('',#39519); #12970=VERTEX_POINT('',#39522); #12971=VERTEX_POINT('',#39525); #12972=VERTEX_POINT('',#39528); #12973=VERTEX_POINT('',#39531); #12974=VERTEX_POINT('',#39534); #12975=VERTEX_POINT('',#39537); #12976=VERTEX_POINT('',#39540); #12977=VERTEX_POINT('',#39541); #12978=VERTEX_POINT('',#39543); #12979=VERTEX_POINT('',#39545); #12980=VERTEX_POINT('',#39549); #12981=VERTEX_POINT('',#39551); #12982=VERTEX_POINT('',#39553); #12983=VERTEX_POINT('',#39557); #12984=VERTEX_POINT('',#39561); #12985=VERTEX_POINT('',#39563); #12986=VERTEX_POINT('',#39567); #12987=VERTEX_POINT('',#39569); #12988=VERTEX_POINT('',#39573); #12989=VERTEX_POINT('',#39575); #12990=VERTEX_POINT('',#39577); #12991=VERTEX_POINT('',#39581); #12992=VERTEX_POINT('',#39583); #12993=VERTEX_POINT('',#39589); #12994=VERTEX_POINT('',#39592); #12995=VERTEX_POINT('',#39594); #12996=VERTEX_POINT('',#39596); #12997=VERTEX_POINT('',#39598); #12998=VERTEX_POINT('',#39600); #12999=VERTEX_POINT('',#39619); #13000=VERTEX_POINT('',#39620); #13001=VERTEX_POINT('',#39622); #13002=VERTEX_POINT('',#39624); #13003=VERTEX_POINT('',#39628); #13004=VERTEX_POINT('',#39630); #13005=VERTEX_POINT('',#39634); #13006=VERTEX_POINT('',#39637); #13007=VERTEX_POINT('',#39639); #13008=VERTEX_POINT('',#39641); #13009=VERTEX_POINT('',#39643); #13010=VERTEX_POINT('',#39645); #13011=VERTEX_POINT('',#39647); #13012=VERTEX_POINT('',#39649); #13013=VERTEX_POINT('',#39651); #13014=VERTEX_POINT('',#39653); #13015=VERTEX_POINT('',#39655); #13016=VERTEX_POINT('',#39657); #13017=VERTEX_POINT('',#39659); #13018=VERTEX_POINT('',#39661); #13019=VERTEX_POINT('',#39663); #13020=VERTEX_POINT('',#39665); #13021=VERTEX_POINT('',#39667); #13022=VERTEX_POINT('',#39669); #13023=VERTEX_POINT('',#39671); #13024=VERTEX_POINT('',#39673); #13025=VERTEX_POINT('',#39675); #13026=VERTEX_POINT('',#39677); #13027=VERTEX_POINT('',#39679); #13028=VERTEX_POINT('',#39681); #13029=VERTEX_POINT('',#39683); #13030=VERTEX_POINT('',#39685); #13031=VERTEX_POINT('',#39687); #13032=VERTEX_POINT('',#39689); #13033=VERTEX_POINT('',#39691); #13034=VERTEX_POINT('',#39693); #13035=VERTEX_POINT('',#39695); #13036=VERTEX_POINT('',#39697); #13037=VERTEX_POINT('',#39699); #13038=VERTEX_POINT('',#39701); #13039=VERTEX_POINT('',#39703); #13040=VERTEX_POINT('',#39705); #13041=VERTEX_POINT('',#39707); #13042=VERTEX_POINT('',#39710); #13043=VERTEX_POINT('',#39713); #13044=VERTEX_POINT('',#39716); #13045=VERTEX_POINT('',#39719); #13046=VERTEX_POINT('',#39722); #13047=VERTEX_POINT('',#39725); #13048=VERTEX_POINT('',#39728); #13049=VERTEX_POINT('',#39731); #13050=VERTEX_POINT('',#39734); #13051=VERTEX_POINT('',#39737); #13052=VERTEX_POINT('',#39740); #13053=VERTEX_POINT('',#39743); #13054=VERTEX_POINT('',#39746); #13055=VERTEX_POINT('',#39749); #13056=VERTEX_POINT('',#39752); #13057=VERTEX_POINT('',#39755); #13058=VERTEX_POINT('',#39758); #13059=VERTEX_POINT('',#39761); #13060=VERTEX_POINT('',#39764); #13061=VERTEX_POINT('',#39767); #13062=VERTEX_POINT('',#39770); #13063=VERTEX_POINT('',#39773); #13064=VERTEX_POINT('',#39776); #13065=VERTEX_POINT('',#39779); #13066=VERTEX_POINT('',#39782); #13067=VERTEX_POINT('',#39785); #13068=VERTEX_POINT('',#39788); #13069=VERTEX_POINT('',#39791); #13070=VERTEX_POINT('',#39794); #13071=VERTEX_POINT('',#39797); #13072=VERTEX_POINT('',#39800); #13073=VERTEX_POINT('',#39803); #13074=VERTEX_POINT('',#39806); #13075=VERTEX_POINT('',#39809); #13076=VERTEX_POINT('',#39812); #13077=VERTEX_POINT('',#39815); #13078=VERTEX_POINT('',#39818); #13079=VERTEX_POINT('',#39821); #13080=VERTEX_POINT('',#39824); #13081=VERTEX_POINT('',#39827); #13082=VERTEX_POINT('',#39830); #13083=VERTEX_POINT('',#39833); #13084=VERTEX_POINT('',#39836); #13085=VERTEX_POINT('',#39839); #13086=VERTEX_POINT('',#39842); #13087=VERTEX_POINT('',#39845); #13088=VERTEX_POINT('',#39848); #13089=VERTEX_POINT('',#39851); #13090=VERTEX_POINT('',#39854); #13091=VERTEX_POINT('',#39857); #13092=VERTEX_POINT('',#39860); #13093=VERTEX_POINT('',#39868); #13094=VERTEX_POINT('',#39870); #13095=VERTEX_POINT('',#39873); #13096=VERTEX_POINT('',#39875); #13097=VERTEX_POINT('',#39878); #13098=VERTEX_POINT('',#39880); #13099=VERTEX_POINT('',#39883); #13100=VERTEX_POINT('',#39885); #13101=VERTEX_POINT('',#39888); #13102=VERTEX_POINT('',#39890); #13103=VERTEX_POINT('',#39893); #13104=VERTEX_POINT('',#39895); #13105=VERTEX_POINT('',#39898); #13106=VERTEX_POINT('',#39900); #13107=VERTEX_POINT('',#39903); #13108=VERTEX_POINT('',#39905); #13109=VERTEX_POINT('',#39908); #13110=VERTEX_POINT('',#39910); #13111=VERTEX_POINT('',#39913); #13112=VERTEX_POINT('',#39915); #13113=VERTEX_POINT('',#39918); #13114=VERTEX_POINT('',#39920); #13115=VERTEX_POINT('',#39923); #13116=VERTEX_POINT('',#39925); #13117=VERTEX_POINT('',#39928); #13118=VERTEX_POINT('',#39930); #13119=VERTEX_POINT('',#39933); #13120=VERTEX_POINT('',#39935); #13121=VERTEX_POINT('',#39938); #13122=VERTEX_POINT('',#39940); #13123=VERTEX_POINT('',#39943); #13124=VERTEX_POINT('',#39945); #13125=VERTEX_POINT('',#39948); #13126=VERTEX_POINT('',#39950); #13127=VERTEX_POINT('',#39953); #13128=VERTEX_POINT('',#39955); #13129=VERTEX_POINT('',#39958); #13130=VERTEX_POINT('',#39960); #13131=VERTEX_POINT('',#39963); #13132=VERTEX_POINT('',#39965); #13133=VERTEX_POINT('',#39968); #13134=VERTEX_POINT('',#39970); #13135=VERTEX_POINT('',#39973); #13136=VERTEX_POINT('',#39975); #13137=VERTEX_POINT('',#39978); #13138=VERTEX_POINT('',#39980); #13139=VERTEX_POINT('',#39983); #13140=VERTEX_POINT('',#39985); #13141=VERTEX_POINT('',#39988); #13142=VERTEX_POINT('',#39990); #13143=VERTEX_POINT('',#39993); #13144=VERTEX_POINT('',#39995); #13145=VERTEX_POINT('',#39998); #13146=VERTEX_POINT('',#40000); #13147=VERTEX_POINT('',#40003); #13148=VERTEX_POINT('',#40005); #13149=VERTEX_POINT('',#40008); #13150=VERTEX_POINT('',#40010); #13151=VERTEX_POINT('',#40013); #13152=VERTEX_POINT('',#40015); #13153=VERTEX_POINT('',#40018); #13154=VERTEX_POINT('',#40020); #13155=VERTEX_POINT('',#40023); #13156=VERTEX_POINT('',#40025); #13157=VERTEX_POINT('',#40028); #13158=VERTEX_POINT('',#40029); #13159=VERTEX_POINT('',#40031); #13160=VERTEX_POINT('',#40033); #13161=VERTEX_POINT('',#40037); #13162=VERTEX_POINT('',#40038); #13163=VERTEX_POINT('',#40040); #13164=VERTEX_POINT('',#40042); #13165=VERTEX_POINT('',#40055); #13166=VERTEX_POINT('',#40058); #13167=VERTEX_POINT('',#40061); #13168=VERTEX_POINT('',#40064); #13169=VERTEX_POINT('',#40067); #13170=VERTEX_POINT('',#40070); #13171=VERTEX_POINT('',#40073); #13172=VERTEX_POINT('',#40076); #13173=VERTEX_POINT('',#40079); #13174=VERTEX_POINT('',#40082); #13175=VERTEX_POINT('',#40085); #13176=VERTEX_POINT('',#40088); #13177=VERTEX_POINT('',#40091); #13178=VERTEX_POINT('',#40094); #13179=VERTEX_POINT('',#40097); #13180=VERTEX_POINT('',#40100); #13181=VERTEX_POINT('',#40103); #13182=VERTEX_POINT('',#40106); #13183=VERTEX_POINT('',#40109); #13184=VERTEX_POINT('',#40112); #13185=VERTEX_POINT('',#40115); #13186=VERTEX_POINT('',#40118); #13187=VERTEX_POINT('',#40121); #13188=VERTEX_POINT('',#40124); #13189=VERTEX_POINT('',#40127); #13190=VERTEX_POINT('',#40130); #13191=VERTEX_POINT('',#40133); #13192=VERTEX_POINT('',#40136); #13193=VERTEX_POINT('',#40139); #13194=VERTEX_POINT('',#40142); #13195=VERTEX_POINT('',#40145); #13196=VERTEX_POINT('',#40148); #13197=VERTEX_POINT('',#40151); #13198=VERTEX_POINT('',#40154); #13199=VERTEX_POINT('',#40157); #13200=VERTEX_POINT('',#40160); #13201=VERTEX_POINT('',#40163); #13202=VERTEX_POINT('',#40166); #13203=VERTEX_POINT('',#40169); #13204=VERTEX_POINT('',#40172); #13205=VERTEX_POINT('',#40175); #13206=VERTEX_POINT('',#40178); #13207=VERTEX_POINT('',#40181); #13208=VERTEX_POINT('',#40184); #13209=VERTEX_POINT('',#40187); #13210=VERTEX_POINT('',#40190); #13211=VERTEX_POINT('',#40193); #13212=VERTEX_POINT('',#40196); #13213=VERTEX_POINT('',#40199); #13214=VERTEX_POINT('',#40201); #13215=VERTEX_POINT('',#40204); #13216=VERTEX_POINT('',#40206); #13217=VERTEX_POINT('',#40209); #13218=VERTEX_POINT('',#40211); #13219=VERTEX_POINT('',#40214); #13220=VERTEX_POINT('',#40216); #13221=VERTEX_POINT('',#40219); #13222=VERTEX_POINT('',#40221); #13223=VERTEX_POINT('',#40224); #13224=VERTEX_POINT('',#40226); #13225=VERTEX_POINT('',#40229); #13226=VERTEX_POINT('',#40231); #13227=VERTEX_POINT('',#40234); #13228=VERTEX_POINT('',#40236); #13229=VERTEX_POINT('',#40239); #13230=VERTEX_POINT('',#40241); #13231=VERTEX_POINT('',#40244); #13232=VERTEX_POINT('',#40246); #13233=VERTEX_POINT('',#40249); #13234=VERTEX_POINT('',#40251); #13235=VERTEX_POINT('',#40254); #13236=VERTEX_POINT('',#40256); #13237=VERTEX_POINT('',#40259); #13238=VERTEX_POINT('',#40261); #13239=VERTEX_POINT('',#40264); #13240=VERTEX_POINT('',#40266); #13241=VERTEX_POINT('',#40269); #13242=VERTEX_POINT('',#40271); #13243=VERTEX_POINT('',#40274); #13244=VERTEX_POINT('',#40276); #13245=VERTEX_POINT('',#40279); #13246=VERTEX_POINT('',#40281); #13247=VERTEX_POINT('',#40284); #13248=VERTEX_POINT('',#40286); #13249=VERTEX_POINT('',#40289); #13250=VERTEX_POINT('',#40290); #13251=VERTEX_POINT('',#40292); #13252=VERTEX_POINT('',#40294); #13253=VERTEX_POINT('',#40298); #13254=VERTEX_POINT('',#40300); #13255=VERTEX_POINT('',#40304); #13256=VERTEX_POINT('',#40308); #13257=VERTEX_POINT('',#40316); #13258=VERTEX_POINT('',#40317); #13259=VERTEX_POINT('',#40319); #13260=VERTEX_POINT('',#40321); #13261=VERTEX_POINT('',#40325); #13262=VERTEX_POINT('',#40326); #13263=VERTEX_POINT('',#40328); #13264=VERTEX_POINT('',#40330); #13265=VERTEX_POINT('',#40335); #13266=VERTEX_POINT('',#40337); #13267=VERTEX_POINT('',#40339); #13268=VERTEX_POINT('',#40341); #13269=VERTEX_POINT('',#40346); #13270=VERTEX_POINT('',#40348); #13271=VERTEX_POINT('',#40350); #13272=VERTEX_POINT('',#40352); #13273=VERTEX_POINT('',#40354); #13274=VERTEX_POINT('',#40356); #13275=VERTEX_POINT('',#40358); #13276=VERTEX_POINT('',#40361); #13277=VERTEX_POINT('',#40364); #13278=VERTEX_POINT('',#40366); #13279=VERTEX_POINT('',#40368); #13280=VERTEX_POINT('',#40370); #13281=VERTEX_POINT('',#40372); #13282=VERTEX_POINT('',#40374); #13283=VERTEX_POINT('',#40377); #13284=VERTEX_POINT('',#40379); #13285=VERTEX_POINT('',#40381); #13286=VERTEX_POINT('',#40383); #13287=VERTEX_POINT('',#40385); #13288=VERTEX_POINT('',#40388); #13289=VERTEX_POINT('',#40390); #13290=VERTEX_POINT('',#40392); #13291=VERTEX_POINT('',#40394); #13292=VERTEX_POINT('',#40396); #13293=VERTEX_POINT('',#40398); #13294=VERTEX_POINT('',#40400); #13295=VERTEX_POINT('',#40402); #13296=VERTEX_POINT('',#40405); #13297=VERTEX_POINT('',#40408); #13298=VERTEX_POINT('',#40411); #13299=VERTEX_POINT('',#40414); #13300=VERTEX_POINT('',#40417); #13301=VERTEX_POINT('',#40420); #13302=VERTEX_POINT('',#40423); #13303=VERTEX_POINT('',#40427); #13304=VERTEX_POINT('',#40429); #13305=VERTEX_POINT('',#40431); #13306=VERTEX_POINT('',#40433); #13307=VERTEX_POINT('',#40435); #13308=VERTEX_POINT('',#40438); #13309=VERTEX_POINT('',#40440); #13310=VERTEX_POINT('',#40442); #13311=VERTEX_POINT('',#40444); #13312=VERTEX_POINT('',#40446); #13313=VERTEX_POINT('',#40448); #13314=VERTEX_POINT('',#40450); #13315=VERTEX_POINT('',#40452); #13316=VERTEX_POINT('',#40454); #13317=VERTEX_POINT('',#40456); #13318=VERTEX_POINT('',#40458); #13319=VERTEX_POINT('',#40460); #13320=VERTEX_POINT('',#40462); #13321=VERTEX_POINT('',#40464); #13322=VERTEX_POINT('',#40466); #13323=VERTEX_POINT('',#40496); #13324=VERTEX_POINT('',#40499); #13325=VERTEX_POINT('',#40502); #13326=VERTEX_POINT('',#40505); #13327=VERTEX_POINT('',#40508); #13328=VERTEX_POINT('',#40511); #13329=VERTEX_POINT('',#40514); #13330=VERTEX_POINT('',#40517); #13331=VERTEX_POINT('',#40520); #13332=VERTEX_POINT('',#40523); #13333=VERTEX_POINT('',#40526); #13334=VERTEX_POINT('',#40529); #13335=VERTEX_POINT('',#40532); #13336=VERTEX_POINT('',#40535); #13337=VERTEX_POINT('',#40538); #13338=VERTEX_POINT('',#40541); #13339=VERTEX_POINT('',#40544); #13340=VERTEX_POINT('',#40547); #13341=VERTEX_POINT('',#40550); #13342=VERTEX_POINT('',#40553); #13343=VERTEX_POINT('',#40556); #13344=VERTEX_POINT('',#40559); #13345=VERTEX_POINT('',#40562); #13346=VERTEX_POINT('',#40565); #13347=VERTEX_POINT('',#40568); #13348=VERTEX_POINT('',#40571); #13349=VERTEX_POINT('',#40574); #13350=VERTEX_POINT('',#40577); #13351=VERTEX_POINT('',#40580); #13352=VERTEX_POINT('',#40583); #13353=VERTEX_POINT('',#40586); #13354=VERTEX_POINT('',#40589); #13355=VERTEX_POINT('',#40592); #13356=VERTEX_POINT('',#40595); #13357=VERTEX_POINT('',#40598); #13358=VERTEX_POINT('',#40601); #13359=VERTEX_POINT('',#40604); #13360=VERTEX_POINT('',#40607); #13361=VERTEX_POINT('',#40610); #13362=VERTEX_POINT('',#40613); #13363=VERTEX_POINT('',#40616); #13364=VERTEX_POINT('',#40619); #13365=VERTEX_POINT('',#40622); #13366=VERTEX_POINT('',#40625); #13367=VERTEX_POINT('',#40628); #13368=VERTEX_POINT('',#40631); #13369=VERTEX_POINT('',#40634); #13370=VERTEX_POINT('',#40637); #13371=VERTEX_POINT('',#40640); #13372=VERTEX_POINT('',#40643); #13373=VERTEX_POINT('',#40646); #13374=VERTEX_POINT('',#40649); #13375=VERTEX_POINT('',#40652); #13376=VERTEX_POINT('',#40655); #13377=VERTEX_POINT('',#40658); #13378=VERTEX_POINT('',#40661); #13379=VERTEX_POINT('',#40664); #13380=VERTEX_POINT('',#40667); #13381=VERTEX_POINT('',#40670); #13382=VERTEX_POINT('',#40673); #13383=VERTEX_POINT('',#40676); #13384=VERTEX_POINT('',#40679); #13385=VERTEX_POINT('',#40682); #13386=VERTEX_POINT('',#40685); #13387=VERTEX_POINT('',#40688); #13388=VERTEX_POINT('',#40691); #13389=VERTEX_POINT('',#40694); #13390=VERTEX_POINT('',#40697); #13391=VERTEX_POINT('',#40700); #13392=VERTEX_POINT('',#40703); #13393=VERTEX_POINT('',#40706); #13394=VERTEX_POINT('',#40709); #13395=VERTEX_POINT('',#40712); #13396=VERTEX_POINT('',#40713); #13397=VERTEX_POINT('',#40715); #13398=VERTEX_POINT('',#40717); #13399=VERTEX_POINT('',#40721); #13400=VERTEX_POINT('',#40723); #13401=VERTEX_POINT('',#40725); #13402=VERTEX_POINT('',#40729); #13403=VERTEX_POINT('',#40733); #13404=VERTEX_POINT('',#40735); #13405=VERTEX_POINT('',#40737); #13406=VERTEX_POINT('',#40739); #13407=VERTEX_POINT('',#40741); #13408=VERTEX_POINT('',#40745); #13409=VERTEX_POINT('',#40749); #13410=VERTEX_POINT('',#40751); #13411=VERTEX_POINT('',#40757); #13412=VERTEX_POINT('',#40759); #13413=VERTEX_POINT('',#40765); #13414=VERTEX_POINT('',#40773); #13415=VERTEX_POINT('',#40774); #13416=VERTEX_POINT('',#40776); #13417=VERTEX_POINT('',#40778); #13418=VERTEX_POINT('',#40782); #13419=VERTEX_POINT('',#40784); #13420=VERTEX_POINT('',#40786); #13421=VERTEX_POINT('',#40788); #13422=VERTEX_POINT('',#40790); #13423=VERTEX_POINT('',#40792); #13424=VERTEX_POINT('',#40794); #13425=VERTEX_POINT('',#40796); #13426=VERTEX_POINT('',#40799); #13427=VERTEX_POINT('',#40801); #13428=VERTEX_POINT('',#40803); #13429=VERTEX_POINT('',#40805); #13430=VERTEX_POINT('',#40807); #13431=VERTEX_POINT('',#40809); #13432=VERTEX_POINT('',#40811); #13433=VERTEX_POINT('',#40813); #13434=VERTEX_POINT('',#40815); #13435=VERTEX_POINT('',#40817); #13436=VERTEX_POINT('',#40819); #13437=VERTEX_POINT('',#40821); #13438=VERTEX_POINT('',#40823); #13439=VERTEX_POINT('',#40825); #13440=VERTEX_POINT('',#40827); #13441=VERTEX_POINT('',#40829); #13442=VERTEX_POINT('',#40831); #13443=VERTEX_POINT('',#40833); #13444=VERTEX_POINT('',#40835); #13445=VERTEX_POINT('',#40837); #13446=VERTEX_POINT('',#40839); #13447=VERTEX_POINT('',#40842); #13448=VERTEX_POINT('',#40846); #13449=VERTEX_POINT('',#40850); #13450=VERTEX_POINT('',#40854); #13451=VERTEX_POINT('',#40856); #13452=VERTEX_POINT('',#40858); #13453=VERTEX_POINT('',#40861); #13454=VERTEX_POINT('',#40863); #13455=VERTEX_POINT('',#40865); #13456=VERTEX_POINT('',#40867); #13457=VERTEX_POINT('',#40869); #13458=VERTEX_POINT('',#40871); #13459=VERTEX_POINT('',#40873); #13460=VERTEX_POINT('',#40875); #13461=VERTEX_POINT('',#40877); #13462=VERTEX_POINT('',#40879); #13463=VERTEX_POINT('',#40881); #13464=VERTEX_POINT('',#40883); #13465=VERTEX_POINT('',#40886); #13466=VERTEX_POINT('',#40888); #13467=VERTEX_POINT('',#40892); #13468=VERTEX_POINT('',#40896); #13469=VERTEX_POINT('',#40902); #13470=VERTEX_POINT('',#40904); #13471=VERTEX_POINT('',#40906); #13472=VERTEX_POINT('',#40908); #13473=VERTEX_POINT('',#40910); #13474=VERTEX_POINT('',#40912); #13475=VERTEX_POINT('',#40915); #13476=VERTEX_POINT('',#40917); #13477=VERTEX_POINT('',#40919); #13478=VERTEX_POINT('',#40921); #13479=VERTEX_POINT('',#40923); #13480=VERTEX_POINT('',#40925); #13481=VERTEX_POINT('',#40927); #13482=VERTEX_POINT('',#40929); #13483=VERTEX_POINT('',#40932); #13484=VERTEX_POINT('',#40934); #13485=VERTEX_POINT('',#40938); #13486=VERTEX_POINT('',#40941); #13487=VERTEX_POINT('',#40944); #13488=VERTEX_POINT('',#40947); #13489=VERTEX_POINT('',#40950); #13490=VERTEX_POINT('',#40953); #13491=VERTEX_POINT('',#40956); #13492=VERTEX_POINT('',#40959); #13493=VERTEX_POINT('',#40962); #13494=VERTEX_POINT('',#40965); #13495=VERTEX_POINT('',#40968); #13496=VERTEX_POINT('',#40971); #13497=VERTEX_POINT('',#40974); #13498=VERTEX_POINT('',#40978); #13499=VERTEX_POINT('',#40980); #13500=VERTEX_POINT('',#40982); #13501=VERTEX_POINT('',#40984); #13502=VERTEX_POINT('',#40986); #13503=VERTEX_POINT('',#40989); #13504=VERTEX_POINT('',#40991); #13505=VERTEX_POINT('',#40993); #13506=VERTEX_POINT('',#40995); #13507=VERTEX_POINT('',#40997); #13508=VERTEX_POINT('',#40999); #13509=VERTEX_POINT('',#41001); #13510=VERTEX_POINT('',#41003); #13511=VERTEX_POINT('',#41005); #13512=VERTEX_POINT('',#41007); #13513=VERTEX_POINT('',#41009); #13514=VERTEX_POINT('',#41011); #13515=VERTEX_POINT('',#41013); #13516=VERTEX_POINT('',#41015); #13517=VERTEX_POINT('',#41017); #13518=VERTEX_POINT('',#41019); #13519=VERTEX_POINT('',#41021); #13520=VERTEX_POINT('',#41023); #13521=VERTEX_POINT('',#41025); #13522=VERTEX_POINT('',#41027); #13523=VERTEX_POINT('',#41029); #13524=VERTEX_POINT('',#41031); #13525=VERTEX_POINT('',#41033); #13526=VERTEX_POINT('',#41035); #13527=VERTEX_POINT('',#41037); #13528=VERTEX_POINT('',#41039); #13529=VERTEX_POINT('',#41041); #13530=VERTEX_POINT('',#41043); #13531=VERTEX_POINT('',#41045); #13532=VERTEX_POINT('',#41089); #13533=VERTEX_POINT('',#41091); #13534=VERTEX_POINT('',#41094); #13535=VERTEX_POINT('',#41096); #13536=VERTEX_POINT('',#41099); #13537=VERTEX_POINT('',#41101); #13538=VERTEX_POINT('',#41104); #13539=VERTEX_POINT('',#41106); #13540=VERTEX_POINT('',#41109); #13541=VERTEX_POINT('',#41112); #13542=VERTEX_POINT('',#41115); #13543=VERTEX_POINT('',#41118); #13544=VERTEX_POINT('',#41121); #13545=VERTEX_POINT('',#41124); #13546=VERTEX_POINT('',#41127); #13547=VERTEX_POINT('',#41128); #13548=VERTEX_POINT('',#41130); #13549=VERTEX_POINT('',#41132); #13550=VERTEX_POINT('',#41135); #13551=VERTEX_POINT('',#41137); #13552=VERTEX_POINT('',#41139); #13553=VERTEX_POINT('',#41141); #13554=VERTEX_POINT('',#41143); #13555=VERTEX_POINT('',#41146); #13556=VERTEX_POINT('',#41148); #13557=VERTEX_POINT('',#41152); #13558=VERTEX_POINT('',#41155); #13559=VERTEX_POINT('',#41158); #13560=VERTEX_POINT('',#41161); #13561=VERTEX_POINT('',#41164); #13562=VERTEX_POINT('',#41167); #13563=VERTEX_POINT('',#41170); #13564=VERTEX_POINT('',#41172); #13565=VERTEX_POINT('',#41174); #13566=VERTEX_POINT('',#41177); #13567=VERTEX_POINT('',#41181); #13568=VERTEX_POINT('',#41185); #13569=VERTEX_POINT('',#41189); #13570=VERTEX_POINT('',#41190); #13571=VERTEX_POINT('',#41202); #13572=VERTEX_POINT('',#41203); #13573=VERTEX_POINT('',#41205); #13574=VERTEX_POINT('',#41207); #13575=VERTEX_POINT('',#41211); #13576=VERTEX_POINT('',#41213); #13577=VERTEX_POINT('',#41216); #13578=VERTEX_POINT('',#41219); #13579=VERTEX_POINT('',#41222); #13580=VERTEX_POINT('',#41224); #13581=VERTEX_POINT('',#41227); #13582=VERTEX_POINT('',#41230); #13583=VERTEX_POINT('',#41233); #13584=VERTEX_POINT('',#41235); #13585=VERTEX_POINT('',#41238); #13586=VERTEX_POINT('',#41241); #13587=VERTEX_POINT('',#41244); #13588=VERTEX_POINT('',#41246); #13589=VERTEX_POINT('',#41249); #13590=VERTEX_POINT('',#41252); #13591=VERTEX_POINT('',#41255); #13592=VERTEX_POINT('',#41257); #13593=VERTEX_POINT('',#41260); #13594=VERTEX_POINT('',#41263); #13595=VERTEX_POINT('',#41266); #13596=VERTEX_POINT('',#41268); #13597=VERTEX_POINT('',#41271); #13598=VERTEX_POINT('',#41274); #13599=VERTEX_POINT('',#41277); #13600=VERTEX_POINT('',#41279); #13601=VERTEX_POINT('',#41282); #13602=VERTEX_POINT('',#41285); #13603=VERTEX_POINT('',#41288); #13604=VERTEX_POINT('',#41290); #13605=VERTEX_POINT('',#41293); #13606=VERTEX_POINT('',#41296); #13607=VERTEX_POINT('',#41299); #13608=VERTEX_POINT('',#41301); #13609=VERTEX_POINT('',#41304); #13610=VERTEX_POINT('',#41307); #13611=VERTEX_POINT('',#41310); #13612=VERTEX_POINT('',#41312); #13613=VERTEX_POINT('',#41315); #13614=VERTEX_POINT('',#41318); #13615=VERTEX_POINT('',#41321); #13616=VERTEX_POINT('',#41322); #13617=VERTEX_POINT('',#41324); #13618=VERTEX_POINT('',#41326); #13619=VERTEX_POINT('',#41339); #13620=VERTEX_POINT('',#41341); #13621=VERTEX_POINT('',#41344); #13622=VERTEX_POINT('',#41346); #13623=VERTEX_POINT('',#41349); #13624=VERTEX_POINT('',#41351); #13625=VERTEX_POINT('',#41354); #13626=VERTEX_POINT('',#41356); #13627=VERTEX_POINT('',#41359); #13628=VERTEX_POINT('',#41361); #13629=VERTEX_POINT('',#41364); #13630=VERTEX_POINT('',#41366); #13631=VERTEX_POINT('',#41369); #13632=VERTEX_POINT('',#41371); #13633=VERTEX_POINT('',#41374); #13634=VERTEX_POINT('',#41376); #13635=VERTEX_POINT('',#41379); #13636=VERTEX_POINT('',#41381); #13637=VERTEX_POINT('',#41384); #13638=VERTEX_POINT('',#41386); #13639=VERTEX_POINT('',#41389); #13640=VERTEX_POINT('',#41391); #13641=VERTEX_POINT('',#41394); #13642=VERTEX_POINT('',#41396); #13643=VERTEX_POINT('',#41399); #13644=VERTEX_POINT('',#41401); #13645=VERTEX_POINT('',#41404); #13646=VERTEX_POINT('',#41406); #13647=VERTEX_POINT('',#41409); #13648=VERTEX_POINT('',#41411); #13649=VERTEX_POINT('',#41414); #13650=VERTEX_POINT('',#41416); #13651=VERTEX_POINT('',#41419); #13652=VERTEX_POINT('',#41421); #13653=VERTEX_POINT('',#41424); #13654=VERTEX_POINT('',#41426); #13655=VERTEX_POINT('',#41429); #13656=VERTEX_POINT('',#41431); #13657=VERTEX_POINT('',#41434); #13658=VERTEX_POINT('',#41436); #13659=VERTEX_POINT('',#41439); #13660=VERTEX_POINT('',#41441); #13661=VERTEX_POINT('',#41444); #13662=VERTEX_POINT('',#41446); #13663=VERTEX_POINT('',#41449); #13664=VERTEX_POINT('',#41451); #13665=VERTEX_POINT('',#41454); #13666=VERTEX_POINT('',#41456); #13667=VERTEX_POINT('',#41459); #13668=VERTEX_POINT('',#41461); #13669=VERTEX_POINT('',#41464); #13670=VERTEX_POINT('',#41466); #13671=VERTEX_POINT('',#41469); #13672=VERTEX_POINT('',#41471); #13673=VERTEX_POINT('',#41474); #13674=VERTEX_POINT('',#41476); #13675=VERTEX_POINT('',#41479); #13676=VERTEX_POINT('',#41481); #13677=VERTEX_POINT('',#41484); #13678=VERTEX_POINT('',#41486); #13679=VERTEX_POINT('',#41489); #13680=VERTEX_POINT('',#41491); #13681=VERTEX_POINT('',#41494); #13682=VERTEX_POINT('',#41496); #13683=VERTEX_POINT('',#41499); #13684=VERTEX_POINT('',#41501); #13685=VERTEX_POINT('',#41504); #13686=VERTEX_POINT('',#41506); #13687=VERTEX_POINT('',#41509); #13688=VERTEX_POINT('',#41511); #13689=VERTEX_POINT('',#41514); #13690=VERTEX_POINT('',#41516); #13691=VERTEX_POINT('',#41519); #13692=VERTEX_POINT('',#41521); #13693=VERTEX_POINT('',#41524); #13694=VERTEX_POINT('',#41526); #13695=VERTEX_POINT('',#41529); #13696=VERTEX_POINT('',#41531); #13697=VERTEX_POINT('',#41534); #13698=VERTEX_POINT('',#41536); #13699=VERTEX_POINT('',#41539); #13700=VERTEX_POINT('',#41541); #13701=VERTEX_POINT('',#41544); #13702=VERTEX_POINT('',#41546); #13703=VERTEX_POINT('',#41549); #13704=VERTEX_POINT('',#41551); #13705=VERTEX_POINT('',#41554); #13706=VERTEX_POINT('',#41556); #13707=VERTEX_POINT('',#41559); #13708=VERTEX_POINT('',#41561); #13709=VERTEX_POINT('',#41564); #13710=VERTEX_POINT('',#41566); #13711=VERTEX_POINT('',#41569); #13712=VERTEX_POINT('',#41571); #13713=VERTEX_POINT('',#41574); #13714=VERTEX_POINT('',#41576); #13715=VERTEX_POINT('',#41579); #13716=VERTEX_POINT('',#41581); #13717=VERTEX_POINT('',#41584); #13718=VERTEX_POINT('',#41586); #13719=VERTEX_POINT('',#41589); #13720=VERTEX_POINT('',#41591); #13721=VERTEX_POINT('',#41594); #13722=VERTEX_POINT('',#41596); #13723=VERTEX_POINT('',#41599); #13724=VERTEX_POINT('',#41601); #13725=VERTEX_POINT('',#41604); #13726=VERTEX_POINT('',#41606); #13727=VERTEX_POINT('',#41609); #13728=VERTEX_POINT('',#41611); #13729=VERTEX_POINT('',#41614); #13730=VERTEX_POINT('',#41616); #13731=VERTEX_POINT('',#41619); #13732=VERTEX_POINT('',#41621); #13733=VERTEX_POINT('',#41624); #13734=VERTEX_POINT('',#41626); #13735=VERTEX_POINT('',#41629); #13736=VERTEX_POINT('',#41631); #13737=VERTEX_POINT('',#41634); #13738=VERTEX_POINT('',#41636); #13739=VERTEX_POINT('',#41639); #13740=VERTEX_POINT('',#41641); #13741=VERTEX_POINT('',#41644); #13742=VERTEX_POINT('',#41646); #13743=VERTEX_POINT('',#41649); #13744=VERTEX_POINT('',#41651); #13745=VERTEX_POINT('',#41654); #13746=VERTEX_POINT('',#41656); #13747=VERTEX_POINT('',#41659); #13748=VERTEX_POINT('',#41661); #13749=VERTEX_POINT('',#41664); #13750=VERTEX_POINT('',#41666); #13751=VERTEX_POINT('',#41669); #13752=VERTEX_POINT('',#41671); #13753=VERTEX_POINT('',#41674); #13754=VERTEX_POINT('',#41676); #13755=VERTEX_POINT('',#41679); #13756=VERTEX_POINT('',#41681); #13757=VERTEX_POINT('',#41684); #13758=VERTEX_POINT('',#41686); #13759=VERTEX_POINT('',#41689); #13760=VERTEX_POINT('',#41691); #13761=VERTEX_POINT('',#41694); #13762=VERTEX_POINT('',#41696); #13763=VERTEX_POINT('',#41699); #13764=VERTEX_POINT('',#41701); #13765=VERTEX_POINT('',#41704); #13766=VERTEX_POINT('',#41706); #13767=VERTEX_POINT('',#41709); #13768=VERTEX_POINT('',#41711); #13769=VERTEX_POINT('',#41714); #13770=VERTEX_POINT('',#41716); #13771=VERTEX_POINT('',#41719); #13772=VERTEX_POINT('',#41721); #13773=VERTEX_POINT('',#41724); #13774=VERTEX_POINT('',#41726); #13775=VERTEX_POINT('',#41729); #13776=VERTEX_POINT('',#41731); #13777=VERTEX_POINT('',#41734); #13778=VERTEX_POINT('',#41736); #13779=VERTEX_POINT('',#41739); #13780=VERTEX_POINT('',#41741); #13781=VERTEX_POINT('',#41744); #13782=VERTEX_POINT('',#41746); #13783=VERTEX_POINT('',#41749); #13784=VERTEX_POINT('',#41751); #13785=VERTEX_POINT('',#41754); #13786=VERTEX_POINT('',#41756); #13787=VERTEX_POINT('',#41759); #13788=VERTEX_POINT('',#41761); #13789=VERTEX_POINT('',#41764); #13790=VERTEX_POINT('',#41766); #13791=VERTEX_POINT('',#41769); #13792=VERTEX_POINT('',#41771); #13793=VERTEX_POINT('',#41774); #13794=VERTEX_POINT('',#41776); #13795=VERTEX_POINT('',#41779); #13796=VERTEX_POINT('',#41781); #13797=VERTEX_POINT('',#41784); #13798=VERTEX_POINT('',#41786); #13799=VERTEX_POINT('',#41789); #13800=VERTEX_POINT('',#41791); #13801=VERTEX_POINT('',#41794); #13802=VERTEX_POINT('',#41796); #13803=VERTEX_POINT('',#41799); #13804=VERTEX_POINT('',#41801); #13805=VERTEX_POINT('',#41804); #13806=VERTEX_POINT('',#41806); #13807=VERTEX_POINT('',#41809); #13808=VERTEX_POINT('',#41811); #13809=VERTEX_POINT('',#41814); #13810=VERTEX_POINT('',#41816); #13811=VERTEX_POINT('',#41819); #13812=VERTEX_POINT('',#41820); #13813=VERTEX_POINT('',#41822); #13814=VERTEX_POINT('',#41824); #13815=VERTEX_POINT('',#41828); #13816=VERTEX_POINT('',#41829); #13817=VERTEX_POINT('',#41834); #13818=VERTEX_POINT('',#41835); #13819=VERTEX_POINT('',#41840); #13820=VERTEX_POINT('',#41841); #13821=VERTEX_POINT('',#41846); #13822=VERTEX_POINT('',#41847); #13823=VERTEX_POINT('',#41852); #13824=VERTEX_POINT('',#41853); #13825=VERTEX_POINT('',#41858); #13826=VERTEX_POINT('',#41859); #13827=VERTEX_POINT('',#41867); #13828=VERTEX_POINT('',#41869); #13829=VERTEX_POINT('',#41873); #13830=VERTEX_POINT('',#41877); #13831=VERTEX_POINT('',#41881); #13832=VERTEX_POINT('',#41885); #13833=VERTEX_POINT('',#41886); #13834=VERTEX_POINT('',#41888); #13835=VERTEX_POINT('',#41890); #13836=VERTEX_POINT('',#41894); #13837=VERTEX_POINT('',#41898); #13838=VERTEX_POINT('',#41902); #13839=VERTEX_POINT('',#41908); #13840=VERTEX_POINT('',#41910); #13841=VERTEX_POINT('',#41914); #13842=VERTEX_POINT('',#41918); #13843=EDGE_CURVE('',#11711,#11711,#9846,.T.); #13844=EDGE_CURVE('',#11712,#11712,#9847,.T.); #13845=EDGE_CURVE('',#11713,#11713,#9848,.T.); #13846=EDGE_CURVE('',#11714,#11714,#9849,.T.); #13847=EDGE_CURVE('',#11715,#11715,#9850,.T.); #13848=EDGE_CURVE('',#11716,#11716,#9851,.T.); #13849=EDGE_CURVE('',#11717,#11717,#9852,.T.); #13850=EDGE_CURVE('',#11718,#11718,#9853,.T.); #13851=EDGE_CURVE('',#11719,#11719,#9854,.T.); #13852=EDGE_CURVE('',#11720,#11720,#9855,.T.); #13853=EDGE_CURVE('',#11721,#11721,#9856,.T.); #13854=EDGE_CURVE('',#11722,#11722,#9857,.T.); #13855=EDGE_CURVE('',#11723,#11723,#9858,.T.); #13856=EDGE_CURVE('',#11724,#11724,#9859,.T.); #13857=EDGE_CURVE('',#11725,#11725,#9860,.T.); #13858=EDGE_CURVE('',#11726,#11726,#9861,.T.); #13859=EDGE_CURVE('',#11727,#11727,#9862,.T.); #13860=EDGE_CURVE('',#11728,#11728,#9863,.T.); #13861=EDGE_CURVE('',#11729,#11729,#9864,.T.); #13862=EDGE_CURVE('',#11730,#11730,#9865,.T.); #13863=EDGE_CURVE('',#11731,#11731,#9866,.T.); #13864=EDGE_CURVE('',#11732,#11732,#9867,.T.); #13865=EDGE_CURVE('',#11733,#11733,#9868,.T.); #13866=EDGE_CURVE('',#11734,#11734,#9869,.T.); #13867=EDGE_CURVE('',#11735,#11735,#9870,.T.); #13868=EDGE_CURVE('',#11736,#11736,#9871,.T.); #13869=EDGE_CURVE('',#11737,#11737,#9872,.T.); #13870=EDGE_CURVE('',#11738,#11738,#9873,.T.); #13871=EDGE_CURVE('',#11739,#11739,#9874,.T.); #13872=EDGE_CURVE('',#11740,#11740,#9875,.T.); #13873=EDGE_CURVE('',#11741,#11741,#9876,.T.); #13874=EDGE_CURVE('',#11742,#11742,#9877,.T.); #13875=EDGE_CURVE('',#11743,#11743,#9878,.T.); #13876=EDGE_CURVE('',#11744,#11744,#9879,.T.); #13877=EDGE_CURVE('',#11745,#11745,#9880,.T.); #13878=EDGE_CURVE('',#11746,#11746,#9881,.T.); #13879=EDGE_CURVE('',#11747,#11747,#9882,.T.); #13880=EDGE_CURVE('',#11748,#11748,#9883,.T.); #13881=EDGE_CURVE('',#11749,#11749,#9884,.T.); #13882=EDGE_CURVE('',#11750,#11750,#9885,.T.); #13883=EDGE_CURVE('',#11751,#11751,#9886,.T.); #13884=EDGE_CURVE('',#11752,#11752,#9887,.T.); #13885=EDGE_CURVE('',#11753,#11753,#9888,.T.); #13886=EDGE_CURVE('',#11754,#11754,#9889,.T.); #13887=EDGE_CURVE('',#11755,#11755,#9890,.T.); #13888=EDGE_CURVE('',#11756,#11756,#9891,.T.); #13889=EDGE_CURVE('',#11757,#11757,#9892,.T.); #13890=EDGE_CURVE('',#11758,#11758,#9893,.T.); #13891=EDGE_CURVE('',#11759,#11759,#9894,.T.); #13892=EDGE_CURVE('',#11760,#11760,#9895,.T.); #13893=EDGE_CURVE('',#11761,#11761,#9896,.T.); #13894=EDGE_CURVE('',#11762,#11762,#9897,.T.); #13895=EDGE_CURVE('',#11763,#11763,#9898,.T.); #13896=EDGE_CURVE('',#11764,#11764,#9899,.T.); #13897=EDGE_CURVE('',#11765,#11765,#9900,.T.); #13898=EDGE_CURVE('',#11766,#11766,#9901,.T.); #13899=EDGE_CURVE('',#11767,#11767,#9902,.T.); #13900=EDGE_CURVE('',#11768,#11768,#9903,.T.); #13901=EDGE_CURVE('',#11769,#11769,#9904,.T.); #13902=EDGE_CURVE('',#11770,#11770,#9905,.T.); #13903=EDGE_CURVE('',#11771,#11771,#9906,.T.); #13904=EDGE_CURVE('',#11772,#11772,#9907,.T.); #13905=EDGE_CURVE('',#11773,#11773,#9908,.T.); #13906=EDGE_CURVE('',#11774,#11774,#9909,.T.); #13907=EDGE_CURVE('',#11775,#11775,#9910,.T.); #13908=EDGE_CURVE('',#11776,#11776,#9911,.T.); #13909=EDGE_CURVE('',#11777,#11777,#9912,.T.); #13910=EDGE_CURVE('',#11778,#11778,#9913,.T.); #13911=EDGE_CURVE('',#11779,#11779,#9914,.T.); #13912=EDGE_CURVE('',#11780,#11780,#9915,.T.); #13913=EDGE_CURVE('',#11781,#11781,#9916,.T.); #13914=EDGE_CURVE('',#11782,#11782,#9917,.T.); #13915=EDGE_CURVE('',#11783,#11783,#9918,.T.); #13916=EDGE_CURVE('',#11784,#11784,#9919,.T.); #13917=EDGE_CURVE('',#11785,#11785,#9920,.T.); #13918=EDGE_CURVE('',#11786,#11786,#9921,.T.); #13919=EDGE_CURVE('',#11787,#11787,#9922,.T.); #13920=EDGE_CURVE('',#11788,#11788,#9923,.T.); #13921=EDGE_CURVE('',#11789,#11789,#9924,.T.); #13922=EDGE_CURVE('',#11790,#11790,#9925,.T.); #13923=EDGE_CURVE('',#11791,#11791,#9926,.T.); #13924=EDGE_CURVE('',#11792,#11792,#9927,.T.); #13925=EDGE_CURVE('',#11793,#11793,#9928,.T.); #13926=EDGE_CURVE('',#11794,#11794,#9929,.T.); #13927=EDGE_CURVE('',#11795,#11795,#9930,.T.); #13928=EDGE_CURVE('',#11796,#11796,#9931,.T.); #13929=EDGE_CURVE('',#11797,#11797,#9932,.T.); #13930=EDGE_CURVE('',#11798,#11798,#9933,.T.); #13931=EDGE_CURVE('',#11799,#11799,#9934,.T.); #13932=EDGE_CURVE('',#11800,#11800,#9935,.T.); #13933=EDGE_CURVE('',#11801,#11801,#9936,.T.); #13934=EDGE_CURVE('',#11802,#11802,#9937,.T.); #13935=EDGE_CURVE('',#11803,#11803,#9938,.T.); #13936=EDGE_CURVE('',#11804,#11804,#9939,.T.); #13937=EDGE_CURVE('',#11805,#11805,#9940,.T.); #13938=EDGE_CURVE('',#11806,#11806,#9941,.T.); #13939=EDGE_CURVE('',#11807,#11807,#9942,.T.); #13940=EDGE_CURVE('',#11808,#11808,#9943,.T.); #13941=EDGE_CURVE('',#11809,#11809,#9944,.T.); #13942=EDGE_CURVE('',#11810,#11810,#9945,.T.); #13943=EDGE_CURVE('',#11811,#11811,#9946,.T.); #13944=EDGE_CURVE('',#11812,#11812,#9947,.T.); #13945=EDGE_CURVE('',#11813,#11813,#9948,.T.); #13946=EDGE_CURVE('',#11814,#11814,#9949,.T.); #13947=EDGE_CURVE('',#11815,#11815,#9950,.T.); #13948=EDGE_CURVE('',#11816,#11816,#9951,.T.); #13949=EDGE_CURVE('',#11817,#11817,#9952,.T.); #13950=EDGE_CURVE('',#11818,#11818,#9953,.T.); #13951=EDGE_CURVE('',#11819,#11819,#9954,.T.); #13952=EDGE_CURVE('',#11820,#11820,#9955,.T.); #13953=EDGE_CURVE('',#11821,#11821,#9956,.T.); #13954=EDGE_CURVE('',#11822,#11822,#9957,.T.); #13955=EDGE_CURVE('',#11823,#11823,#9958,.T.); #13956=EDGE_CURVE('',#11824,#11824,#9959,.T.); #13957=EDGE_CURVE('',#11825,#11825,#9960,.T.); #13958=EDGE_CURVE('',#11826,#11826,#9961,.T.); #13959=EDGE_CURVE('',#11827,#11827,#9962,.T.); #13960=EDGE_CURVE('',#11828,#11828,#9963,.T.); #13961=EDGE_CURVE('',#11829,#11829,#9964,.T.); #13962=EDGE_CURVE('',#11830,#11830,#9965,.T.); #13963=EDGE_CURVE('',#11831,#11831,#9966,.T.); #13964=EDGE_CURVE('',#11832,#11832,#9967,.T.); #13965=EDGE_CURVE('',#11833,#11833,#9968,.T.); #13966=EDGE_CURVE('',#11834,#11834,#9969,.T.); #13967=EDGE_CURVE('',#11835,#11835,#9970,.T.); #13968=EDGE_CURVE('',#11836,#11836,#9971,.T.); #13969=EDGE_CURVE('',#11837,#11837,#9972,.T.); #13970=EDGE_CURVE('',#11838,#11838,#9973,.T.); #13971=EDGE_CURVE('',#11839,#11839,#9974,.T.); #13972=EDGE_CURVE('',#11840,#11840,#9975,.T.); #13973=EDGE_CURVE('',#11841,#11841,#9976,.T.); #13974=EDGE_CURVE('',#11842,#11842,#9977,.T.); #13975=EDGE_CURVE('',#11843,#11843,#9978,.T.); #13976=EDGE_CURVE('',#11844,#11844,#9979,.T.); #13977=EDGE_CURVE('',#11845,#11845,#9980,.T.); #13978=EDGE_CURVE('',#11846,#11846,#9981,.T.); #13979=EDGE_CURVE('',#11847,#11847,#9982,.T.); #13980=EDGE_CURVE('',#11848,#11848,#9983,.T.); #13981=EDGE_CURVE('',#11849,#11849,#9984,.T.); #13982=EDGE_CURVE('',#11850,#11850,#9985,.T.); #13983=EDGE_CURVE('',#11851,#11851,#9986,.T.); #13984=EDGE_CURVE('',#11852,#11852,#9987,.T.); #13985=EDGE_CURVE('',#11853,#11853,#9988,.T.); #13986=EDGE_CURVE('',#11854,#11854,#9989,.T.); #13987=EDGE_CURVE('',#11855,#11855,#9990,.T.); #13988=EDGE_CURVE('',#11856,#11856,#9991,.T.); #13989=EDGE_CURVE('',#11857,#11857,#9992,.T.); #13990=EDGE_CURVE('',#11858,#11858,#9993,.T.); #13991=EDGE_CURVE('',#11859,#11859,#9994,.T.); #13992=EDGE_CURVE('',#11860,#11860,#9995,.T.); #13993=EDGE_CURVE('',#11861,#11861,#9996,.T.); #13994=EDGE_CURVE('',#11862,#11862,#9997,.T.); #13995=EDGE_CURVE('',#11863,#11863,#9998,.T.); #13996=EDGE_CURVE('',#11864,#11864,#9999,.T.); #13997=EDGE_CURVE('',#11865,#11865,#10000,.T.); #13998=EDGE_CURVE('',#11866,#11866,#10001,.T.); #13999=EDGE_CURVE('',#11867,#11867,#10002,.T.); #14000=EDGE_CURVE('',#11868,#11868,#10003,.T.); #14001=EDGE_CURVE('',#11869,#11869,#10004,.T.); #14002=EDGE_CURVE('',#11870,#11870,#10005,.T.); #14003=EDGE_CURVE('',#11871,#11871,#10006,.T.); #14004=EDGE_CURVE('',#11872,#11872,#10007,.T.); #14005=EDGE_CURVE('',#11873,#11873,#10008,.T.); #14006=EDGE_CURVE('',#11874,#11874,#10009,.T.); #14007=EDGE_CURVE('',#11875,#11875,#10010,.T.); #14008=EDGE_CURVE('',#11876,#11876,#10011,.T.); #14009=EDGE_CURVE('',#11877,#11877,#10012,.T.); #14010=EDGE_CURVE('',#11878,#11878,#10013,.T.); #14011=EDGE_CURVE('',#11879,#11879,#10014,.T.); #14012=EDGE_CURVE('',#11880,#11880,#10015,.T.); #14013=EDGE_CURVE('',#11881,#11881,#10016,.T.); #14014=EDGE_CURVE('',#11882,#11882,#10017,.T.); #14015=EDGE_CURVE('',#11883,#11883,#10018,.T.); #14016=EDGE_CURVE('',#11884,#11884,#10019,.T.); #14017=EDGE_CURVE('',#11885,#11885,#10020,.T.); #14018=EDGE_CURVE('',#11886,#11886,#10021,.T.); #14019=EDGE_CURVE('',#11887,#11887,#10022,.T.); #14020=EDGE_CURVE('',#11888,#11888,#10023,.T.); #14021=EDGE_CURVE('',#11889,#11889,#10024,.T.); #14022=EDGE_CURVE('',#11890,#11890,#10025,.T.); #14023=EDGE_CURVE('',#11891,#11891,#10026,.T.); #14024=EDGE_CURVE('',#11892,#11892,#10027,.T.); #14025=EDGE_CURVE('',#11893,#11893,#10028,.T.); #14026=EDGE_CURVE('',#11894,#11894,#10029,.T.); #14027=EDGE_CURVE('',#11895,#11895,#10030,.T.); #14028=EDGE_CURVE('',#11896,#11896,#10031,.T.); #14029=EDGE_CURVE('',#11897,#11897,#10032,.T.); #14030=EDGE_CURVE('',#11898,#11898,#10033,.T.); #14031=EDGE_CURVE('',#11899,#11899,#10034,.T.); #14032=EDGE_CURVE('',#11900,#11900,#10035,.T.); #14033=EDGE_CURVE('',#11901,#11901,#10036,.T.); #14034=EDGE_CURVE('',#11902,#11902,#10037,.T.); #14035=EDGE_CURVE('',#11903,#11903,#10038,.T.); #14036=EDGE_CURVE('',#11904,#11904,#10039,.T.); #14037=EDGE_CURVE('',#11905,#11906,#10040,.T.); #14038=EDGE_CURVE('',#11906,#11907,#10041,.T.); #14039=EDGE_CURVE('',#11907,#11908,#10042,.T.); #14040=EDGE_CURVE('',#11908,#11905,#10043,.T.); #14041=EDGE_CURVE('',#11909,#11910,#10044,.T.); #14042=EDGE_CURVE('',#11910,#11908,#816,.T.); #14043=EDGE_CURVE('',#11907,#11909,#817,.T.); #14044=EDGE_CURVE('',#11911,#11912,#10045,.T.); #14045=EDGE_CURVE('',#11912,#11910,#10046,.T.); #14046=EDGE_CURVE('',#11909,#11911,#10047,.T.); #14047=EDGE_CURVE('',#11913,#11914,#10048,.T.); #14048=EDGE_CURVE('',#11914,#11912,#10049,.T.); #14049=EDGE_CURVE('',#11911,#11913,#10050,.T.); #14050=EDGE_CURVE('',#11915,#11916,#10051,.T.); #14051=EDGE_CURVE('',#11916,#11914,#10052,.T.); #14052=EDGE_CURVE('',#11913,#11915,#10053,.T.); #14053=EDGE_CURVE('',#11917,#11918,#10054,.T.); #14054=EDGE_CURVE('',#11918,#11916,#818,.T.); #14055=EDGE_CURVE('',#11915,#11917,#819,.T.); #14056=EDGE_CURVE('',#11919,#11920,#10055,.T.); #14057=EDGE_CURVE('',#11920,#11918,#10056,.T.); #14058=EDGE_CURVE('',#11917,#11919,#10057,.T.); #14059=EDGE_CURVE('',#11905,#11920,#10058,.T.); #14060=EDGE_CURVE('',#11919,#11906,#10059,.T.); #14061=EDGE_CURVE('',#11911,#11921,#820,.T.); #14062=EDGE_CURVE('',#11922,#11921,#10060,.T.); #14063=EDGE_CURVE('',#11913,#11922,#821,.T.); #14064=EDGE_CURVE('',#11909,#11923,#822,.T.); #14065=EDGE_CURVE('',#11921,#11923,#10061,.T.); #14066=EDGE_CURVE('',#11907,#11924,#823,.T.); #14067=EDGE_CURVE('',#11923,#11924,#824,.T.); #14068=EDGE_CURVE('',#11906,#11925,#825,.T.); #14069=EDGE_CURVE('',#11924,#11925,#10062,.T.); #14070=EDGE_CURVE('',#11926,#11927,#10063,.T.); #14071=EDGE_CURVE('',#11927,#11928,#826,.T.); #14072=EDGE_CURVE('',#11928,#11929,#10064,.T.); #14073=EDGE_CURVE('',#11929,#11930,#10065,.T.); #14074=EDGE_CURVE('',#11930,#11931,#10066,.T.); #14075=EDGE_CURVE('',#11931,#11932,#827,.T.); #14076=EDGE_CURVE('',#11932,#11933,#10067,.T.); #14077=EDGE_CURVE('',#11933,#11926,#10068,.T.); #14078=EDGE_CURVE('',#11934,#11922,#10069,.T.); #14079=EDGE_CURVE('',#11915,#11934,#828,.T.); #14080=EDGE_CURVE('',#11935,#11934,#829,.T.); #14081=EDGE_CURVE('',#11917,#11935,#830,.T.); #14082=EDGE_CURVE('',#11936,#11935,#10070,.T.); #14083=EDGE_CURVE('',#11919,#11936,#831,.T.); #14084=EDGE_CURVE('',#11925,#11936,#10071,.T.); #14085=EDGE_CURVE('',#11937,#11937,#10072,.T.); #14086=EDGE_CURVE('',#11938,#11938,#10073,.T.); #14087=EDGE_CURVE('',#11939,#11939,#10074,.T.); #14088=EDGE_CURVE('',#11940,#11940,#10075,.T.); #14089=EDGE_CURVE('',#11941,#11941,#10076,.T.); #14090=EDGE_CURVE('',#11942,#11942,#10077,.T.); #14091=EDGE_CURVE('',#11943,#11943,#10078,.T.); #14092=EDGE_CURVE('',#11944,#11944,#10079,.T.); #14093=EDGE_CURVE('',#11945,#11945,#10080,.T.); #14094=EDGE_CURVE('',#11946,#11946,#10081,.T.); #14095=EDGE_CURVE('',#11947,#11947,#10082,.T.); #14096=EDGE_CURVE('',#11948,#11948,#10083,.T.); #14097=EDGE_CURVE('',#11949,#11949,#10084,.T.); #14098=EDGE_CURVE('',#11950,#11950,#10085,.T.); #14099=EDGE_CURVE('',#11951,#11951,#10086,.T.); #14100=EDGE_CURVE('',#11952,#11952,#10087,.T.); #14101=EDGE_CURVE('',#11953,#11953,#10088,.T.); #14102=EDGE_CURVE('',#11954,#11954,#10089,.T.); #14103=EDGE_CURVE('',#11955,#11955,#10090,.T.); #14104=EDGE_CURVE('',#11956,#11956,#10091,.T.); #14105=EDGE_CURVE('',#11957,#11957,#10092,.T.); #14106=EDGE_CURVE('',#11958,#11958,#10093,.T.); #14107=EDGE_CURVE('',#11959,#11959,#10094,.T.); #14108=EDGE_CURVE('',#11960,#11960,#10095,.T.); #14109=EDGE_CURVE('',#11961,#11961,#10096,.T.); #14110=EDGE_CURVE('',#11962,#11962,#10097,.T.); #14111=EDGE_CURVE('',#11963,#11963,#10098,.T.); #14112=EDGE_CURVE('',#11964,#11964,#10099,.T.); #14113=EDGE_CURVE('',#11965,#11965,#10100,.T.); #14114=EDGE_CURVE('',#11966,#11966,#10101,.T.); #14115=EDGE_CURVE('',#11967,#11967,#10102,.T.); #14116=EDGE_CURVE('',#11968,#11968,#10103,.T.); #14117=EDGE_CURVE('',#11969,#11969,#10104,.T.); #14118=EDGE_CURVE('',#11970,#11970,#10105,.T.); #14119=EDGE_CURVE('',#11971,#11971,#10106,.T.); #14120=EDGE_CURVE('',#11972,#11972,#10107,.T.); #14121=EDGE_CURVE('',#11973,#11973,#10108,.T.); #14122=EDGE_CURVE('',#11974,#11974,#10109,.T.); #14123=EDGE_CURVE('',#11975,#11975,#10110,.T.); #14124=EDGE_CURVE('',#11976,#11976,#10111,.T.); #14125=EDGE_CURVE('',#11977,#11977,#10112,.T.); #14126=EDGE_CURVE('',#11978,#11978,#10113,.T.); #14127=EDGE_CURVE('',#11979,#11979,#10114,.T.); #14128=EDGE_CURVE('',#11980,#11980,#10115,.T.); #14129=EDGE_CURVE('',#11981,#11981,#10116,.T.); #14130=EDGE_CURVE('',#11982,#11982,#10117,.T.); #14131=EDGE_CURVE('',#11983,#11983,#10118,.T.); #14132=EDGE_CURVE('',#11984,#11984,#10119,.T.); #14133=EDGE_CURVE('',#11985,#11985,#10120,.T.); #14134=EDGE_CURVE('',#11986,#11986,#10121,.T.); #14135=EDGE_CURVE('',#11987,#11987,#10122,.T.); #14136=EDGE_CURVE('',#11988,#11988,#10123,.T.); #14137=EDGE_CURVE('',#11989,#11989,#10124,.T.); #14138=EDGE_CURVE('',#11990,#11990,#10125,.T.); #14139=EDGE_CURVE('',#11991,#11991,#10126,.T.); #14140=EDGE_CURVE('',#11992,#11992,#10127,.T.); #14141=EDGE_CURVE('',#11993,#11993,#10128,.T.); #14142=EDGE_CURVE('',#11994,#11994,#10129,.T.); #14143=EDGE_CURVE('',#11995,#11995,#10130,.T.); #14144=EDGE_CURVE('',#11996,#11996,#10131,.T.); #14145=EDGE_CURVE('',#11997,#11997,#10132,.T.); #14146=EDGE_CURVE('',#11998,#11998,#10133,.T.); #14147=EDGE_CURVE('',#11999,#11999,#10134,.T.); #14148=EDGE_CURVE('',#12000,#12000,#10135,.T.); #14149=EDGE_CURVE('',#12001,#12001,#10136,.T.); #14150=EDGE_CURVE('',#12002,#12002,#10137,.T.); #14151=EDGE_CURVE('',#12003,#12003,#10138,.T.); #14152=EDGE_CURVE('',#12004,#12004,#10139,.T.); #14153=EDGE_CURVE('',#12005,#12005,#10140,.T.); #14154=EDGE_CURVE('',#12006,#12006,#10141,.T.); #14155=EDGE_CURVE('',#12007,#12007,#10142,.T.); #14156=EDGE_CURVE('',#12008,#12008,#10143,.T.); #14157=EDGE_CURVE('',#12009,#12009,#10144,.T.); #14158=EDGE_CURVE('',#12010,#12010,#10145,.T.); #14159=EDGE_CURVE('',#12011,#12011,#10146,.T.); #14160=EDGE_CURVE('',#12012,#12012,#10147,.T.); #14161=EDGE_CURVE('',#12013,#12013,#10148,.T.); #14162=EDGE_CURVE('',#12014,#12014,#10149,.T.); #14163=EDGE_CURVE('',#12015,#12015,#10150,.T.); #14164=EDGE_CURVE('',#12016,#12016,#10151,.T.); #14165=EDGE_CURVE('',#12017,#12017,#10152,.T.); #14166=EDGE_CURVE('',#12018,#12018,#10153,.T.); #14167=EDGE_CURVE('',#12019,#12019,#10154,.T.); #14168=EDGE_CURVE('',#12020,#12020,#10155,.T.); #14169=EDGE_CURVE('',#12021,#12021,#10156,.T.); #14170=EDGE_CURVE('',#12022,#12022,#10157,.T.); #14171=EDGE_CURVE('',#12023,#12023,#10158,.T.); #14172=EDGE_CURVE('',#12024,#12024,#10159,.T.); #14173=EDGE_CURVE('',#12025,#12025,#10160,.T.); #14174=EDGE_CURVE('',#12026,#12026,#10161,.T.); #14175=EDGE_CURVE('',#12027,#12027,#10162,.T.); #14176=EDGE_CURVE('',#12028,#12028,#10163,.T.); #14177=EDGE_CURVE('',#12029,#12029,#10164,.T.); #14178=EDGE_CURVE('',#12030,#12030,#10165,.T.); #14179=EDGE_CURVE('',#12031,#12031,#10166,.T.); #14180=EDGE_CURVE('',#12032,#12032,#10167,.T.); #14181=EDGE_CURVE('',#12033,#12033,#10168,.T.); #14182=EDGE_CURVE('',#12034,#12034,#10169,.T.); #14183=EDGE_CURVE('',#12035,#12035,#10170,.T.); #14184=EDGE_CURVE('',#12036,#12036,#10171,.T.); #14185=EDGE_CURVE('',#12037,#12037,#10172,.T.); #14186=EDGE_CURVE('',#12038,#12038,#10173,.T.); #14187=EDGE_CURVE('',#12039,#12039,#10174,.T.); #14188=EDGE_CURVE('',#12040,#12040,#10175,.T.); #14189=EDGE_CURVE('',#12041,#12041,#10176,.T.); #14190=EDGE_CURVE('',#12042,#12042,#10177,.T.); #14191=EDGE_CURVE('',#12043,#12043,#10178,.T.); #14192=EDGE_CURVE('',#12044,#12044,#10179,.T.); #14193=EDGE_CURVE('',#12045,#12045,#10180,.T.); #14194=EDGE_CURVE('',#12046,#12046,#10181,.T.); #14195=EDGE_CURVE('',#12047,#12048,#10182,.T.); #14196=EDGE_CURVE('',#12049,#12047,#832,.T.); #14197=EDGE_CURVE('',#12049,#12050,#10183,.T.); #14198=EDGE_CURVE('',#12050,#12048,#833,.T.); #14199=EDGE_CURVE('',#12048,#12051,#10184,.T.); #14200=EDGE_CURVE('',#12050,#12052,#10185,.T.); #14201=EDGE_CURVE('',#12052,#12051,#834,.T.); #14202=EDGE_CURVE('',#12051,#12053,#10186,.T.); #14203=EDGE_CURVE('',#12052,#12054,#10187,.T.); #14204=EDGE_CURVE('',#12054,#12053,#835,.T.); #14205=EDGE_CURVE('',#12053,#12055,#836,.T.); #14206=EDGE_CURVE('',#12054,#12056,#837,.T.); #14207=EDGE_CURVE('',#12056,#12055,#838,.T.); #14208=EDGE_CURVE('',#11930,#12057,#839,.T.); #14209=EDGE_CURVE('',#12058,#12057,#10188,.T.); #14210=EDGE_CURVE('',#11931,#12058,#840,.T.); #14211=EDGE_CURVE('',#12059,#12058,#841,.T.); #14212=EDGE_CURVE('',#11932,#12059,#842,.T.); #14213=EDGE_CURVE('',#12060,#12059,#10189,.T.); #14214=EDGE_CURVE('',#11933,#12060,#843,.T.); #14215=EDGE_CURVE('',#12061,#12060,#10190,.T.); #14216=EDGE_CURVE('',#12061,#11926,#844,.T.); #14217=EDGE_CURVE('',#12055,#12062,#10191,.T.); #14218=EDGE_CURVE('',#12056,#12063,#10192,.T.); #14219=EDGE_CURVE('',#12062,#12063,#845,.T.); #14220=EDGE_CURVE('',#12064,#12061,#10193,.T.); #14221=EDGE_CURVE('',#11927,#12064,#846,.T.); #14222=EDGE_CURVE('',#12065,#12064,#847,.T.); #14223=EDGE_CURVE('',#11928,#12065,#848,.T.); #14224=EDGE_CURVE('',#12066,#12065,#10194,.T.); #14225=EDGE_CURVE('',#11929,#12066,#849,.T.); #14226=EDGE_CURVE('',#12057,#12066,#10195,.T.); #14227=EDGE_CURVE('',#12067,#12047,#850,.T.); #14228=EDGE_CURVE('',#12068,#12067,#851,.T.); #14229=EDGE_CURVE('',#12068,#12049,#852,.T.); #14230=EDGE_CURVE('',#12069,#12068,#10196,.T.); #14231=EDGE_CURVE('',#12063,#12069,#10197,.T.); #14232=EDGE_CURVE('',#12070,#12067,#10198,.T.); #14233=EDGE_CURVE('',#12069,#12070,#853,.T.); #14234=EDGE_CURVE('',#12062,#12070,#10199,.T.); #14235=EDGE_CURVE('',#12071,#12071,#10200,.T.); #14236=EDGE_CURVE('',#12072,#12072,#10201,.T.); #14237=EDGE_CURVE('',#12073,#12073,#10202,.T.); #14238=EDGE_CURVE('',#12074,#12074,#10203,.T.); #14239=EDGE_CURVE('',#12075,#12075,#10204,.T.); #14240=EDGE_CURVE('',#12076,#12076,#10205,.T.); #14241=EDGE_CURVE('',#12077,#12077,#10206,.T.); #14242=EDGE_CURVE('',#12078,#12078,#10207,.T.); #14243=EDGE_CURVE('',#12079,#12079,#10208,.T.); #14244=EDGE_CURVE('',#12080,#12080,#10209,.T.); #14245=EDGE_CURVE('',#12081,#12081,#10210,.T.); #14246=EDGE_CURVE('',#12082,#12082,#10211,.T.); #14247=EDGE_CURVE('',#12083,#12083,#10212,.T.); #14248=EDGE_CURVE('',#12084,#12084,#10213,.T.); #14249=EDGE_CURVE('',#12085,#12085,#10214,.T.); #14250=EDGE_CURVE('',#12086,#12086,#10215,.T.); #14251=EDGE_CURVE('',#12087,#12087,#10216,.T.); #14252=EDGE_CURVE('',#12088,#12088,#10217,.T.); #14253=EDGE_CURVE('',#12089,#12089,#10218,.T.); #14254=EDGE_CURVE('',#12090,#12090,#10219,.T.); #14255=EDGE_CURVE('',#12091,#12091,#10220,.T.); #14256=EDGE_CURVE('',#12092,#12092,#10221,.T.); #14257=EDGE_CURVE('',#12093,#12093,#10222,.T.); #14258=EDGE_CURVE('',#12094,#12094,#10223,.T.); #14259=EDGE_CURVE('',#12095,#12095,#10224,.T.); #14260=EDGE_CURVE('',#12096,#12096,#10225,.T.); #14261=EDGE_CURVE('',#12097,#12097,#10226,.T.); #14262=EDGE_CURVE('',#12098,#12098,#10227,.T.); #14263=EDGE_CURVE('',#12099,#12099,#10228,.T.); #14264=EDGE_CURVE('',#12100,#12100,#10229,.T.); #14265=EDGE_CURVE('',#12101,#12101,#10230,.T.); #14266=EDGE_CURVE('',#12102,#12102,#10231,.T.); #14267=EDGE_CURVE('',#12103,#12103,#10232,.T.); #14268=EDGE_CURVE('',#12104,#12104,#10233,.T.); #14269=EDGE_CURVE('',#12105,#12105,#10234,.T.); #14270=EDGE_CURVE('',#12106,#12106,#10235,.T.); #14271=EDGE_CURVE('',#12107,#12107,#10236,.T.); #14272=EDGE_CURVE('',#12108,#12108,#10237,.T.); #14273=EDGE_CURVE('',#12109,#12109,#10238,.T.); #14274=EDGE_CURVE('',#12110,#12110,#10239,.T.); #14275=EDGE_CURVE('',#12111,#12111,#10240,.T.); #14276=EDGE_CURVE('',#12112,#12112,#10241,.T.); #14277=EDGE_CURVE('',#12113,#12113,#10242,.T.); #14278=EDGE_CURVE('',#12114,#12114,#10243,.T.); #14279=EDGE_CURVE('',#12115,#12115,#10244,.T.); #14280=EDGE_CURVE('',#12116,#12116,#10245,.T.); #14281=EDGE_CURVE('',#12117,#12117,#10246,.T.); #14282=EDGE_CURVE('',#12118,#12118,#10247,.T.); #14283=EDGE_CURVE('',#12119,#12119,#10248,.T.); #14284=EDGE_CURVE('',#12120,#12120,#10249,.T.); #14285=EDGE_CURVE('',#12121,#12121,#10250,.T.); #14286=EDGE_CURVE('',#12122,#12122,#10251,.T.); #14287=EDGE_CURVE('',#12123,#12123,#10252,.T.); #14288=EDGE_CURVE('',#12124,#12124,#10253,.T.); #14289=EDGE_CURVE('',#12125,#12125,#10254,.T.); #14290=EDGE_CURVE('',#12126,#12126,#10255,.T.); #14291=EDGE_CURVE('',#12127,#12127,#10256,.T.); #14292=EDGE_CURVE('',#12128,#12128,#10257,.T.); #14293=EDGE_CURVE('',#12129,#12129,#10258,.T.); #14294=EDGE_CURVE('',#12130,#12130,#10259,.T.); #14295=EDGE_CURVE('',#12131,#12131,#10260,.T.); #14296=EDGE_CURVE('',#12132,#12132,#10261,.T.); #14297=EDGE_CURVE('',#12133,#12133,#10262,.T.); #14298=EDGE_CURVE('',#12134,#12134,#10263,.T.); #14299=EDGE_CURVE('',#12135,#12135,#10264,.T.); #14300=EDGE_CURVE('',#12136,#12136,#10265,.T.); #14301=EDGE_CURVE('',#12137,#12137,#10266,.T.); #14302=EDGE_CURVE('',#12138,#12138,#10267,.T.); #14303=EDGE_CURVE('',#12139,#12139,#10268,.T.); #14304=EDGE_CURVE('',#12140,#12140,#10269,.T.); #14305=EDGE_CURVE('',#12141,#12142,#854,.T.); #14306=EDGE_CURVE('',#12143,#12141,#855,.T.); #14307=EDGE_CURVE('',#12144,#12143,#856,.T.); #14308=EDGE_CURVE('',#12142,#12144,#857,.T.); #14309=EDGE_CURVE('',#12143,#12145,#858,.T.); #14310=EDGE_CURVE('',#12145,#12146,#859,.T.); #14311=EDGE_CURVE('',#12146,#12147,#860,.T.); #14312=EDGE_CURVE('',#12147,#12148,#10270,.T.); #14313=EDGE_CURVE('',#12148,#12144,#861,.T.); #14314=EDGE_CURVE('',#12149,#12141,#862,.T.); #14315=EDGE_CURVE('',#12149,#12145,#863,.T.); #14316=EDGE_CURVE('',#12150,#12149,#864,.T.); #14317=EDGE_CURVE('',#12146,#12150,#865,.T.); #14318=EDGE_CURVE('',#12151,#12150,#866,.T.); #14319=EDGE_CURVE('',#12151,#12147,#867,.T.); #14320=EDGE_CURVE('',#12142,#12152,#868,.T.); #14321=EDGE_CURVE('',#12152,#12151,#10271,.T.); #14322=EDGE_CURVE('',#12152,#12148,#869,.T.); #14323=EDGE_CURVE('',#12153,#12153,#10272,.T.); #14324=EDGE_CURVE('',#12154,#12154,#10273,.T.); #14325=EDGE_CURVE('',#12155,#12155,#10274,.T.); #14326=EDGE_CURVE('',#12156,#12156,#10275,.T.); #14327=EDGE_CURVE('',#12157,#12157,#10276,.T.); #14328=EDGE_CURVE('',#12158,#12158,#10277,.T.); #14329=EDGE_CURVE('',#12159,#12159,#10278,.T.); #14330=EDGE_CURVE('',#12160,#12160,#10279,.T.); #14331=EDGE_CURVE('',#12161,#12161,#10280,.T.); #14332=EDGE_CURVE('',#12162,#12162,#10281,.T.); #14333=EDGE_CURVE('',#12163,#12163,#10282,.T.); #14334=EDGE_CURVE('',#12164,#12164,#10283,.T.); #14335=EDGE_CURVE('',#12165,#12165,#10284,.T.); #14336=EDGE_CURVE('',#12166,#12166,#10285,.T.); #14337=EDGE_CURVE('',#12167,#12167,#10286,.T.); #14338=EDGE_CURVE('',#12168,#12168,#10287,.T.); #14339=EDGE_CURVE('',#12169,#12169,#10288,.T.); #14340=EDGE_CURVE('',#12170,#12170,#10289,.T.); #14341=EDGE_CURVE('',#12171,#12171,#10290,.T.); #14342=EDGE_CURVE('',#12172,#12172,#10291,.T.); #14343=EDGE_CURVE('',#12173,#12173,#10292,.T.); #14344=EDGE_CURVE('',#12174,#12174,#10293,.T.); #14345=EDGE_CURVE('',#12175,#12175,#10294,.T.); #14346=EDGE_CURVE('',#12176,#12176,#10295,.T.); #14347=EDGE_CURVE('',#12177,#12177,#10296,.T.); #14348=EDGE_CURVE('',#12178,#12178,#10297,.T.); #14349=EDGE_CURVE('',#12179,#12179,#10298,.T.); #14350=EDGE_CURVE('',#12180,#12180,#10299,.T.); #14351=EDGE_CURVE('',#12181,#12181,#10300,.T.); #14352=EDGE_CURVE('',#12182,#12182,#10301,.T.); #14353=EDGE_CURVE('',#12183,#12183,#10302,.T.); #14354=EDGE_CURVE('',#12184,#12184,#10303,.T.); #14355=EDGE_CURVE('',#12185,#12185,#10304,.T.); #14356=EDGE_CURVE('',#12186,#12186,#10305,.T.); #14357=EDGE_CURVE('',#12187,#12187,#10306,.T.); #14358=EDGE_CURVE('',#12188,#12188,#10307,.T.); #14359=EDGE_CURVE('',#12189,#12189,#10308,.T.); #14360=EDGE_CURVE('',#12190,#12190,#10309,.T.); #14361=EDGE_CURVE('',#12191,#12191,#10310,.T.); #14362=EDGE_CURVE('',#12192,#12192,#10311,.T.); #14363=EDGE_CURVE('',#12193,#12193,#10312,.T.); #14364=EDGE_CURVE('',#12194,#12194,#10313,.T.); #14365=EDGE_CURVE('',#12195,#12195,#10314,.T.); #14366=EDGE_CURVE('',#12196,#12196,#10315,.T.); #14367=EDGE_CURVE('',#12197,#12197,#10316,.T.); #14368=EDGE_CURVE('',#12198,#12198,#10317,.T.); #14369=EDGE_CURVE('',#12199,#12200,#870,.T.); #14370=EDGE_CURVE('',#12199,#12201,#871,.T.); #14371=EDGE_CURVE('',#12202,#12201,#689,.T.); #14372=EDGE_CURVE('',#12202,#12200,#872,.T.); #14373=EDGE_CURVE('',#12203,#12200,#873,.T.); #14374=EDGE_CURVE('',#12202,#12204,#874,.T.); #14375=EDGE_CURVE('',#12203,#12204,#875,.T.); #14376=EDGE_CURVE('',#12205,#12201,#690,.T.); #14377=EDGE_CURVE('',#12199,#12206,#876,.T.); #14378=EDGE_CURVE('',#12205,#12206,#877,.T.); #14379=EDGE_CURVE('',#12207,#12205,#691,.T.); #14380=EDGE_CURVE('',#12208,#12207,#692,.T.); #14381=EDGE_CURVE('',#12209,#12208,#878,.T.); #14382=EDGE_CURVE('',#12210,#12209,#693,.T.); #14383=EDGE_CURVE('',#12210,#12211,#694,.T.); #14384=EDGE_CURVE('',#12211,#12212,#695,.T.); #14385=EDGE_CURVE('',#12212,#12204,#696,.T.); #14386=EDGE_CURVE('',#12211,#12213,#879,.T.); #14387=EDGE_CURVE('',#12214,#12213,#880,.T.); #14388=EDGE_CURVE('',#12212,#12214,#881,.T.); #14389=EDGE_CURVE('',#12203,#12214,#882,.T.); #14390=EDGE_CURVE('',#12213,#12215,#883,.T.); #14391=EDGE_CURVE('',#12216,#12215,#884,.T.); #14392=EDGE_CURVE('',#12217,#12216,#885,.T.); #14393=EDGE_CURVE('',#12218,#12217,#886,.T.); #14394=EDGE_CURVE('',#12206,#12218,#887,.T.); #14395=EDGE_CURVE('',#12215,#12210,#888,.T.); #14396=EDGE_CURVE('',#12216,#12209,#889,.T.); #14397=EDGE_CURVE('',#12208,#12217,#890,.T.); #14398=EDGE_CURVE('',#12218,#12207,#891,.T.); #14399=EDGE_CURVE('',#12219,#12219,#10318,.T.); #14400=EDGE_CURVE('',#12220,#12220,#10319,.T.); #14401=EDGE_CURVE('',#12221,#12221,#10320,.T.); #14402=EDGE_CURVE('',#12222,#12222,#10321,.T.); #14403=EDGE_CURVE('',#12223,#12223,#10322,.T.); #14404=EDGE_CURVE('',#12224,#12224,#10323,.T.); #14405=EDGE_CURVE('',#12225,#12225,#10324,.T.); #14406=EDGE_CURVE('',#12226,#12226,#10325,.T.); #14407=EDGE_CURVE('',#12227,#12227,#10326,.T.); #14408=EDGE_CURVE('',#12228,#12228,#10327,.T.); #14409=EDGE_CURVE('',#12229,#12229,#10328,.T.); #14410=EDGE_CURVE('',#12230,#12230,#10329,.T.); #14411=EDGE_CURVE('',#12231,#12231,#10330,.T.); #14412=EDGE_CURVE('',#12232,#12232,#10331,.T.); #14413=EDGE_CURVE('',#12233,#12233,#10332,.T.); #14414=EDGE_CURVE('',#12234,#12234,#10333,.T.); #14415=EDGE_CURVE('',#12235,#12235,#10334,.T.); #14416=EDGE_CURVE('',#12236,#12236,#10335,.T.); #14417=EDGE_CURVE('',#12237,#12237,#10336,.T.); #14418=EDGE_CURVE('',#12238,#12238,#10337,.T.); #14419=EDGE_CURVE('',#12239,#12239,#10338,.T.); #14420=EDGE_CURVE('',#12240,#12240,#10339,.T.); #14421=EDGE_CURVE('',#12241,#12241,#10340,.T.); #14422=EDGE_CURVE('',#12242,#12242,#10341,.T.); #14423=EDGE_CURVE('',#12243,#12243,#10342,.T.); #14424=EDGE_CURVE('',#12244,#12244,#10343,.T.); #14425=EDGE_CURVE('',#12245,#12245,#10344,.T.); #14426=EDGE_CURVE('',#12246,#12246,#10345,.T.); #14427=EDGE_CURVE('',#12247,#12247,#10346,.T.); #14428=EDGE_CURVE('',#12248,#12248,#10347,.T.); #14429=EDGE_CURVE('',#12249,#12249,#10348,.T.); #14430=EDGE_CURVE('',#12250,#12250,#10349,.T.); #14431=EDGE_CURVE('',#12251,#12251,#10350,.T.); #14432=EDGE_CURVE('',#12252,#12252,#10351,.T.); #14433=EDGE_CURVE('',#12253,#12253,#10352,.T.); #14434=EDGE_CURVE('',#12254,#12254,#10353,.T.); #14435=EDGE_CURVE('',#12255,#12255,#10354,.T.); #14436=EDGE_CURVE('',#12256,#12256,#10355,.T.); #14437=EDGE_CURVE('',#12257,#12257,#10356,.T.); #14438=EDGE_CURVE('',#12258,#12258,#10357,.T.); #14439=EDGE_CURVE('',#12259,#12259,#10358,.T.); #14440=EDGE_CURVE('',#12260,#12260,#10359,.T.); #14441=EDGE_CURVE('',#12261,#12261,#10360,.T.); #14442=EDGE_CURVE('',#12262,#12262,#10361,.T.); #14443=EDGE_CURVE('',#12263,#12264,#697,.T.); #14444=EDGE_CURVE('',#12265,#12263,#892,.T.); #14445=EDGE_CURVE('',#12266,#12265,#893,.T.); #14446=EDGE_CURVE('',#12266,#12264,#894,.T.); #14447=EDGE_CURVE('',#12267,#12263,#698,.T.); #14448=EDGE_CURVE('',#12268,#12267,#895,.T.); #14449=EDGE_CURVE('',#12265,#12268,#896,.T.); #14450=EDGE_CURVE('',#12269,#12270,#699,.T.); #14451=EDGE_CURVE('',#12270,#12271,#897,.T.); #14452=EDGE_CURVE('',#12272,#12271,#898,.T.); #14453=EDGE_CURVE('',#12269,#12272,#899,.T.); #14454=EDGE_CURVE('',#12264,#12270,#700,.T.); #14455=EDGE_CURVE('',#12271,#12266,#900,.T.); #14456=EDGE_CURVE('',#12268,#12273,#901,.T.); #14457=EDGE_CURVE('',#12272,#12273,#902,.T.); #14458=EDGE_CURVE('',#12274,#12269,#701,.T.); #14459=EDGE_CURVE('',#12267,#12274,#702,.T.); #14460=EDGE_CURVE('',#12273,#12274,#903,.T.); #14461=EDGE_CURVE('',#12275,#12275,#10362,.T.); #14462=EDGE_CURVE('',#12276,#12276,#10363,.T.); #14463=EDGE_CURVE('',#12277,#12277,#10364,.T.); #14464=EDGE_CURVE('',#12278,#12278,#10365,.T.); #14465=EDGE_CURVE('',#12279,#12279,#10366,.T.); #14466=EDGE_CURVE('',#12280,#12280,#10367,.T.); #14467=EDGE_CURVE('',#12281,#12281,#10368,.T.); #14468=EDGE_CURVE('',#12282,#12282,#10369,.T.); #14469=EDGE_CURVE('',#12283,#12283,#10370,.T.); #14470=EDGE_CURVE('',#12284,#12284,#10371,.T.); #14471=EDGE_CURVE('',#12285,#12285,#10372,.T.); #14472=EDGE_CURVE('',#12286,#12286,#10373,.T.); #14473=EDGE_CURVE('',#12287,#12287,#10374,.T.); #14474=EDGE_CURVE('',#12288,#12288,#10375,.T.); #14475=EDGE_CURVE('',#12289,#12289,#10376,.T.); #14476=EDGE_CURVE('',#12290,#12290,#10377,.T.); #14477=EDGE_CURVE('',#12291,#12291,#10378,.T.); #14478=EDGE_CURVE('',#12292,#12292,#10379,.T.); #14479=EDGE_CURVE('',#12293,#12293,#10380,.T.); #14480=EDGE_CURVE('',#12294,#12294,#10381,.T.); #14481=EDGE_CURVE('',#12295,#12295,#10382,.T.); #14482=EDGE_CURVE('',#12296,#12296,#10383,.T.); #14483=EDGE_CURVE('',#12297,#12297,#10384,.T.); #14484=EDGE_CURVE('',#12298,#12298,#10385,.T.); #14485=EDGE_CURVE('',#12299,#12299,#10386,.T.); #14486=EDGE_CURVE('',#12300,#12300,#10387,.T.); #14487=EDGE_CURVE('',#12301,#12301,#10388,.T.); #14488=EDGE_CURVE('',#12302,#12302,#10389,.T.); #14489=EDGE_CURVE('',#12303,#12303,#10390,.T.); #14490=EDGE_CURVE('',#12304,#12304,#10391,.T.); #14491=EDGE_CURVE('',#12305,#12305,#10392,.T.); #14492=EDGE_CURVE('',#12306,#12306,#10393,.T.); #14493=EDGE_CURVE('',#12307,#12307,#10394,.T.); #14494=EDGE_CURVE('',#12308,#12308,#10395,.T.); #14495=EDGE_CURVE('',#12309,#12309,#10396,.T.); #14496=EDGE_CURVE('',#12310,#12310,#10397,.T.); #14497=EDGE_CURVE('',#12311,#12311,#10398,.T.); #14498=EDGE_CURVE('',#12312,#12312,#10399,.T.); #14499=EDGE_CURVE('',#12313,#12313,#10400,.T.); #14500=EDGE_CURVE('',#12314,#12314,#10401,.T.); #14501=EDGE_CURVE('',#12315,#12315,#10402,.T.); #14502=EDGE_CURVE('',#12316,#12316,#10403,.T.); #14503=EDGE_CURVE('',#12317,#12317,#10404,.T.); #14504=EDGE_CURVE('',#12318,#12318,#10405,.T.); #14505=EDGE_CURVE('',#12319,#12320,#904,.T.); #14506=EDGE_CURVE('',#12321,#12320,#905,.T.); #14507=EDGE_CURVE('',#12322,#12321,#906,.T.); #14508=EDGE_CURVE('',#12319,#12322,#703,.T.); #14509=EDGE_CURVE('',#12320,#12323,#907,.T.); #14510=EDGE_CURVE('',#12324,#12323,#908,.T.); #14511=EDGE_CURVE('',#12324,#12325,#909,.T.); #14512=EDGE_CURVE('',#12325,#12326,#910,.T.); #14513=EDGE_CURVE('',#12326,#12321,#911,.T.); #14514=EDGE_CURVE('',#12319,#12327,#704,.T.); #14515=EDGE_CURVE('',#12323,#12327,#912,.T.); #14516=EDGE_CURVE('',#12322,#12328,#705,.T.); #14517=EDGE_CURVE('',#12328,#12329,#706,.T.); #14518=EDGE_CURVE('',#12329,#12330,#707,.T.); #14519=EDGE_CURVE('',#12327,#12330,#708,.T.); #14520=EDGE_CURVE('',#12326,#12328,#913,.T.); #14521=EDGE_CURVE('',#12329,#12325,#914,.T.); #14522=EDGE_CURVE('',#12330,#12324,#915,.T.); #14523=EDGE_CURVE('',#12331,#12331,#10406,.T.); #14524=EDGE_CURVE('',#12332,#12332,#10407,.T.); #14525=EDGE_CURVE('',#12333,#12333,#10408,.T.); #14526=EDGE_CURVE('',#12334,#12334,#10409,.T.); #14527=EDGE_CURVE('',#12335,#12335,#10410,.T.); #14528=EDGE_CURVE('',#12336,#12336,#10411,.T.); #14529=EDGE_CURVE('',#12337,#12337,#10412,.T.); #14530=EDGE_CURVE('',#12338,#12338,#10413,.T.); #14531=EDGE_CURVE('',#12339,#12339,#10414,.T.); #14532=EDGE_CURVE('',#12340,#12340,#10415,.T.); #14533=EDGE_CURVE('',#12341,#12341,#10416,.T.); #14534=EDGE_CURVE('',#12342,#12342,#10417,.T.); #14535=EDGE_CURVE('',#12343,#12343,#10418,.T.); #14536=EDGE_CURVE('',#12344,#12344,#10419,.T.); #14537=EDGE_CURVE('',#12345,#12345,#10420,.T.); #14538=EDGE_CURVE('',#12346,#12346,#10421,.T.); #14539=EDGE_CURVE('',#12347,#12347,#10422,.T.); #14540=EDGE_CURVE('',#12348,#12348,#10423,.T.); #14541=EDGE_CURVE('',#12349,#12349,#10424,.T.); #14542=EDGE_CURVE('',#12350,#12350,#10425,.T.); #14543=EDGE_CURVE('',#12351,#12351,#10426,.T.); #14544=EDGE_CURVE('',#12352,#12352,#10427,.T.); #14545=EDGE_CURVE('',#12353,#12354,#679,.T.); #14546=EDGE_CURVE('',#12354,#12353,#680,.T.); #14547=EDGE_CURVE('',#12355,#12355,#10428,.T.); #14548=EDGE_CURVE('',#12356,#12356,#10429,.T.); #14549=EDGE_CURVE('',#12354,#12353,#10430,.T.); #14550=EDGE_CURVE('',#12357,#12357,#10431,.T.); #14551=EDGE_CURVE('',#12358,#12358,#10432,.T.); #14552=EDGE_CURVE('',#12359,#12359,#10433,.T.); #14553=EDGE_CURVE('',#12360,#12360,#10434,.T.); #14554=EDGE_CURVE('',#12361,#12361,#10435,.T.); #14555=EDGE_CURVE('',#12362,#12362,#10436,.T.); #14556=EDGE_CURVE('',#12363,#12363,#10437,.T.); #14557=EDGE_CURVE('',#12364,#12364,#10438,.T.); #14558=EDGE_CURVE('',#12365,#12365,#10439,.T.); #14559=EDGE_CURVE('',#12366,#12367,#916,.T.); #14560=EDGE_CURVE('',#12367,#12368,#10440,.T.); #14561=EDGE_CURVE('',#12368,#12369,#917,.T.); #14562=EDGE_CURVE('',#12366,#12369,#709,.T.); #14563=EDGE_CURVE('',#12370,#12371,#10441,.T.); #14564=EDGE_CURVE('',#12371,#12368,#10442,.T.); #14565=EDGE_CURVE('',#12367,#12370,#10443,.T.); #14566=EDGE_CURVE('',#12372,#12373,#10444,.T.); #14567=EDGE_CURVE('',#12373,#12371,#10445,.T.); #14568=EDGE_CURVE('',#12370,#12372,#10446,.T.); #14569=EDGE_CURVE('',#12374,#12375,#10447,.T.); #14570=EDGE_CURVE('',#12375,#12373,#10448,.T.); #14571=EDGE_CURVE('',#12372,#12374,#10449,.T.); #14572=EDGE_CURVE('',#12376,#12377,#10450,.T.); #14573=EDGE_CURVE('',#12377,#12375,#918,.T.); #14574=EDGE_CURVE('',#12374,#12376,#919,.T.); #14575=EDGE_CURVE('',#12378,#12379,#10451,.T.); #14576=EDGE_CURVE('',#12379,#12377,#10452,.T.); #14577=EDGE_CURVE('',#12376,#12378,#10453,.T.); #14578=EDGE_CURVE('',#12380,#12381,#10454,.T.); #14579=EDGE_CURVE('',#12381,#12379,#920,.T.); #14580=EDGE_CURVE('',#12378,#12380,#921,.T.); #14581=EDGE_CURVE('',#12382,#12383,#10455,.T.); #14582=EDGE_CURVE('',#12383,#12381,#10456,.T.); #14583=EDGE_CURVE('',#12380,#12382,#10457,.T.); #14584=EDGE_CURVE('',#12384,#12385,#10458,.T.); #14585=EDGE_CURVE('',#12385,#12383,#922,.T.); #14586=EDGE_CURVE('',#12382,#12384,#923,.T.); #14587=EDGE_CURVE('',#12386,#12387,#10459,.T.); #14588=EDGE_CURVE('',#12387,#12385,#10460,.T.); #14589=EDGE_CURVE('',#12384,#12386,#10461,.T.); #14590=EDGE_CURVE('',#12388,#12389,#10462,.T.); #14591=EDGE_CURVE('',#12389,#12387,#924,.T.); #14592=EDGE_CURVE('',#12386,#12388,#925,.T.); #14593=EDGE_CURVE('',#12390,#12391,#10463,.T.); #14594=EDGE_CURVE('',#12391,#12389,#10464,.T.); #14595=EDGE_CURVE('',#12388,#12390,#10465,.T.); #14596=EDGE_CURVE('',#12369,#12391,#926,.T.); #14597=EDGE_CURVE('',#12390,#12366,#927,.T.); #14598=EDGE_CURVE('',#12383,#12392,#928,.T.); #14599=EDGE_CURVE('',#12392,#12393,#10466,.T.); #14600=EDGE_CURVE('',#12393,#12381,#929,.T.); #14601=EDGE_CURVE('',#12394,#12385,#930,.T.); #14602=EDGE_CURVE('',#12392,#12394,#931,.T.); #14603=EDGE_CURVE('',#12387,#12395,#932,.T.); #14604=EDGE_CURVE('',#12395,#12394,#10467,.T.); #14605=EDGE_CURVE('',#12396,#12389,#933,.T.); #14606=EDGE_CURVE('',#12395,#12396,#934,.T.); #14607=EDGE_CURVE('',#12391,#12397,#935,.T.); #14608=EDGE_CURVE('',#12397,#12396,#10468,.T.); #14609=EDGE_CURVE('',#12398,#12369,#936,.T.); #14610=EDGE_CURVE('',#12397,#12398,#937,.T.); #14611=EDGE_CURVE('',#12399,#12368,#938,.T.); #14612=EDGE_CURVE('',#12398,#12399,#939,.T.); #14613=EDGE_CURVE('',#12400,#12400,#10469,.T.); #14614=EDGE_CURVE('',#12401,#12401,#10470,.T.); #14615=EDGE_CURVE('',#12402,#12402,#10471,.T.); #14616=EDGE_CURVE('',#12403,#12403,#10472,.T.); #14617=EDGE_CURVE('',#12404,#12404,#10473,.T.); #14618=EDGE_CURVE('',#12405,#12405,#10474,.T.); #14619=EDGE_CURVE('',#12406,#12406,#10475,.T.); #14620=EDGE_CURVE('',#12407,#12407,#10476,.T.); #14621=EDGE_CURVE('',#12408,#12408,#10477,.T.); #14622=EDGE_CURVE('',#12409,#12409,#10478,.T.); #14623=EDGE_CURVE('',#12410,#12410,#10479,.T.); #14624=EDGE_CURVE('',#12411,#12411,#10480,.T.); #14625=EDGE_CURVE('',#12412,#12412,#10481,.T.); #14626=EDGE_CURVE('',#12413,#12413,#10482,.T.); #14627=EDGE_CURVE('',#12414,#12414,#10483,.T.); #14628=EDGE_CURVE('',#12415,#12415,#10484,.T.); #14629=EDGE_CURVE('',#12416,#12416,#10485,.T.); #14630=EDGE_CURVE('',#12417,#12417,#10486,.T.); #14631=EDGE_CURVE('',#12418,#12418,#10487,.T.); #14632=EDGE_CURVE('',#12419,#12419,#10488,.T.); #14633=EDGE_CURVE('',#12420,#12420,#10489,.T.); #14634=EDGE_CURVE('',#12421,#12421,#10490,.T.); #14635=EDGE_CURVE('',#12422,#12422,#10491,.T.); #14636=EDGE_CURVE('',#12423,#12423,#10492,.T.); #14637=EDGE_CURVE('',#12424,#12424,#10493,.T.); #14638=EDGE_CURVE('',#12425,#12425,#10494,.T.); #14639=EDGE_CURVE('',#12426,#12426,#10495,.T.); #14640=EDGE_CURVE('',#12427,#12427,#10496,.T.); #14641=EDGE_CURVE('',#12428,#12428,#10497,.T.); #14642=EDGE_CURVE('',#12429,#12429,#10498,.T.); #14643=EDGE_CURVE('',#12430,#12430,#10499,.T.); #14644=EDGE_CURVE('',#12431,#12431,#10500,.T.); #14645=EDGE_CURVE('',#12432,#12432,#10501,.T.); #14646=EDGE_CURVE('',#12433,#12433,#10502,.T.); #14647=EDGE_CURVE('',#12434,#12434,#10503,.T.); #14648=EDGE_CURVE('',#12435,#12435,#10504,.T.); #14649=EDGE_CURVE('',#12436,#12436,#10505,.T.); #14650=EDGE_CURVE('',#12437,#12437,#10506,.T.); #14651=EDGE_CURVE('',#12438,#12438,#10507,.T.); #14652=EDGE_CURVE('',#12439,#12439,#10508,.T.); #14653=EDGE_CURVE('',#12440,#12440,#10509,.T.); #14654=EDGE_CURVE('',#12441,#12441,#10510,.T.); #14655=EDGE_CURVE('',#12442,#12442,#10511,.T.); #14656=EDGE_CURVE('',#12443,#12443,#10512,.T.); #14657=EDGE_CURVE('',#12444,#12444,#10513,.T.); #14658=EDGE_CURVE('',#12445,#12445,#10514,.T.); #14659=EDGE_CURVE('',#12446,#12446,#10515,.T.); #14660=EDGE_CURVE('',#12447,#12447,#10516,.T.); #14661=EDGE_CURVE('',#12448,#12448,#10517,.T.); #14662=EDGE_CURVE('',#12449,#12449,#10518,.T.); #14663=EDGE_CURVE('',#12450,#12450,#10519,.T.); #14664=EDGE_CURVE('',#12451,#12451,#10520,.T.); #14665=EDGE_CURVE('',#12452,#12452,#10521,.T.); #14666=EDGE_CURVE('',#12453,#12453,#10522,.T.); #14667=EDGE_CURVE('',#12454,#12454,#10523,.T.); #14668=EDGE_CURVE('',#12455,#12455,#10524,.T.); #14669=EDGE_CURVE('',#12456,#12456,#10525,.T.); #14670=EDGE_CURVE('',#12457,#12457,#10526,.T.); #14671=EDGE_CURVE('',#12458,#12458,#10527,.T.); #14672=EDGE_CURVE('',#12459,#12459,#10528,.T.); #14673=EDGE_CURVE('',#12460,#12460,#10529,.T.); #14674=EDGE_CURVE('',#12461,#12461,#10530,.T.); #14675=EDGE_CURVE('',#12462,#12462,#10531,.T.); #14676=EDGE_CURVE('',#12463,#12463,#10532,.T.); #14677=EDGE_CURVE('',#12464,#12464,#10533,.T.); #14678=EDGE_CURVE('',#12465,#12465,#10534,.T.); #14679=EDGE_CURVE('',#12466,#12466,#10535,.T.); #14680=EDGE_CURVE('',#12467,#12467,#10536,.T.); #14681=EDGE_CURVE('',#12468,#12468,#10537,.T.); #14682=EDGE_CURVE('',#12469,#12469,#10538,.T.); #14683=EDGE_CURVE('',#12470,#12470,#10539,.T.); #14684=EDGE_CURVE('',#12471,#12471,#10540,.T.); #14685=EDGE_CURVE('',#12472,#12472,#10541,.T.); #14686=EDGE_CURVE('',#12473,#12473,#10542,.T.); #14687=EDGE_CURVE('',#12474,#12474,#10543,.T.); #14688=EDGE_CURVE('',#12475,#12475,#10544,.T.); #14689=EDGE_CURVE('',#12476,#12476,#10545,.T.); #14690=EDGE_CURVE('',#12477,#12477,#10546,.T.); #14691=EDGE_CURVE('',#12478,#12478,#10547,.T.); #14692=EDGE_CURVE('',#12479,#12479,#10548,.T.); #14693=EDGE_CURVE('',#12480,#12480,#10549,.T.); #14694=EDGE_CURVE('',#12481,#12481,#10550,.T.); #14695=EDGE_CURVE('',#12482,#12482,#10551,.T.); #14696=EDGE_CURVE('',#12483,#12483,#10552,.T.); #14697=EDGE_CURVE('',#12484,#12484,#10553,.T.); #14698=EDGE_CURVE('',#12485,#12485,#10554,.T.); #14699=EDGE_CURVE('',#12486,#12486,#10555,.T.); #14700=EDGE_CURVE('',#12487,#12487,#10556,.T.); #14701=EDGE_CURVE('',#12488,#12488,#10557,.T.); #14702=EDGE_CURVE('',#12489,#12489,#10558,.T.); #14703=EDGE_CURVE('',#12490,#12490,#10559,.T.); #14704=EDGE_CURVE('',#12491,#12491,#10560,.T.); #14705=EDGE_CURVE('',#12492,#12492,#10561,.T.); #14706=EDGE_CURVE('',#12493,#12493,#10562,.T.); #14707=EDGE_CURVE('',#12494,#12494,#10563,.T.); #14708=EDGE_CURVE('',#12495,#12495,#10564,.T.); #14709=EDGE_CURVE('',#12496,#12496,#10565,.T.); #14710=EDGE_CURVE('',#12497,#12497,#10566,.T.); #14711=EDGE_CURVE('',#12498,#12498,#10567,.T.); #14712=EDGE_CURVE('',#12499,#12499,#10568,.T.); #14713=EDGE_CURVE('',#12500,#12500,#10569,.T.); #14714=EDGE_CURVE('',#12501,#12501,#10570,.T.); #14715=EDGE_CURVE('',#12502,#12503,#940,.T.); #14716=EDGE_CURVE('',#12504,#12502,#941,.T.); #14717=EDGE_CURVE('',#12505,#12504,#942,.T.); #14718=EDGE_CURVE('',#12503,#12505,#943,.T.); #14719=EDGE_CURVE('',#12506,#12504,#944,.T.); #14720=EDGE_CURVE('',#12506,#12507,#945,.T.); #14721=EDGE_CURVE('',#12507,#12508,#946,.T.); #14722=EDGE_CURVE('',#12505,#12508,#947,.T.); #14723=EDGE_CURVE('',#12509,#12506,#948,.T.); #14724=EDGE_CURVE('',#12510,#12509,#710,.T.); #14725=EDGE_CURVE('',#12507,#12510,#949,.T.); #14726=EDGE_CURVE('',#12511,#12510,#711,.T.); #14727=EDGE_CURVE('',#12508,#12511,#950,.T.); #14728=EDGE_CURVE('',#12509,#12512,#712,.T.); #14729=EDGE_CURVE('',#12513,#12512,#951,.T.); #14730=EDGE_CURVE('',#12513,#12511,#713,.T.); #14731=EDGE_CURVE('',#12514,#12503,#952,.T.); #14732=EDGE_CURVE('',#12515,#12514,#953,.T.); #14733=EDGE_CURVE('',#12502,#12515,#954,.T.); #14734=EDGE_CURVE('',#12516,#12514,#955,.T.); #14735=EDGE_CURVE('',#12516,#12517,#956,.T.); #14736=EDGE_CURVE('',#12515,#12517,#957,.T.); #14737=EDGE_CURVE('',#12517,#12518,#958,.T.); #14738=EDGE_CURVE('',#12519,#12516,#959,.T.); #14739=EDGE_CURVE('',#12518,#12519,#960,.T.); #14740=EDGE_CURVE('',#12512,#12518,#961,.T.); #14741=EDGE_CURVE('',#12519,#12513,#962,.T.); #14742=EDGE_CURVE('',#12520,#12393,#963,.T.); #14743=EDGE_CURVE('',#12521,#12399,#10571,.T.); #14744=EDGE_CURVE('',#12521,#12522,#10572,.T.); #14745=EDGE_CURVE('',#12523,#12522,#10573,.T.); #14746=EDGE_CURVE('',#12523,#12524,#964,.T.); #14747=EDGE_CURVE('',#12520,#12524,#10574,.T.); #14748=EDGE_CURVE('',#12379,#12520,#965,.T.); #14749=EDGE_CURVE('',#12524,#12377,#966,.T.); #14750=EDGE_CURVE('',#12375,#12523,#967,.T.); #14751=EDGE_CURVE('',#12522,#12373,#968,.T.); #14752=EDGE_CURVE('',#12371,#12521,#969,.T.); #14753=EDGE_CURVE('',#12525,#12525,#10575,.T.); #14754=EDGE_CURVE('',#12526,#12526,#10576,.T.); #14755=EDGE_CURVE('',#12527,#12527,#681,.T.); #14756=EDGE_CURVE('',#12528,#12528,#10577,.T.); #14757=EDGE_CURVE('',#12529,#12529,#10578,.T.); #14758=EDGE_CURVE('',#12530,#12530,#10579,.T.); #14759=EDGE_CURVE('',#12531,#12531,#10580,.T.); #14760=EDGE_CURVE('',#12532,#12532,#682,.T.); #14761=EDGE_CURVE('',#12533,#12533,#10581,.T.); #14762=EDGE_CURVE('',#12534,#12534,#10582,.T.); #14763=EDGE_CURVE('',#12535,#12535,#10583,.T.); #14764=EDGE_CURVE('',#12536,#12536,#10584,.T.); #14765=EDGE_CURVE('',#12537,#12537,#10585,.T.); #14766=EDGE_CURVE('',#12538,#12538,#10586,.T.); #14767=EDGE_CURVE('',#12539,#12539,#10587,.T.); #14768=EDGE_CURVE('',#12540,#12540,#10588,.T.); #14769=EDGE_CURVE('',#12541,#12541,#10589,.T.); #14770=EDGE_CURVE('',#12542,#12542,#10590,.T.); #14771=EDGE_CURVE('',#12543,#12543,#10591,.T.); #14772=EDGE_CURVE('',#12544,#12544,#10592,.T.); #14773=EDGE_CURVE('',#12545,#12545,#10593,.T.); #14774=EDGE_CURVE('',#12546,#12546,#10594,.T.); #14775=EDGE_CURVE('',#12547,#12547,#10595,.T.); #14776=EDGE_CURVE('',#12548,#12548,#10596,.T.); #14777=EDGE_CURVE('',#12549,#12549,#10597,.T.); #14778=EDGE_CURVE('',#12550,#12550,#10598,.T.); #14779=EDGE_CURVE('',#12551,#12551,#10599,.T.); #14780=EDGE_CURVE('',#12552,#12552,#10600,.T.); #14781=EDGE_CURVE('',#12553,#12553,#10601,.T.); #14782=EDGE_CURVE('',#12554,#12554,#10602,.T.); #14783=EDGE_CURVE('',#12555,#12555,#10603,.T.); #14784=EDGE_CURVE('',#12556,#12556,#10604,.T.); #14785=EDGE_CURVE('',#12557,#12557,#10605,.T.); #14786=EDGE_CURVE('',#12558,#12558,#10606,.T.); #14787=EDGE_CURVE('',#12559,#12559,#10607,.T.); #14788=EDGE_CURVE('',#12560,#12560,#10608,.T.); #14789=EDGE_CURVE('',#12561,#12561,#10609,.T.); #14790=EDGE_CURVE('',#12562,#12562,#10610,.T.); #14791=EDGE_CURVE('',#12563,#12563,#10611,.T.); #14792=EDGE_CURVE('',#12564,#12564,#10612,.T.); #14793=EDGE_CURVE('',#12565,#12565,#10613,.T.); #14794=EDGE_CURVE('',#12566,#12566,#10614,.T.); #14795=EDGE_CURVE('',#12567,#12567,#10615,.T.); #14796=EDGE_CURVE('',#12568,#12568,#10616,.T.); #14797=EDGE_CURVE('',#12569,#12569,#10617,.T.); #14798=EDGE_CURVE('',#12570,#12570,#10618,.T.); #14799=EDGE_CURVE('',#12571,#12572,#714,.T.); #14800=EDGE_CURVE('',#12573,#12571,#970,.T.); #14801=EDGE_CURVE('',#12574,#12573,#715,.T.); #14802=EDGE_CURVE('',#12572,#12574,#971,.T.); #14803=EDGE_CURVE('',#12575,#12573,#972,.T.); #14804=EDGE_CURVE('',#12576,#12575,#973,.T.); #14805=EDGE_CURVE('',#12574,#12576,#974,.T.); #14806=EDGE_CURVE('',#12571,#12577,#975,.T.); #14807=EDGE_CURVE('',#12577,#12575,#976,.T.); #14808=EDGE_CURVE('',#12578,#12572,#977,.T.); #14809=EDGE_CURVE('',#12577,#12578,#978,.T.); #14810=EDGE_CURVE('',#12576,#12578,#979,.T.); #14811=EDGE_CURVE('',#12579,#12580,#980,.T.); #14812=EDGE_CURVE('',#12580,#12581,#981,.T.); #14813=EDGE_CURVE('',#12582,#12581,#982,.T.); #14814=EDGE_CURVE('',#12582,#12579,#983,.T.); #14815=EDGE_CURVE('',#12583,#12581,#984,.T.); #14816=EDGE_CURVE('',#12583,#12584,#985,.T.); #14817=EDGE_CURVE('',#12584,#12585,#986,.T.); #14818=EDGE_CURVE('',#12582,#12585,#987,.T.); #14819=EDGE_CURVE('',#12586,#12586,#10619,.T.); #14820=EDGE_CURVE('',#12587,#12587,#10620,.T.); #14821=EDGE_CURVE('',#12588,#12588,#10621,.T.); #14822=EDGE_CURVE('',#12589,#12589,#10622,.T.); #14823=EDGE_CURVE('',#12590,#12590,#10623,.T.); #14824=EDGE_CURVE('',#12591,#12591,#10624,.T.); #14825=EDGE_CURVE('',#12592,#12592,#10625,.T.); #14826=EDGE_CURVE('',#12593,#12593,#10626,.T.); #14827=EDGE_CURVE('',#12594,#12594,#10627,.T.); #14828=EDGE_CURVE('',#12595,#12595,#10628,.T.); #14829=EDGE_CURVE('',#12596,#12596,#10629,.T.); #14830=EDGE_CURVE('',#12597,#12597,#10630,.T.); #14831=EDGE_CURVE('',#12598,#12598,#10631,.T.); #14832=EDGE_CURVE('',#12599,#12599,#10632,.T.); #14833=EDGE_CURVE('',#12600,#12600,#10633,.T.); #14834=EDGE_CURVE('',#12601,#12601,#10634,.T.); #14835=EDGE_CURVE('',#12602,#12602,#10635,.T.); #14836=EDGE_CURVE('',#12603,#12603,#10636,.T.); #14837=EDGE_CURVE('',#12604,#12604,#10637,.T.); #14838=EDGE_CURVE('',#12605,#12605,#10638,.T.); #14839=EDGE_CURVE('',#12606,#12606,#10639,.T.); #14840=EDGE_CURVE('',#12607,#12607,#10640,.T.); #14841=EDGE_CURVE('',#12608,#12608,#10641,.T.); #14842=EDGE_CURVE('',#12609,#12609,#10642,.T.); #14843=EDGE_CURVE('',#12610,#12610,#10643,.T.); #14844=EDGE_CURVE('',#12611,#12611,#10644,.T.); #14845=EDGE_CURVE('',#12612,#12613,#683,.T.); #14846=EDGE_CURVE('',#12612,#12613,#684,.T.); #14847=EDGE_CURVE('',#12612,#12613,#10645,.T.); #14848=EDGE_CURVE('',#12614,#12614,#10646,.T.); #14849=EDGE_CURVE('',#12615,#12615,#10647,.T.); #14850=EDGE_CURVE('',#12616,#12616,#10648,.T.); #14851=EDGE_CURVE('',#12617,#12617,#10649,.T.); #14852=EDGE_CURVE('',#12618,#12618,#10650,.T.); #14853=EDGE_CURVE('',#12619,#12619,#10651,.T.); #14854=EDGE_CURVE('',#12620,#12620,#10652,.T.); #14855=EDGE_CURVE('',#12621,#12621,#10653,.T.); #14856=EDGE_CURVE('',#12622,#12623,#685,.T.); #14857=EDGE_CURVE('',#12623,#12622,#10654,.T.); #14858=EDGE_CURVE('',#12623,#12622,#686,.T.); #14859=EDGE_CURVE('',#12624,#12624,#10655,.T.); #14860=EDGE_CURVE('',#12625,#12625,#10656,.T.); #14861=EDGE_CURVE('',#12626,#12626,#10657,.T.); #14862=EDGE_CURVE('',#12627,#12627,#10658,.T.); #14863=EDGE_CURVE('',#12628,#12628,#10659,.T.); #14864=EDGE_CURVE('',#12629,#12629,#10660,.T.); #14865=EDGE_CURVE('',#12630,#12630,#10661,.T.); #14866=EDGE_CURVE('',#12631,#12631,#10662,.T.); #14867=EDGE_CURVE('',#12632,#12632,#10663,.T.); #14868=EDGE_CURVE('',#12633,#12633,#10664,.T.); #14869=EDGE_CURVE('',#12634,#12634,#10665,.T.); #14870=EDGE_CURVE('',#12635,#12635,#10666,.T.); #14871=EDGE_CURVE('',#12636,#12636,#10667,.T.); #14872=EDGE_CURVE('',#12637,#12637,#10668,.T.); #14873=EDGE_CURVE('',#12638,#12638,#10669,.T.); #14874=EDGE_CURVE('',#12639,#12639,#10670,.T.); #14875=EDGE_CURVE('',#12640,#12640,#10671,.T.); #14876=EDGE_CURVE('',#12641,#12641,#10672,.T.); #14877=EDGE_CURVE('',#12642,#12642,#10673,.T.); #14878=EDGE_CURVE('',#12643,#12643,#10674,.T.); #14879=EDGE_CURVE('',#12644,#12644,#10675,.T.); #14880=EDGE_CURVE('',#12645,#12645,#10676,.T.); #14881=EDGE_CURVE('',#12646,#12646,#10677,.T.); #14882=EDGE_CURVE('',#12647,#12647,#10678,.T.); #14883=EDGE_CURVE('',#12648,#12648,#10679,.T.); #14884=EDGE_CURVE('',#12649,#12649,#10680,.T.); #14885=EDGE_CURVE('',#12650,#12650,#10681,.T.); #14886=EDGE_CURVE('',#12651,#12651,#10682,.T.); #14887=EDGE_CURVE('',#12652,#12652,#10683,.T.); #14888=EDGE_CURVE('',#12653,#12653,#10684,.T.); #14889=EDGE_CURVE('',#12654,#12654,#10685,.T.); #14890=EDGE_CURVE('',#12655,#12655,#10686,.T.); #14891=EDGE_CURVE('',#12656,#12656,#10687,.T.); #14892=EDGE_CURVE('',#12657,#12657,#10688,.T.); #14893=EDGE_CURVE('',#12658,#12658,#10689,.T.); #14894=EDGE_CURVE('',#12659,#12659,#10690,.T.); #14895=EDGE_CURVE('',#12660,#12660,#10691,.T.); #14896=EDGE_CURVE('',#12661,#12661,#10692,.T.); #14897=EDGE_CURVE('',#12662,#12662,#10693,.T.); #14898=EDGE_CURVE('',#12663,#12663,#10694,.T.); #14899=EDGE_CURVE('',#12664,#12664,#10695,.T.); #14900=EDGE_CURVE('',#12665,#12665,#10696,.T.); #14901=EDGE_CURVE('',#12666,#12666,#10697,.T.); #14902=EDGE_CURVE('',#12667,#12667,#10698,.T.); #14903=EDGE_CURVE('',#12668,#12668,#10699,.T.); #14904=EDGE_CURVE('',#12669,#12669,#10700,.T.); #14905=EDGE_CURVE('',#12670,#12670,#10701,.T.); #14906=EDGE_CURVE('',#12671,#12671,#10702,.T.); #14907=EDGE_CURVE('',#12672,#12672,#10703,.T.); #14908=EDGE_CURVE('',#12673,#12673,#10704,.T.); #14909=EDGE_CURVE('',#12674,#12674,#10705,.T.); #14910=EDGE_CURVE('',#12675,#12675,#10706,.T.); #14911=EDGE_CURVE('',#12676,#12676,#10707,.T.); #14912=EDGE_CURVE('',#12677,#12677,#10708,.T.); #14913=EDGE_CURVE('',#12678,#12678,#10709,.T.); #14914=EDGE_CURVE('',#12679,#12679,#10710,.T.); #14915=EDGE_CURVE('',#12680,#12680,#10711,.T.); #14916=EDGE_CURVE('',#12681,#12681,#10712,.T.); #14917=EDGE_CURVE('',#12682,#12682,#10713,.T.); #14918=EDGE_CURVE('',#12683,#12683,#10714,.T.); #14919=EDGE_CURVE('',#12684,#12684,#10715,.T.); #14920=EDGE_CURVE('',#12685,#12685,#10716,.T.); #14921=EDGE_CURVE('',#12686,#12686,#10717,.T.); #14922=EDGE_CURVE('',#12687,#12687,#10718,.T.); #14923=EDGE_CURVE('',#12688,#12688,#10719,.T.); #14924=EDGE_CURVE('',#12689,#12689,#10720,.T.); #14925=EDGE_CURVE('',#12690,#12690,#10721,.T.); #14926=EDGE_CURVE('',#12691,#12691,#10722,.T.); #14927=EDGE_CURVE('',#12692,#12692,#10723,.T.); #14928=EDGE_CURVE('',#12693,#12693,#10724,.T.); #14929=EDGE_CURVE('',#12694,#12694,#10725,.T.); #14930=EDGE_CURVE('',#12695,#12695,#10726,.T.); #14931=EDGE_CURVE('',#12696,#12696,#10727,.T.); #14932=EDGE_CURVE('',#12697,#12697,#10728,.T.); #14933=EDGE_CURVE('',#12698,#12698,#10729,.T.); #14934=EDGE_CURVE('',#12699,#12699,#10730,.T.); #14935=EDGE_CURVE('',#12700,#12700,#10731,.T.); #14936=EDGE_CURVE('',#12701,#12701,#10732,.T.); #14937=EDGE_CURVE('',#12702,#12702,#10733,.T.); #14938=EDGE_CURVE('',#12703,#12703,#10734,.T.); #14939=EDGE_CURVE('',#12704,#12704,#10735,.T.); #14940=EDGE_CURVE('',#12705,#12705,#10736,.T.); #14941=EDGE_CURVE('',#12706,#12706,#10737,.T.); #14942=EDGE_CURVE('',#12707,#12707,#10738,.T.); #14943=EDGE_CURVE('',#12708,#12708,#10739,.T.); #14944=EDGE_CURVE('',#12709,#12709,#10740,.T.); #14945=EDGE_CURVE('',#12710,#12710,#10741,.T.); #14946=EDGE_CURVE('',#12711,#12711,#10742,.T.); #14947=EDGE_CURVE('',#12712,#12712,#10743,.T.); #14948=EDGE_CURVE('',#12713,#12713,#10744,.T.); #14949=EDGE_CURVE('',#12714,#12714,#10745,.T.); #14950=EDGE_CURVE('',#12715,#12715,#10746,.T.); #14951=EDGE_CURVE('',#12716,#12716,#10747,.T.); #14952=EDGE_CURVE('',#12717,#12717,#10748,.T.); #14953=EDGE_CURVE('',#12718,#12718,#10749,.T.); #14954=EDGE_CURVE('',#12719,#12719,#10750,.T.); #14955=EDGE_CURVE('',#12720,#12720,#10751,.T.); #14956=EDGE_CURVE('',#12721,#12721,#10752,.T.); #14957=EDGE_CURVE('',#12722,#12722,#10753,.T.); #14958=EDGE_CURVE('',#12723,#12723,#10754,.T.); #14959=EDGE_CURVE('',#12724,#12724,#10755,.T.); #14960=EDGE_CURVE('',#12725,#12725,#10756,.T.); #14961=EDGE_CURVE('',#12726,#12726,#10757,.T.); #14962=EDGE_CURVE('',#12727,#12727,#10758,.T.); #14963=EDGE_CURVE('',#12728,#12728,#10759,.T.); #14964=EDGE_CURVE('',#12729,#12729,#10760,.T.); #14965=EDGE_CURVE('',#12730,#12730,#10761,.T.); #14966=EDGE_CURVE('',#12731,#12731,#10762,.T.); #14967=EDGE_CURVE('',#12732,#12732,#10763,.T.); #14968=EDGE_CURVE('',#12733,#12733,#10764,.T.); #14969=EDGE_CURVE('',#12734,#12734,#10765,.T.); #14970=EDGE_CURVE('',#12735,#12735,#10766,.T.); #14971=EDGE_CURVE('',#12736,#12736,#10767,.T.); #14972=EDGE_CURVE('',#12737,#12737,#10768,.T.); #14973=EDGE_CURVE('',#12738,#12738,#10769,.T.); #14974=EDGE_CURVE('',#12739,#12739,#10770,.T.); #14975=EDGE_CURVE('',#12740,#12740,#10771,.T.); #14976=EDGE_CURVE('',#12741,#12741,#10772,.T.); #14977=EDGE_CURVE('',#12742,#12742,#10773,.T.); #14978=EDGE_CURVE('',#12743,#12743,#10774,.T.); #14979=EDGE_CURVE('',#12744,#12744,#10775,.T.); #14980=EDGE_CURVE('',#12745,#12745,#10776,.T.); #14981=EDGE_CURVE('',#12746,#12746,#10777,.T.); #14982=EDGE_CURVE('',#12747,#12747,#10778,.T.); #14983=EDGE_CURVE('',#12748,#12748,#10779,.T.); #14984=EDGE_CURVE('',#12749,#12749,#10780,.T.); #14985=EDGE_CURVE('',#12750,#12750,#10781,.T.); #14986=EDGE_CURVE('',#12751,#12751,#10782,.T.); #14987=EDGE_CURVE('',#12752,#12752,#10783,.T.); #14988=EDGE_CURVE('',#12753,#12753,#10784,.T.); #14989=EDGE_CURVE('',#12754,#12754,#10785,.T.); #14990=EDGE_CURVE('',#12755,#12755,#10786,.T.); #14991=EDGE_CURVE('',#12756,#12756,#10787,.T.); #14992=EDGE_CURVE('',#12757,#12757,#10788,.T.); #14993=EDGE_CURVE('',#12758,#12758,#10789,.T.); #14994=EDGE_CURVE('',#12759,#12759,#10790,.T.); #14995=EDGE_CURVE('',#12760,#12760,#10791,.T.); #14996=EDGE_CURVE('',#12761,#12761,#10792,.T.); #14997=EDGE_CURVE('',#12762,#12762,#10793,.T.); #14998=EDGE_CURVE('',#12763,#12763,#10794,.T.); #14999=EDGE_CURVE('',#12764,#12764,#10795,.T.); #15000=EDGE_CURVE('',#12765,#12765,#10796,.T.); #15001=EDGE_CURVE('',#12766,#12766,#10797,.T.); #15002=EDGE_CURVE('',#12767,#12767,#10798,.T.); #15003=EDGE_CURVE('',#12768,#12768,#10799,.T.); #15004=EDGE_CURVE('',#12769,#12769,#10800,.T.); #15005=EDGE_CURVE('',#12770,#12770,#10801,.T.); #15006=EDGE_CURVE('',#12771,#12771,#10802,.T.); #15007=EDGE_CURVE('',#12772,#12772,#10803,.T.); #15008=EDGE_CURVE('',#12773,#12773,#10804,.T.); #15009=EDGE_CURVE('',#12774,#12774,#10805,.T.); #15010=EDGE_CURVE('',#12775,#12775,#10806,.T.); #15011=EDGE_CURVE('',#12776,#12776,#10807,.T.); #15012=EDGE_CURVE('',#12777,#12777,#10808,.T.); #15013=EDGE_CURVE('',#12778,#12778,#10809,.T.); #15014=EDGE_CURVE('',#12779,#12779,#10810,.T.); #15015=EDGE_CURVE('',#12780,#12780,#10811,.T.); #15016=EDGE_CURVE('',#12781,#12781,#10812,.T.); #15017=EDGE_CURVE('',#12782,#12782,#10813,.T.); #15018=EDGE_CURVE('',#12783,#12783,#10814,.T.); #15019=EDGE_CURVE('',#12784,#12784,#10815,.T.); #15020=EDGE_CURVE('',#12785,#12785,#10816,.T.); #15021=EDGE_CURVE('',#12786,#12786,#10817,.T.); #15022=EDGE_CURVE('',#12787,#12787,#10818,.T.); #15023=EDGE_CURVE('',#12788,#12788,#10819,.T.); #15024=EDGE_CURVE('',#12789,#12789,#10820,.T.); #15025=EDGE_CURVE('',#12790,#12790,#10821,.T.); #15026=EDGE_CURVE('',#12791,#12791,#10822,.T.); #15027=EDGE_CURVE('',#12792,#12792,#10823,.T.); #15028=EDGE_CURVE('',#12793,#12793,#10824,.T.); #15029=EDGE_CURVE('',#12794,#12794,#10825,.T.); #15030=EDGE_CURVE('',#12795,#12795,#10826,.T.); #15031=EDGE_CURVE('',#12796,#12796,#10827,.T.); #15032=EDGE_CURVE('',#12797,#12797,#10828,.T.); #15033=EDGE_CURVE('',#12798,#12798,#10829,.T.); #15034=EDGE_CURVE('',#12799,#12799,#10830,.T.); #15035=EDGE_CURVE('',#12800,#12800,#10831,.T.); #15036=EDGE_CURVE('',#12801,#12801,#10832,.T.); #15037=EDGE_CURVE('',#12802,#12802,#10833,.T.); #15038=EDGE_CURVE('',#12803,#12803,#10834,.T.); #15039=EDGE_CURVE('',#12804,#12804,#10835,.T.); #15040=EDGE_CURVE('',#12805,#12805,#10836,.T.); #15041=EDGE_CURVE('',#12806,#12806,#10837,.T.); #15042=EDGE_CURVE('',#12807,#12807,#10838,.T.); #15043=EDGE_CURVE('',#12808,#12808,#10839,.T.); #15044=EDGE_CURVE('',#12809,#12809,#10840,.T.); #15045=EDGE_CURVE('',#12810,#12810,#10841,.T.); #15046=EDGE_CURVE('',#12811,#12811,#10842,.T.); #15047=EDGE_CURVE('',#12812,#12813,#988,.T.); #15048=EDGE_CURVE('',#12585,#12812,#989,.T.); #15049=EDGE_CURVE('',#12813,#12584,#990,.T.); #15050=EDGE_CURVE('',#12812,#12814,#991,.T.); #15051=EDGE_CURVE('',#12814,#12815,#992,.T.); #15052=EDGE_CURVE('',#12815,#12816,#993,.T.); #15053=EDGE_CURVE('',#12817,#12816,#716,.T.); #15054=EDGE_CURVE('',#12579,#12817,#994,.T.); #15055=EDGE_CURVE('',#12818,#12819,#10843,.T.); #15056=EDGE_CURVE('',#12818,#12820,#995,.T.); #15057=EDGE_CURVE('',#12821,#12820,#10844,.T.); #15058=EDGE_CURVE('',#12821,#12822,#10845,.T.); #15059=EDGE_CURVE('',#12822,#12823,#10846,.T.); #15060=EDGE_CURVE('',#12823,#12824,#996,.T.); #15061=EDGE_CURVE('',#12824,#12825,#10847,.T.); #15062=EDGE_CURVE('',#12825,#12826,#997,.T.); #15063=EDGE_CURVE('',#12826,#12827,#10848,.T.); #15064=EDGE_CURVE('',#12827,#12828,#998,.T.); #15065=EDGE_CURVE('',#12828,#12829,#10849,.T.); #15066=EDGE_CURVE('',#12829,#12819,#999,.T.); #15067=EDGE_CURVE('',#12830,#12813,#1000,.T.); #15068=EDGE_CURVE('',#12814,#12830,#1001,.T.); #15069=EDGE_CURVE('',#12830,#12831,#1002,.T.); #15070=EDGE_CURVE('',#12831,#12832,#1003,.T.); #15071=EDGE_CURVE('',#12832,#12833,#1004,.T.); #15072=EDGE_CURVE('',#12833,#12815,#1005,.T.); #15073=EDGE_CURVE('',#12813,#12834,#1006,.T.); #15074=EDGE_CURVE('',#12831,#12834,#1007,.T.); #15075=EDGE_CURVE('',#12834,#12583,#1008,.T.); #15076=EDGE_CURVE('',#12835,#12580,#1009,.T.); #15077=EDGE_CURVE('',#12836,#12835,#717,.T.); #15078=EDGE_CURVE('',#12836,#12832,#1010,.T.); #15079=EDGE_CURVE('',#12837,#12838,#10850,.T.); #15080=EDGE_CURVE('',#12837,#12839,#1011,.T.); #15081=EDGE_CURVE('',#12839,#12840,#10851,.T.); #15082=EDGE_CURVE('',#12840,#12841,#1012,.T.); #15083=EDGE_CURVE('',#12841,#12842,#10852,.T.); #15084=EDGE_CURVE('',#12842,#12843,#1013,.T.); #15085=EDGE_CURVE('',#12843,#12844,#10853,.T.); #15086=EDGE_CURVE('',#12844,#12845,#1014,.T.); #15087=EDGE_CURVE('',#12845,#12846,#10854,.T.); #15088=EDGE_CURVE('',#12846,#12847,#10855,.T.); #15089=EDGE_CURVE('',#12848,#12847,#10856,.T.); #15090=EDGE_CURVE('',#12848,#12838,#1015,.T.); #15091=EDGE_CURVE('',#12838,#12818,#1016,.T.); #15092=EDGE_CURVE('',#12819,#12837,#1017,.T.); #15093=EDGE_CURVE('',#12839,#12829,#1018,.T.); #15094=EDGE_CURVE('',#12820,#12848,#1019,.T.); #15095=EDGE_CURVE('',#12847,#12821,#1020,.T.); #15096=EDGE_CURVE('',#12840,#12828,#1021,.T.); #15097=EDGE_CURVE('',#12843,#12825,#1022,.T.); #15098=EDGE_CURVE('',#12844,#12824,#1023,.T.); #15099=EDGE_CURVE('',#12845,#12823,#1024,.T.); #15100=EDGE_CURVE('',#12822,#12846,#1025,.T.); #15101=EDGE_CURVE('',#12841,#12827,#1026,.T.); #15102=EDGE_CURVE('',#12842,#12826,#1027,.T.); #15103=EDGE_CURVE('',#12833,#12849,#1028,.T.); #15104=EDGE_CURVE('',#12816,#12849,#1029,.T.); #15105=EDGE_CURVE('',#12850,#12836,#718,.T.); #15106=EDGE_CURVE('',#12851,#12850,#1030,.T.); #15107=EDGE_CURVE('',#12849,#12851,#719,.T.); #15108=EDGE_CURVE('',#12852,#12851,#720,.T.); #15109=EDGE_CURVE('',#12817,#12852,#1031,.T.); #15110=EDGE_CURVE('',#12850,#12852,#721,.T.); #15111=EDGE_CURVE('',#12835,#12852,#1032,.T.); #15112=EDGE_CURVE('',#12853,#12854,#1033,.T.); #15113=EDGE_CURVE('',#12854,#12855,#10857,.T.); #15114=EDGE_CURVE('',#12855,#12856,#1034,.T.); #15115=EDGE_CURVE('',#12856,#12853,#722,.T.); #15116=EDGE_CURVE('',#12857,#12858,#10858,.T.); #15117=EDGE_CURVE('',#12858,#12855,#10859,.T.); #15118=EDGE_CURVE('',#12854,#12857,#10860,.T.); #15119=EDGE_CURVE('',#12859,#12860,#10861,.T.); #15120=EDGE_CURVE('',#12860,#12858,#10862,.T.); #15121=EDGE_CURVE('',#12857,#12859,#10863,.T.); #15122=EDGE_CURVE('',#12861,#12862,#10864,.T.); #15123=EDGE_CURVE('',#12862,#12860,#10865,.T.); #15124=EDGE_CURVE('',#12859,#12861,#10866,.T.); #15125=EDGE_CURVE('',#12863,#12864,#10867,.T.); #15126=EDGE_CURVE('',#12864,#12862,#1035,.T.); #15127=EDGE_CURVE('',#12861,#12863,#1036,.T.); #15128=EDGE_CURVE('',#12865,#12866,#10868,.T.); #15129=EDGE_CURVE('',#12866,#12864,#10869,.T.); #15130=EDGE_CURVE('',#12863,#12865,#10870,.T.); #15131=EDGE_CURVE('',#12867,#12868,#10871,.T.); #15132=EDGE_CURVE('',#12868,#12866,#1037,.T.); #15133=EDGE_CURVE('',#12865,#12867,#1038,.T.); #15134=EDGE_CURVE('',#12869,#12870,#10872,.T.); #15135=EDGE_CURVE('',#12870,#12868,#10873,.T.); #15136=EDGE_CURVE('',#12867,#12869,#10874,.T.); #15137=EDGE_CURVE('',#12871,#12872,#10875,.T.); #15138=EDGE_CURVE('',#12872,#12870,#1039,.T.); #15139=EDGE_CURVE('',#12869,#12871,#1040,.T.); #15140=EDGE_CURVE('',#12873,#12874,#10876,.T.); #15141=EDGE_CURVE('',#12874,#12872,#10877,.T.); #15142=EDGE_CURVE('',#12871,#12873,#10878,.T.); #15143=EDGE_CURVE('',#12875,#12876,#10879,.T.); #15144=EDGE_CURVE('',#12876,#12874,#1041,.T.); #15145=EDGE_CURVE('',#12873,#12875,#1042,.T.); #15146=EDGE_CURVE('',#12877,#12878,#10880,.T.); #15147=EDGE_CURVE('',#12878,#12876,#10881,.T.); #15148=EDGE_CURVE('',#12875,#12877,#10882,.T.); #15149=EDGE_CURVE('',#12856,#12878,#1043,.T.); #15150=EDGE_CURVE('',#12877,#12853,#1044,.T.); #15151=EDGE_CURVE('',#12865,#12879,#1045,.T.); #15152=EDGE_CURVE('',#12879,#12880,#1046,.T.); #15153=EDGE_CURVE('',#12880,#12867,#1047,.T.); #15154=EDGE_CURVE('',#12881,#12863,#1048,.T.); #15155=EDGE_CURVE('',#12879,#12881,#10883,.T.); #15156=EDGE_CURVE('',#12861,#12882,#1049,.T.); #15157=EDGE_CURVE('',#12882,#12881,#1050,.T.); #15158=EDGE_CURVE('',#12883,#12859,#1051,.T.); #15159=EDGE_CURVE('',#12882,#12883,#10884,.T.); #15160=EDGE_CURVE('',#12857,#12884,#1052,.T.); #15161=EDGE_CURVE('',#12884,#12883,#10885,.T.); #15162=EDGE_CURVE('',#12885,#12854,#1053,.T.); #15163=EDGE_CURVE('',#12884,#12885,#10886,.T.); #15164=EDGE_CURVE('',#12886,#12853,#1054,.T.); #15165=EDGE_CURVE('',#12886,#12885,#1055,.T.); #15166=EDGE_CURVE('',#12887,#12887,#10887,.T.); #15167=EDGE_CURVE('',#12888,#12888,#10888,.T.); #15168=EDGE_CURVE('',#12889,#12889,#10889,.T.); #15169=EDGE_CURVE('',#12890,#12890,#10890,.T.); #15170=EDGE_CURVE('',#12891,#12891,#10891,.T.); #15171=EDGE_CURVE('',#12892,#12892,#10892,.T.); #15172=EDGE_CURVE('',#12893,#12893,#10893,.T.); #15173=EDGE_CURVE('',#12894,#12894,#10894,.T.); #15174=EDGE_CURVE('',#12895,#12895,#10895,.T.); #15175=EDGE_CURVE('',#12896,#12896,#10896,.T.); #15176=EDGE_CURVE('',#12897,#12897,#10897,.T.); #15177=EDGE_CURVE('',#12898,#12898,#10898,.T.); #15178=EDGE_CURVE('',#12899,#12899,#10899,.T.); #15179=EDGE_CURVE('',#12900,#12900,#10900,.T.); #15180=EDGE_CURVE('',#12901,#12901,#10901,.T.); #15181=EDGE_CURVE('',#12902,#12902,#10902,.T.); #15182=EDGE_CURVE('',#12903,#12903,#10903,.T.); #15183=EDGE_CURVE('',#12904,#12904,#10904,.T.); #15184=EDGE_CURVE('',#12905,#12905,#10905,.T.); #15185=EDGE_CURVE('',#12906,#12906,#10906,.T.); #15186=EDGE_CURVE('',#12907,#12907,#10907,.T.); #15187=EDGE_CURVE('',#12908,#12908,#10908,.T.); #15188=EDGE_CURVE('',#12909,#12909,#10909,.T.); #15189=EDGE_CURVE('',#12910,#12910,#10910,.T.); #15190=EDGE_CURVE('',#12911,#12911,#10911,.T.); #15191=EDGE_CURVE('',#12912,#12912,#10912,.T.); #15192=EDGE_CURVE('',#12913,#12914,#687,.T.); #15193=EDGE_CURVE('',#12913,#12914,#688,.T.); #15194=EDGE_CURVE('',#12913,#12914,#10913,.T.); #15195=EDGE_CURVE('',#12915,#12915,#10914,.T.); #15196=EDGE_CURVE('',#12916,#12916,#10915,.T.); #15197=EDGE_CURVE('',#12917,#12917,#10916,.T.); #15198=EDGE_CURVE('',#12918,#12918,#10917,.T.); #15199=EDGE_CURVE('',#12919,#12919,#10918,.T.); #15200=EDGE_CURVE('',#12920,#12920,#10919,.T.); #15201=EDGE_CURVE('',#12921,#12921,#10920,.T.); #15202=EDGE_CURVE('',#12922,#12922,#10921,.T.); #15203=EDGE_CURVE('',#12923,#12923,#10922,.T.); #15204=EDGE_CURVE('',#12924,#12924,#10923,.T.); #15205=EDGE_CURVE('',#12925,#12925,#10924,.T.); #15206=EDGE_CURVE('',#12926,#12926,#10925,.T.); #15207=EDGE_CURVE('',#12927,#12927,#10926,.T.); #15208=EDGE_CURVE('',#12928,#12928,#10927,.T.); #15209=EDGE_CURVE('',#12929,#12929,#10928,.T.); #15210=EDGE_CURVE('',#12930,#12930,#10929,.T.); #15211=EDGE_CURVE('',#12931,#12931,#10930,.T.); #15212=EDGE_CURVE('',#12932,#12932,#10931,.T.); #15213=EDGE_CURVE('',#12933,#12933,#10932,.T.); #15214=EDGE_CURVE('',#12934,#12934,#10933,.T.); #15215=EDGE_CURVE('',#12935,#12935,#10934,.T.); #15216=EDGE_CURVE('',#12936,#12936,#10935,.T.); #15217=EDGE_CURVE('',#12937,#12937,#10936,.T.); #15218=EDGE_CURVE('',#12938,#12938,#10937,.T.); #15219=EDGE_CURVE('',#12939,#12939,#10938,.T.); #15220=EDGE_CURVE('',#12940,#12940,#10939,.T.); #15221=EDGE_CURVE('',#12941,#12941,#10940,.T.); #15222=EDGE_CURVE('',#12942,#12942,#10941,.T.); #15223=EDGE_CURVE('',#12943,#12943,#10942,.T.); #15224=EDGE_CURVE('',#12944,#12944,#10943,.T.); #15225=EDGE_CURVE('',#12945,#12945,#10944,.T.); #15226=EDGE_CURVE('',#12946,#12946,#10945,.T.); #15227=EDGE_CURVE('',#12947,#12947,#10946,.T.); #15228=EDGE_CURVE('',#12948,#12948,#10947,.T.); #15229=EDGE_CURVE('',#12949,#12949,#10948,.T.); #15230=EDGE_CURVE('',#12950,#12950,#10949,.T.); #15231=EDGE_CURVE('',#12951,#12951,#10950,.T.); #15232=EDGE_CURVE('',#12952,#12952,#10951,.T.); #15233=EDGE_CURVE('',#12953,#12953,#10952,.T.); #15234=EDGE_CURVE('',#12954,#12954,#10953,.T.); #15235=EDGE_CURVE('',#12955,#12955,#10954,.T.); #15236=EDGE_CURVE('',#12956,#12956,#10955,.T.); #15237=EDGE_CURVE('',#12957,#12957,#10956,.T.); #15238=EDGE_CURVE('',#12958,#12958,#10957,.T.); #15239=EDGE_CURVE('',#12959,#12959,#10958,.T.); #15240=EDGE_CURVE('',#12960,#12960,#10959,.T.); #15241=EDGE_CURVE('',#12961,#12961,#10960,.T.); #15242=EDGE_CURVE('',#12962,#12962,#10961,.T.); #15243=EDGE_CURVE('',#12963,#12963,#10962,.T.); #15244=EDGE_CURVE('',#12964,#12964,#10963,.T.); #15245=EDGE_CURVE('',#12965,#12965,#10964,.T.); #15246=EDGE_CURVE('',#12966,#12966,#10965,.T.); #15247=EDGE_CURVE('',#12967,#12967,#10966,.T.); #15248=EDGE_CURVE('',#12968,#12968,#10967,.T.); #15249=EDGE_CURVE('',#12969,#12969,#10968,.T.); #15250=EDGE_CURVE('',#12970,#12970,#10969,.T.); #15251=EDGE_CURVE('',#12971,#12971,#10970,.T.); #15252=EDGE_CURVE('',#12972,#12972,#10971,.T.); #15253=EDGE_CURVE('',#12973,#12973,#10972,.T.); #15254=EDGE_CURVE('',#12974,#12974,#10973,.T.); #15255=EDGE_CURVE('',#12975,#12975,#10974,.T.); #15256=EDGE_CURVE('',#12976,#12977,#1056,.T.); #15257=EDGE_CURVE('',#12978,#12976,#1057,.T.); #15258=EDGE_CURVE('',#12979,#12978,#1058,.T.); #15259=EDGE_CURVE('',#12977,#12979,#1059,.T.); #15260=EDGE_CURVE('',#12980,#12978,#1060,.T.); #15261=EDGE_CURVE('',#12980,#12981,#1061,.T.); #15262=EDGE_CURVE('',#12981,#12982,#1062,.T.); #15263=EDGE_CURVE('',#12982,#12979,#1063,.T.); #15264=EDGE_CURVE('',#12976,#12983,#1064,.T.); #15265=EDGE_CURVE('',#12983,#12980,#1065,.T.); #15266=EDGE_CURVE('',#12984,#12982,#1066,.T.); #15267=EDGE_CURVE('',#12981,#12985,#1067,.T.); #15268=EDGE_CURVE('',#12985,#12984,#1068,.T.); #15269=EDGE_CURVE('',#12986,#12977,#1069,.T.); #15270=EDGE_CURVE('',#12987,#12986,#1070,.T.); #15271=EDGE_CURVE('',#12983,#12987,#1071,.T.); #15272=EDGE_CURVE('',#12988,#12987,#1072,.T.); #15273=EDGE_CURVE('',#12988,#12989,#1073,.T.); #15274=EDGE_CURVE('',#12989,#12990,#723,.T.); #15275=EDGE_CURVE('',#12985,#12990,#1074,.T.); #15276=EDGE_CURVE('',#12991,#12988,#1075,.T.); #15277=EDGE_CURVE('',#12992,#12991,#1076,.T.); #15278=EDGE_CURVE('',#12989,#12992,#1077,.T.); #15279=EDGE_CURVE('',#12986,#12991,#1078,.T.); #15280=EDGE_CURVE('',#12993,#12984,#1079,.T.); #15281=EDGE_CURVE('',#12992,#12993,#724,.T.); #15282=EDGE_CURVE('',#12994,#12886,#1080,.T.); #15283=EDGE_CURVE('',#12994,#12995,#10975,.T.); #15284=EDGE_CURVE('',#12996,#12995,#1081,.T.); #15285=EDGE_CURVE('',#12996,#12997,#10976,.T.); #15286=EDGE_CURVE('',#12998,#12997,#1082,.T.); #15287=EDGE_CURVE('',#12998,#12880,#10977,.T.); #15288=EDGE_CURVE('',#12993,#12990,#1083,.T.); #15289=EDGE_CURVE('',#12869,#12998,#1084,.T.); #15290=EDGE_CURVE('',#12995,#12875,#1085,.T.); #15291=EDGE_CURVE('',#12877,#12994,#1086,.T.); #15292=EDGE_CURVE('',#12873,#12996,#1087,.T.); #15293=EDGE_CURVE('',#12997,#12871,#1088,.T.); #15294=EDGE_CURVE('',#12999,#13000,#1089,.T.); #15295=EDGE_CURVE('',#13001,#12999,#1090,.T.); #15296=EDGE_CURVE('',#13002,#13001,#1091,.T.); #15297=EDGE_CURVE('',#13000,#13002,#1092,.T.); #15298=EDGE_CURVE('',#13003,#13002,#1093,.T.); #15299=EDGE_CURVE('',#13003,#13004,#1094,.T.); #15300=EDGE_CURVE('',#13004,#13000,#1095,.T.); #15301=EDGE_CURVE('',#13001,#13005,#1096,.T.); #15302=EDGE_CURVE('',#13005,#13003,#1097,.T.); #15303=EDGE_CURVE('',#13006,#13006,#10978,.T.); #15304=EDGE_CURVE('',#13007,#13007,#10979,.T.); #15305=EDGE_CURVE('',#13008,#13008,#10980,.T.); #15306=EDGE_CURVE('',#13009,#13009,#10981,.T.); #15307=EDGE_CURVE('',#13010,#13010,#10982,.T.); #15308=EDGE_CURVE('',#13011,#13011,#10983,.T.); #15309=EDGE_CURVE('',#13012,#13012,#10984,.T.); #15310=EDGE_CURVE('',#13013,#13013,#10985,.T.); #15311=EDGE_CURVE('',#13014,#13014,#10986,.T.); #15312=EDGE_CURVE('',#13015,#13015,#10987,.T.); #15313=EDGE_CURVE('',#13016,#13016,#10988,.T.); #15314=EDGE_CURVE('',#13017,#13017,#10989,.T.); #15315=EDGE_CURVE('',#13018,#13018,#10990,.T.); #15316=EDGE_CURVE('',#13019,#13019,#10991,.T.); #15317=EDGE_CURVE('',#13020,#13020,#10992,.T.); #15318=EDGE_CURVE('',#13021,#13021,#10993,.T.); #15319=EDGE_CURVE('',#13022,#13022,#10994,.T.); #15320=EDGE_CURVE('',#13023,#13023,#10995,.T.); #15321=EDGE_CURVE('',#13024,#13024,#10996,.T.); #15322=EDGE_CURVE('',#13025,#13025,#10997,.T.); #15323=EDGE_CURVE('',#13026,#13026,#10998,.T.); #15324=EDGE_CURVE('',#13027,#13027,#10999,.T.); #15325=EDGE_CURVE('',#13028,#13028,#11000,.T.); #15326=EDGE_CURVE('',#13029,#13029,#11001,.T.); #15327=EDGE_CURVE('',#13030,#13030,#11002,.T.); #15328=EDGE_CURVE('',#13031,#13031,#11003,.T.); #15329=EDGE_CURVE('',#13032,#13032,#11004,.T.); #15330=EDGE_CURVE('',#13033,#13033,#11005,.T.); #15331=EDGE_CURVE('',#13034,#13034,#11006,.T.); #15332=EDGE_CURVE('',#13035,#13035,#11007,.T.); #15333=EDGE_CURVE('',#13036,#13036,#11008,.T.); #15334=EDGE_CURVE('',#13037,#13037,#11009,.T.); #15335=EDGE_CURVE('',#13038,#13038,#11010,.T.); #15336=EDGE_CURVE('',#13039,#13039,#11011,.T.); #15337=EDGE_CURVE('',#13040,#13040,#11012,.T.); #15338=EDGE_CURVE('',#13041,#13041,#11013,.T.); #15339=EDGE_CURVE('',#13042,#13042,#11014,.T.); #15340=EDGE_CURVE('',#13043,#13043,#11015,.T.); #15341=EDGE_CURVE('',#13044,#13044,#11016,.T.); #15342=EDGE_CURVE('',#13045,#13045,#11017,.T.); #15343=EDGE_CURVE('',#13046,#13046,#11018,.T.); #15344=EDGE_CURVE('',#13047,#13047,#11019,.T.); #15345=EDGE_CURVE('',#13048,#13048,#11020,.T.); #15346=EDGE_CURVE('',#13049,#13049,#11021,.T.); #15347=EDGE_CURVE('',#13050,#13050,#11022,.T.); #15348=EDGE_CURVE('',#13051,#13051,#11023,.T.); #15349=EDGE_CURVE('',#13052,#13052,#11024,.T.); #15350=EDGE_CURVE('',#13053,#13053,#11025,.T.); #15351=EDGE_CURVE('',#13054,#13054,#11026,.T.); #15352=EDGE_CURVE('',#13055,#13055,#11027,.T.); #15353=EDGE_CURVE('',#13056,#13056,#11028,.T.); #15354=EDGE_CURVE('',#13057,#13057,#11029,.T.); #15355=EDGE_CURVE('',#13058,#13058,#11030,.T.); #15356=EDGE_CURVE('',#13059,#13059,#11031,.T.); #15357=EDGE_CURVE('',#13060,#13060,#11032,.T.); #15358=EDGE_CURVE('',#13061,#13061,#11033,.T.); #15359=EDGE_CURVE('',#13062,#13062,#11034,.T.); #15360=EDGE_CURVE('',#13063,#13063,#11035,.T.); #15361=EDGE_CURVE('',#13064,#13064,#11036,.T.); #15362=EDGE_CURVE('',#13065,#13065,#11037,.T.); #15363=EDGE_CURVE('',#13066,#13066,#11038,.T.); #15364=EDGE_CURVE('',#13067,#13067,#11039,.T.); #15365=EDGE_CURVE('',#13068,#13068,#11040,.T.); #15366=EDGE_CURVE('',#13069,#13069,#11041,.T.); #15367=EDGE_CURVE('',#13070,#13070,#11042,.T.); #15368=EDGE_CURVE('',#13071,#13071,#11043,.T.); #15369=EDGE_CURVE('',#13072,#13072,#11044,.T.); #15370=EDGE_CURVE('',#13073,#13073,#11045,.T.); #15371=EDGE_CURVE('',#13074,#13074,#11046,.T.); #15372=EDGE_CURVE('',#13075,#13075,#11047,.T.); #15373=EDGE_CURVE('',#13076,#13076,#11048,.T.); #15374=EDGE_CURVE('',#13077,#13077,#11049,.T.); #15375=EDGE_CURVE('',#13078,#13078,#11050,.T.); #15376=EDGE_CURVE('',#13079,#13079,#11051,.T.); #15377=EDGE_CURVE('',#13080,#13080,#11052,.T.); #15378=EDGE_CURVE('',#13081,#13081,#11053,.T.); #15379=EDGE_CURVE('',#13082,#13082,#11054,.T.); #15380=EDGE_CURVE('',#13083,#13083,#11055,.T.); #15381=EDGE_CURVE('',#13084,#13084,#11056,.T.); #15382=EDGE_CURVE('',#13085,#13085,#11057,.T.); #15383=EDGE_CURVE('',#13086,#13086,#11058,.T.); #15384=EDGE_CURVE('',#13087,#13087,#11059,.T.); #15385=EDGE_CURVE('',#13088,#13088,#11060,.T.); #15386=EDGE_CURVE('',#13089,#13089,#11061,.T.); #15387=EDGE_CURVE('',#13090,#13090,#11062,.T.); #15388=EDGE_CURVE('',#13091,#13091,#11063,.T.); #15389=EDGE_CURVE('',#13092,#13005,#1098,.T.); #15390=EDGE_CURVE('',#13092,#13004,#1099,.T.); #15391=EDGE_CURVE('',#13092,#12999,#1100,.T.); #15392=EDGE_CURVE('',#13093,#13093,#11064,.T.); #15393=EDGE_CURVE('',#13094,#13094,#11065,.T.); #15394=EDGE_CURVE('',#13095,#13095,#11066,.T.); #15395=EDGE_CURVE('',#13096,#13096,#11067,.T.); #15396=EDGE_CURVE('',#13097,#13097,#11068,.T.); #15397=EDGE_CURVE('',#13098,#13098,#11069,.T.); #15398=EDGE_CURVE('',#13099,#13099,#11070,.T.); #15399=EDGE_CURVE('',#13100,#13100,#11071,.T.); #15400=EDGE_CURVE('',#13101,#13101,#11072,.T.); #15401=EDGE_CURVE('',#13102,#13102,#11073,.T.); #15402=EDGE_CURVE('',#13103,#13103,#11074,.T.); #15403=EDGE_CURVE('',#13104,#13104,#11075,.T.); #15404=EDGE_CURVE('',#13105,#13105,#11076,.T.); #15405=EDGE_CURVE('',#13106,#13106,#11077,.T.); #15406=EDGE_CURVE('',#13107,#13107,#11078,.T.); #15407=EDGE_CURVE('',#13108,#13108,#11079,.T.); #15408=EDGE_CURVE('',#13109,#13109,#11080,.T.); #15409=EDGE_CURVE('',#13110,#13110,#11081,.T.); #15410=EDGE_CURVE('',#13111,#13111,#11082,.T.); #15411=EDGE_CURVE('',#13112,#13112,#11083,.T.); #15412=EDGE_CURVE('',#13113,#13113,#11084,.T.); #15413=EDGE_CURVE('',#13114,#13114,#11085,.T.); #15414=EDGE_CURVE('',#13115,#13115,#11086,.T.); #15415=EDGE_CURVE('',#13116,#13116,#11087,.T.); #15416=EDGE_CURVE('',#13117,#13117,#11088,.T.); #15417=EDGE_CURVE('',#13118,#13118,#11089,.T.); #15418=EDGE_CURVE('',#13119,#13119,#11090,.T.); #15419=EDGE_CURVE('',#13120,#13120,#11091,.T.); #15420=EDGE_CURVE('',#13121,#13121,#11092,.T.); #15421=EDGE_CURVE('',#13122,#13122,#11093,.T.); #15422=EDGE_CURVE('',#13123,#13123,#11094,.T.); #15423=EDGE_CURVE('',#13124,#13124,#11095,.T.); #15424=EDGE_CURVE('',#13125,#13125,#11096,.T.); #15425=EDGE_CURVE('',#13126,#13126,#11097,.T.); #15426=EDGE_CURVE('',#13127,#13127,#11098,.T.); #15427=EDGE_CURVE('',#13128,#13128,#11099,.T.); #15428=EDGE_CURVE('',#13129,#13129,#11100,.T.); #15429=EDGE_CURVE('',#13130,#13130,#11101,.T.); #15430=EDGE_CURVE('',#13131,#13131,#11102,.T.); #15431=EDGE_CURVE('',#13132,#13132,#11103,.T.); #15432=EDGE_CURVE('',#13133,#13133,#11104,.T.); #15433=EDGE_CURVE('',#13134,#13134,#11105,.T.); #15434=EDGE_CURVE('',#13135,#13135,#11106,.T.); #15435=EDGE_CURVE('',#13136,#13136,#11107,.T.); #15436=EDGE_CURVE('',#13137,#13137,#11108,.T.); #15437=EDGE_CURVE('',#13138,#13138,#11109,.T.); #15438=EDGE_CURVE('',#13139,#13139,#11110,.T.); #15439=EDGE_CURVE('',#13140,#13140,#11111,.T.); #15440=EDGE_CURVE('',#13141,#13141,#11112,.T.); #15441=EDGE_CURVE('',#13142,#13142,#11113,.T.); #15442=EDGE_CURVE('',#13143,#13143,#11114,.T.); #15443=EDGE_CURVE('',#13144,#13144,#11115,.T.); #15444=EDGE_CURVE('',#13145,#13145,#11116,.T.); #15445=EDGE_CURVE('',#13146,#13146,#11117,.T.); #15446=EDGE_CURVE('',#13147,#13147,#11118,.T.); #15447=EDGE_CURVE('',#13148,#13148,#11119,.T.); #15448=EDGE_CURVE('',#13149,#13149,#11120,.T.); #15449=EDGE_CURVE('',#13150,#13150,#11121,.T.); #15450=EDGE_CURVE('',#13151,#13151,#11122,.T.); #15451=EDGE_CURVE('',#13152,#13152,#11123,.T.); #15452=EDGE_CURVE('',#13153,#13153,#11124,.T.); #15453=EDGE_CURVE('',#13154,#13154,#11125,.T.); #15454=EDGE_CURVE('',#13155,#13155,#11126,.T.); #15455=EDGE_CURVE('',#13156,#13156,#11127,.T.); #15456=EDGE_CURVE('',#13157,#13158,#1101,.T.); #15457=EDGE_CURVE('',#13157,#13159,#1102,.T.); #15458=EDGE_CURVE('',#13159,#13160,#1103,.T.); #15459=EDGE_CURVE('',#13158,#13160,#1104,.T.); #15460=EDGE_CURVE('',#13161,#13162,#1105,.T.); #15461=EDGE_CURVE('',#13163,#13162,#1106,.T.); #15462=EDGE_CURVE('',#13164,#13163,#1107,.T.); #15463=EDGE_CURVE('',#13164,#13161,#1108,.T.); #15464=EDGE_CURVE('',#13158,#13164,#1109,.T.); #15465=EDGE_CURVE('',#13160,#13161,#1110,.T.); #15466=EDGE_CURVE('',#13163,#13157,#1111,.T.); #15467=EDGE_CURVE('',#13162,#13159,#1112,.T.); #15468=EDGE_CURVE('',#13165,#13165,#11128,.T.); #15469=EDGE_CURVE('',#13166,#13166,#11129,.T.); #15470=EDGE_CURVE('',#13167,#13167,#11130,.T.); #15471=EDGE_CURVE('',#13168,#13168,#11131,.T.); #15472=EDGE_CURVE('',#13169,#13169,#11132,.T.); #15473=EDGE_CURVE('',#13170,#13170,#11133,.T.); #15474=EDGE_CURVE('',#13171,#13171,#11134,.T.); #15475=EDGE_CURVE('',#13172,#13172,#11135,.T.); #15476=EDGE_CURVE('',#13173,#13173,#11136,.T.); #15477=EDGE_CURVE('',#13174,#13174,#11137,.T.); #15478=EDGE_CURVE('',#13175,#13175,#11138,.T.); #15479=EDGE_CURVE('',#13176,#13176,#11139,.T.); #15480=EDGE_CURVE('',#13177,#13177,#11140,.T.); #15481=EDGE_CURVE('',#13178,#13178,#11141,.T.); #15482=EDGE_CURVE('',#13179,#13179,#11142,.T.); #15483=EDGE_CURVE('',#13180,#13180,#11143,.T.); #15484=EDGE_CURVE('',#13181,#13181,#11144,.T.); #15485=EDGE_CURVE('',#13182,#13182,#11145,.T.); #15486=EDGE_CURVE('',#13183,#13183,#11146,.T.); #15487=EDGE_CURVE('',#13184,#13184,#11147,.T.); #15488=EDGE_CURVE('',#13185,#13185,#11148,.T.); #15489=EDGE_CURVE('',#13186,#13186,#11149,.T.); #15490=EDGE_CURVE('',#13187,#13187,#11150,.T.); #15491=EDGE_CURVE('',#13188,#13188,#11151,.T.); #15492=EDGE_CURVE('',#13189,#13189,#11152,.T.); #15493=EDGE_CURVE('',#13190,#13190,#11153,.T.); #15494=EDGE_CURVE('',#13191,#13191,#11154,.T.); #15495=EDGE_CURVE('',#13192,#13192,#11155,.T.); #15496=EDGE_CURVE('',#13193,#13193,#11156,.T.); #15497=EDGE_CURVE('',#13194,#13194,#11157,.T.); #15498=EDGE_CURVE('',#13195,#13195,#11158,.T.); #15499=EDGE_CURVE('',#13196,#13196,#11159,.T.); #15500=EDGE_CURVE('',#13197,#13197,#11160,.T.); #15501=EDGE_CURVE('',#13198,#13198,#11161,.T.); #15502=EDGE_CURVE('',#13199,#13199,#11162,.T.); #15503=EDGE_CURVE('',#13200,#13200,#11163,.T.); #15504=EDGE_CURVE('',#13201,#13201,#11164,.T.); #15505=EDGE_CURVE('',#13202,#13202,#11165,.T.); #15506=EDGE_CURVE('',#13203,#13203,#11166,.T.); #15507=EDGE_CURVE('',#13204,#13204,#11167,.T.); #15508=EDGE_CURVE('',#13205,#13205,#11168,.T.); #15509=EDGE_CURVE('',#13206,#13206,#11169,.T.); #15510=EDGE_CURVE('',#13207,#13207,#11170,.T.); #15511=EDGE_CURVE('',#13208,#13208,#11171,.T.); #15512=EDGE_CURVE('',#13209,#13209,#11172,.T.); #15513=EDGE_CURVE('',#13210,#13210,#11173,.T.); #15514=EDGE_CURVE('',#13211,#13211,#11174,.T.); #15515=EDGE_CURVE('',#13212,#13212,#11175,.T.); #15516=EDGE_CURVE('',#13213,#13213,#11176,.T.); #15517=EDGE_CURVE('',#13214,#13214,#11177,.T.); #15518=EDGE_CURVE('',#13215,#13215,#11178,.T.); #15519=EDGE_CURVE('',#13216,#13216,#11179,.T.); #15520=EDGE_CURVE('',#13217,#13217,#11180,.T.); #15521=EDGE_CURVE('',#13218,#13218,#11181,.T.); #15522=EDGE_CURVE('',#13219,#13219,#11182,.T.); #15523=EDGE_CURVE('',#13220,#13220,#11183,.T.); #15524=EDGE_CURVE('',#13221,#13221,#11184,.T.); #15525=EDGE_CURVE('',#13222,#13222,#11185,.T.); #15526=EDGE_CURVE('',#13223,#13223,#11186,.T.); #15527=EDGE_CURVE('',#13224,#13224,#11187,.T.); #15528=EDGE_CURVE('',#13225,#13225,#11188,.T.); #15529=EDGE_CURVE('',#13226,#13226,#11189,.T.); #15530=EDGE_CURVE('',#13227,#13227,#11190,.T.); #15531=EDGE_CURVE('',#13228,#13228,#11191,.T.); #15532=EDGE_CURVE('',#13229,#13229,#11192,.T.); #15533=EDGE_CURVE('',#13230,#13230,#11193,.T.); #15534=EDGE_CURVE('',#13231,#13231,#11194,.T.); #15535=EDGE_CURVE('',#13232,#13232,#11195,.T.); #15536=EDGE_CURVE('',#13233,#13233,#11196,.T.); #15537=EDGE_CURVE('',#13234,#13234,#11197,.T.); #15538=EDGE_CURVE('',#13235,#13235,#11198,.T.); #15539=EDGE_CURVE('',#13236,#13236,#11199,.T.); #15540=EDGE_CURVE('',#13237,#13237,#11200,.T.); #15541=EDGE_CURVE('',#13238,#13238,#11201,.T.); #15542=EDGE_CURVE('',#13239,#13239,#11202,.T.); #15543=EDGE_CURVE('',#13240,#13240,#11203,.T.); #15544=EDGE_CURVE('',#13241,#13241,#11204,.T.); #15545=EDGE_CURVE('',#13242,#13242,#11205,.T.); #15546=EDGE_CURVE('',#13243,#13243,#11206,.T.); #15547=EDGE_CURVE('',#13244,#13244,#11207,.T.); #15548=EDGE_CURVE('',#13245,#13245,#11208,.T.); #15549=EDGE_CURVE('',#13246,#13246,#11209,.T.); #15550=EDGE_CURVE('',#13247,#13247,#11210,.T.); #15551=EDGE_CURVE('',#13248,#13248,#11211,.T.); #15552=EDGE_CURVE('',#13249,#13250,#1113,.T.); #15553=EDGE_CURVE('',#13251,#13250,#1114,.T.); #15554=EDGE_CURVE('',#13251,#13252,#1115,.T.); #15555=EDGE_CURVE('',#13252,#13249,#1116,.T.); #15556=EDGE_CURVE('',#13253,#13249,#1117,.T.); #15557=EDGE_CURVE('',#13252,#13254,#1118,.T.); #15558=EDGE_CURVE('',#13254,#13253,#1119,.T.); #15559=EDGE_CURVE('',#13255,#13251,#1120,.T.); #15560=EDGE_CURVE('',#13255,#13254,#1121,.T.); #15561=EDGE_CURVE('',#13253,#13256,#1122,.T.); #15562=EDGE_CURVE('',#13256,#13255,#1123,.T.); #15563=EDGE_CURVE('',#13250,#13256,#1124,.T.); #15564=EDGE_CURVE('',#13257,#13258,#1125,.T.); #15565=EDGE_CURVE('',#13259,#13257,#1126,.T.); #15566=EDGE_CURVE('',#13260,#13259,#1127,.T.); #15567=EDGE_CURVE('',#13258,#13260,#1128,.T.); #15568=EDGE_CURVE('',#13261,#13262,#1129,.T.); #15569=EDGE_CURVE('',#13263,#13261,#1130,.T.); #15570=EDGE_CURVE('',#13264,#13263,#1131,.T.); #15571=EDGE_CURVE('',#13262,#13264,#1132,.T.); #15572=EDGE_CURVE('',#13257,#13262,#1133,.T.); #15573=EDGE_CURVE('',#13265,#13264,#1134,.T.); #15574=EDGE_CURVE('',#13265,#13266,#1135,.T.); #15575=EDGE_CURVE('',#13267,#13266,#1136,.T.); #15576=EDGE_CURVE('',#13268,#13267,#1137,.T.); #15577=EDGE_CURVE('',#13259,#13268,#1138,.T.); #15578=EDGE_CURVE('',#13261,#13258,#1139,.T.); #15579=EDGE_CURVE('',#13269,#13269,#11212,.T.); #15580=EDGE_CURVE('',#13270,#13270,#11213,.T.); #15581=EDGE_CURVE('',#13271,#13271,#11214,.T.); #15582=EDGE_CURVE('',#13272,#13272,#11215,.T.); #15583=EDGE_CURVE('',#13273,#13273,#11216,.T.); #15584=EDGE_CURVE('',#13274,#13274,#11217,.T.); #15585=EDGE_CURVE('',#13275,#13275,#11218,.T.); #15586=EDGE_CURVE('',#13263,#13276,#1140,.T.); #15587=EDGE_CURVE('',#13276,#13265,#11219,.T.); #15588=EDGE_CURVE('',#13277,#13277,#11220,.T.); #15589=EDGE_CURVE('',#13278,#13278,#11221,.T.); #15590=EDGE_CURVE('',#13279,#13279,#11222,.T.); #15591=EDGE_CURVE('',#13280,#13280,#11223,.T.); #15592=EDGE_CURVE('',#13281,#13281,#11224,.T.); #15593=EDGE_CURVE('',#13282,#13282,#11225,.T.); #15594=EDGE_CURVE('',#13268,#13283,#1141,.T.); #15595=EDGE_CURVE('',#13284,#13283,#1142,.T.); #15596=EDGE_CURVE('',#13285,#13284,#11226,.T.); #15597=EDGE_CURVE('',#13285,#13286,#1143,.T.); #15598=EDGE_CURVE('',#13286,#13287,#1144,.T.); #15599=EDGE_CURVE('',#13287,#13260,#1145,.T.); #15600=EDGE_CURVE('',#13288,#13288,#11227,.T.); #15601=EDGE_CURVE('',#13289,#13289,#11228,.T.); #15602=EDGE_CURVE('',#13290,#13290,#11229,.T.); #15603=EDGE_CURVE('',#13291,#13291,#11230,.T.); #15604=EDGE_CURVE('',#13292,#13292,#11231,.T.); #15605=EDGE_CURVE('',#13293,#13293,#11232,.T.); #15606=EDGE_CURVE('',#13294,#13294,#11233,.T.); #15607=EDGE_CURVE('',#13295,#13295,#11234,.T.); #15608=EDGE_CURVE('',#13296,#13296,#11235,.T.); #15609=EDGE_CURVE('',#13297,#13297,#11236,.T.); #15610=EDGE_CURVE('',#13298,#13298,#11237,.T.); #15611=EDGE_CURVE('',#13299,#13299,#11238,.T.); #15612=EDGE_CURVE('',#13300,#13300,#11239,.T.); #15613=EDGE_CURVE('',#13301,#13301,#11240,.T.); #15614=EDGE_CURVE('',#13302,#13276,#1146,.T.); #15615=EDGE_CURVE('',#13266,#13302,#11241,.T.); #15616=EDGE_CURVE('',#13302,#13303,#1147,.T.); #15617=EDGE_CURVE('',#13303,#13304,#1148,.T.); #15618=EDGE_CURVE('',#13304,#13305,#1149,.T.); #15619=EDGE_CURVE('',#13305,#13306,#11242,.T.); #15620=EDGE_CURVE('',#13306,#13307,#1150,.T.); #15621=EDGE_CURVE('',#13307,#13267,#1151,.T.); #15622=EDGE_CURVE('',#13308,#13308,#11243,.T.); #15623=EDGE_CURVE('',#13309,#13309,#11244,.T.); #15624=EDGE_CURVE('',#13310,#13310,#11245,.T.); #15625=EDGE_CURVE('',#13311,#13311,#11246,.T.); #15626=EDGE_CURVE('',#13312,#13312,#11247,.T.); #15627=EDGE_CURVE('',#13313,#13313,#11248,.T.); #15628=EDGE_CURVE('',#13314,#13314,#11249,.T.); #15629=EDGE_CURVE('',#13315,#13315,#11250,.T.); #15630=EDGE_CURVE('',#13316,#13316,#11251,.T.); #15631=EDGE_CURVE('',#13317,#13317,#11252,.T.); #15632=EDGE_CURVE('',#13318,#13318,#11253,.T.); #15633=EDGE_CURVE('',#13319,#13319,#11254,.T.); #15634=EDGE_CURVE('',#13320,#13320,#11255,.T.); #15635=EDGE_CURVE('',#13321,#13321,#11256,.T.); #15636=EDGE_CURVE('',#13322,#13322,#11257,.T.); #15637=EDGE_CURVE('',#13287,#13303,#1152,.T.); #15638=EDGE_CURVE('',#13284,#13306,#1153,.T.); #15639=EDGE_CURVE('',#13305,#13285,#1154,.T.); #15640=EDGE_CURVE('',#13307,#13283,#1155,.T.); #15641=EDGE_CURVE('',#13304,#13286,#1156,.T.); #15642=EDGE_CURVE('',#13323,#13323,#11258,.T.); #15643=EDGE_CURVE('',#13324,#13324,#11259,.T.); #15644=EDGE_CURVE('',#13325,#13325,#11260,.T.); #15645=EDGE_CURVE('',#13326,#13326,#11261,.T.); #15646=EDGE_CURVE('',#13327,#13327,#11262,.T.); #15647=EDGE_CURVE('',#13328,#13328,#11263,.T.); #15648=EDGE_CURVE('',#13329,#13329,#11264,.T.); #15649=EDGE_CURVE('',#13330,#13330,#11265,.T.); #15650=EDGE_CURVE('',#13331,#13331,#11266,.T.); #15651=EDGE_CURVE('',#13332,#13332,#11267,.T.); #15652=EDGE_CURVE('',#13333,#13333,#11268,.T.); #15653=EDGE_CURVE('',#13334,#13334,#11269,.T.); #15654=EDGE_CURVE('',#13335,#13335,#11270,.T.); #15655=EDGE_CURVE('',#13336,#13336,#11271,.T.); #15656=EDGE_CURVE('',#13337,#13337,#11272,.T.); #15657=EDGE_CURVE('',#13338,#13338,#11273,.T.); #15658=EDGE_CURVE('',#13339,#13339,#11274,.T.); #15659=EDGE_CURVE('',#13340,#13340,#11275,.T.); #15660=EDGE_CURVE('',#13341,#13341,#11276,.T.); #15661=EDGE_CURVE('',#13342,#13342,#11277,.T.); #15662=EDGE_CURVE('',#13343,#13343,#11278,.T.); #15663=EDGE_CURVE('',#13344,#13344,#11279,.T.); #15664=EDGE_CURVE('',#13345,#13345,#11280,.T.); #15665=EDGE_CURVE('',#13346,#13346,#11281,.T.); #15666=EDGE_CURVE('',#13347,#13347,#11282,.T.); #15667=EDGE_CURVE('',#13348,#13348,#11283,.T.); #15668=EDGE_CURVE('',#13349,#13349,#11284,.T.); #15669=EDGE_CURVE('',#13350,#13350,#11285,.T.); #15670=EDGE_CURVE('',#13351,#13351,#11286,.T.); #15671=EDGE_CURVE('',#13352,#13352,#11287,.T.); #15672=EDGE_CURVE('',#13353,#13353,#11288,.T.); #15673=EDGE_CURVE('',#13354,#13354,#11289,.T.); #15674=EDGE_CURVE('',#13355,#13355,#11290,.T.); #15675=EDGE_CURVE('',#13356,#13356,#11291,.T.); #15676=EDGE_CURVE('',#13357,#13357,#11292,.T.); #15677=EDGE_CURVE('',#13358,#13358,#11293,.T.); #15678=EDGE_CURVE('',#13359,#13359,#11294,.T.); #15679=EDGE_CURVE('',#13360,#13360,#11295,.T.); #15680=EDGE_CURVE('',#13361,#13361,#11296,.T.); #15681=EDGE_CURVE('',#13362,#13362,#11297,.T.); #15682=EDGE_CURVE('',#13363,#13363,#11298,.T.); #15683=EDGE_CURVE('',#13364,#13364,#11299,.T.); #15684=EDGE_CURVE('',#13365,#13365,#11300,.T.); #15685=EDGE_CURVE('',#13366,#13366,#11301,.T.); #15686=EDGE_CURVE('',#13367,#13367,#11302,.T.); #15687=EDGE_CURVE('',#13368,#13368,#11303,.T.); #15688=EDGE_CURVE('',#13369,#13369,#11304,.T.); #15689=EDGE_CURVE('',#13370,#13370,#11305,.T.); #15690=EDGE_CURVE('',#13371,#13371,#11306,.T.); #15691=EDGE_CURVE('',#13372,#13372,#11307,.T.); #15692=EDGE_CURVE('',#13373,#13373,#11308,.T.); #15693=EDGE_CURVE('',#13374,#13374,#11309,.T.); #15694=EDGE_CURVE('',#13375,#13375,#11310,.T.); #15695=EDGE_CURVE('',#13376,#13376,#11311,.T.); #15696=EDGE_CURVE('',#13377,#13377,#11312,.T.); #15697=EDGE_CURVE('',#13378,#13378,#11313,.T.); #15698=EDGE_CURVE('',#13379,#13379,#11314,.T.); #15699=EDGE_CURVE('',#13380,#13380,#11315,.T.); #15700=EDGE_CURVE('',#13381,#13381,#11316,.T.); #15701=EDGE_CURVE('',#13382,#13382,#11317,.T.); #15702=EDGE_CURVE('',#13383,#13383,#11318,.T.); #15703=EDGE_CURVE('',#13384,#13384,#11319,.T.); #15704=EDGE_CURVE('',#13385,#13385,#11320,.T.); #15705=EDGE_CURVE('',#13386,#13386,#11321,.T.); #15706=EDGE_CURVE('',#13387,#13387,#11322,.T.); #15707=EDGE_CURVE('',#13388,#13388,#11323,.T.); #15708=EDGE_CURVE('',#13389,#13389,#11324,.T.); #15709=EDGE_CURVE('',#13390,#13390,#11325,.T.); #15710=EDGE_CURVE('',#13391,#13391,#11326,.T.); #15711=EDGE_CURVE('',#13392,#13392,#11327,.T.); #15712=EDGE_CURVE('',#13393,#13393,#11328,.T.); #15713=EDGE_CURVE('',#13394,#13394,#11329,.T.); #15714=EDGE_CURVE('',#13395,#13396,#1157,.T.); #15715=EDGE_CURVE('',#13397,#13396,#1158,.T.); #15716=EDGE_CURVE('',#13398,#13397,#1159,.T.); #15717=EDGE_CURVE('',#13395,#13398,#1160,.T.); #15718=EDGE_CURVE('',#13396,#13399,#1161,.T.); #15719=EDGE_CURVE('',#13400,#13399,#1162,.T.); #15720=EDGE_CURVE('',#13400,#13401,#1163,.T.); #15721=EDGE_CURVE('',#13397,#13401,#1164,.T.); #15722=EDGE_CURVE('',#13402,#13395,#1165,.T.); #15723=EDGE_CURVE('',#13399,#13402,#1166,.T.); #15724=EDGE_CURVE('',#13402,#13403,#1167,.T.); #15725=EDGE_CURVE('',#13403,#13404,#1168,.T.); #15726=EDGE_CURVE('',#13404,#13405,#1169,.T.); #15727=EDGE_CURVE('',#13406,#13405,#11330,.T.); #15728=EDGE_CURVE('',#13406,#13407,#1170,.T.); #15729=EDGE_CURVE('',#13407,#13400,#1171,.T.); #15730=EDGE_CURVE('',#13395,#13408,#1172,.T.); #15731=EDGE_CURVE('',#13403,#13408,#1173,.T.); #15732=EDGE_CURVE('',#13408,#13409,#1174,.T.); #15733=EDGE_CURVE('',#13410,#13409,#1175,.T.); #15734=EDGE_CURVE('',#13404,#13410,#1176,.T.); #15735=EDGE_CURVE('',#13409,#13398,#1177,.T.); #15736=EDGE_CURVE('',#13405,#13411,#1178,.T.); #15737=EDGE_CURVE('',#13411,#13412,#11331,.T.); #15738=EDGE_CURVE('',#13412,#13406,#1179,.T.); #15739=EDGE_CURVE('',#13411,#13410,#1180,.T.); #15740=EDGE_CURVE('',#13413,#13412,#1181,.T.); #15741=EDGE_CURVE('',#13413,#13407,#1182,.T.); #15742=EDGE_CURVE('',#13401,#13413,#1183,.T.); #15743=EDGE_CURVE('',#13414,#13415,#11332,.T.); #15744=EDGE_CURVE('',#13416,#13415,#1184,.T.); #15745=EDGE_CURVE('',#13417,#13416,#11333,.T.); #15746=EDGE_CURVE('',#13414,#13417,#1185,.T.); #15747=EDGE_CURVE('',#13414,#13418,#1186,.T.); #15748=EDGE_CURVE('',#13418,#13419,#11334,.T.); #15749=EDGE_CURVE('',#13419,#13420,#1187,.T.); #15750=EDGE_CURVE('',#13421,#13420,#1188,.T.); #15751=EDGE_CURVE('',#13421,#13422,#1189,.T.); #15752=EDGE_CURVE('',#13422,#13423,#1190,.T.); #15753=EDGE_CURVE('',#13423,#13424,#1191,.T.); #15754=EDGE_CURVE('',#13425,#13424,#1192,.T.); #15755=EDGE_CURVE('',#13425,#13415,#1193,.T.); #15756=EDGE_CURVE('',#13426,#13426,#11335,.T.); #15757=EDGE_CURVE('',#13427,#13427,#11336,.T.); #15758=EDGE_CURVE('',#13428,#13428,#11337,.T.); #15759=EDGE_CURVE('',#13429,#13429,#11338,.T.); #15760=EDGE_CURVE('',#13430,#13430,#11339,.T.); #15761=EDGE_CURVE('',#13431,#13431,#11340,.T.); #15762=EDGE_CURVE('',#13432,#13432,#11341,.T.); #15763=EDGE_CURVE('',#13433,#13433,#11342,.T.); #15764=EDGE_CURVE('',#13434,#13434,#11343,.T.); #15765=EDGE_CURVE('',#13435,#13435,#11344,.T.); #15766=EDGE_CURVE('',#13436,#13436,#11345,.T.); #15767=EDGE_CURVE('',#13437,#13437,#11346,.T.); #15768=EDGE_CURVE('',#13438,#13438,#11347,.T.); #15769=EDGE_CURVE('',#13439,#13439,#11348,.T.); #15770=EDGE_CURVE('',#13440,#13440,#11349,.T.); #15771=EDGE_CURVE('',#13441,#13441,#11350,.T.); #15772=EDGE_CURVE('',#13442,#13442,#11351,.T.); #15773=EDGE_CURVE('',#13443,#13443,#11352,.T.); #15774=EDGE_CURVE('',#13444,#13444,#11353,.T.); #15775=EDGE_CURVE('',#13445,#13445,#11354,.T.); #15776=EDGE_CURVE('',#13446,#13446,#11355,.T.); #15777=EDGE_CURVE('',#13447,#13417,#1194,.T.); #15778=EDGE_CURVE('',#13447,#13418,#1195,.T.); #15779=EDGE_CURVE('',#13448,#13447,#11356,.T.); #15780=EDGE_CURVE('',#13419,#13448,#1196,.T.); #15781=EDGE_CURVE('',#13449,#13448,#1197,.T.); #15782=EDGE_CURVE('',#13420,#13449,#1198,.T.); #15783=EDGE_CURVE('',#13416,#13450,#1199,.T.); #15784=EDGE_CURVE('',#13450,#13451,#1200,.T.); #15785=EDGE_CURVE('',#13451,#13452,#11357,.T.); #15786=EDGE_CURVE('',#13452,#13449,#1201,.T.); #15787=EDGE_CURVE('',#13453,#13453,#11358,.T.); #15788=EDGE_CURVE('',#13454,#13454,#11359,.T.); #15789=EDGE_CURVE('',#13455,#13455,#11360,.T.); #15790=EDGE_CURVE('',#13456,#13456,#11361,.T.); #15791=EDGE_CURVE('',#13457,#13457,#11362,.T.); #15792=EDGE_CURVE('',#13458,#13458,#11363,.T.); #15793=EDGE_CURVE('',#13459,#13459,#11364,.T.); #15794=EDGE_CURVE('',#13460,#13460,#11365,.T.); #15795=EDGE_CURVE('',#13461,#13461,#11366,.T.); #15796=EDGE_CURVE('',#13462,#13462,#11367,.T.); #15797=EDGE_CURVE('',#13463,#13463,#11368,.T.); #15798=EDGE_CURVE('',#13464,#13464,#11369,.T.); #15799=EDGE_CURVE('',#13465,#13421,#1202,.T.); #15800=EDGE_CURVE('',#13466,#13465,#1203,.T.); #15801=EDGE_CURVE('',#13466,#13422,#1204,.T.); #15802=EDGE_CURVE('',#13467,#13466,#1205,.T.); #15803=EDGE_CURVE('',#13467,#13423,#1206,.T.); #15804=EDGE_CURVE('',#13468,#13467,#1207,.T.); #15805=EDGE_CURVE('',#13424,#13468,#1208,.T.); #15806=EDGE_CURVE('',#13450,#13425,#1209,.T.); #15807=EDGE_CURVE('',#13465,#13469,#1210,.T.); #15808=EDGE_CURVE('',#13469,#13470,#1211,.T.); #15809=EDGE_CURVE('',#13470,#13471,#1212,.T.); #15810=EDGE_CURVE('',#13471,#13472,#11370,.T.); #15811=EDGE_CURVE('',#13472,#13473,#1213,.T.); #15812=EDGE_CURVE('',#13473,#13474,#1214,.T.); #15813=EDGE_CURVE('',#13474,#13468,#1215,.T.); #15814=EDGE_CURVE('',#13475,#13475,#11371,.T.); #15815=EDGE_CURVE('',#13476,#13476,#11372,.T.); #15816=EDGE_CURVE('',#13477,#13477,#11373,.T.); #15817=EDGE_CURVE('',#13478,#13478,#11374,.T.); #15818=EDGE_CURVE('',#13479,#13479,#11375,.T.); #15819=EDGE_CURVE('',#13480,#13480,#11376,.T.); #15820=EDGE_CURVE('',#13481,#13481,#11377,.T.); #15821=EDGE_CURVE('',#13482,#13482,#11378,.T.); #15822=EDGE_CURVE('',#13452,#13483,#1216,.T.); #15823=EDGE_CURVE('',#13484,#13483,#1217,.T.); #15824=EDGE_CURVE('',#13484,#13469,#1218,.T.); #15825=EDGE_CURVE('',#13485,#13485,#11379,.T.); #15826=EDGE_CURVE('',#13486,#13486,#11380,.T.); #15827=EDGE_CURVE('',#13487,#13487,#11381,.T.); #15828=EDGE_CURVE('',#13488,#13488,#11382,.T.); #15829=EDGE_CURVE('',#13489,#13489,#11383,.T.); #15830=EDGE_CURVE('',#13490,#13490,#11384,.T.); #15831=EDGE_CURVE('',#13491,#13491,#11385,.T.); #15832=EDGE_CURVE('',#13492,#13492,#11386,.T.); #15833=EDGE_CURVE('',#13493,#13493,#11387,.T.); #15834=EDGE_CURVE('',#13494,#13494,#11388,.T.); #15835=EDGE_CURVE('',#13495,#13495,#11389,.T.); #15836=EDGE_CURVE('',#13496,#13496,#11390,.T.); #15837=EDGE_CURVE('',#13497,#13451,#1219,.T.); #15838=EDGE_CURVE('',#13483,#13497,#11391,.T.); #15839=EDGE_CURVE('',#13497,#13498,#1220,.T.); #15840=EDGE_CURVE('',#13498,#13499,#1221,.T.); #15841=EDGE_CURVE('',#13499,#13500,#1222,.T.); #15842=EDGE_CURVE('',#13500,#13501,#11392,.T.); #15843=EDGE_CURVE('',#13501,#13502,#1223,.T.); #15844=EDGE_CURVE('',#13502,#13484,#1224,.T.); #15845=EDGE_CURVE('',#13503,#13503,#11393,.T.); #15846=EDGE_CURVE('',#13504,#13504,#11394,.T.); #15847=EDGE_CURVE('',#13505,#13505,#11395,.T.); #15848=EDGE_CURVE('',#13506,#13506,#11396,.T.); #15849=EDGE_CURVE('',#13507,#13507,#11397,.T.); #15850=EDGE_CURVE('',#13508,#13508,#11398,.T.); #15851=EDGE_CURVE('',#13509,#13509,#11399,.T.); #15852=EDGE_CURVE('',#13510,#13510,#11400,.T.); #15853=EDGE_CURVE('',#13511,#13511,#11401,.T.); #15854=EDGE_CURVE('',#13512,#13512,#11402,.T.); #15855=EDGE_CURVE('',#13513,#13513,#11403,.T.); #15856=EDGE_CURVE('',#13514,#13514,#11404,.T.); #15857=EDGE_CURVE('',#13515,#13515,#11405,.T.); #15858=EDGE_CURVE('',#13516,#13516,#11406,.T.); #15859=EDGE_CURVE('',#13517,#13517,#11407,.T.); #15860=EDGE_CURVE('',#13518,#13518,#11408,.T.); #15861=EDGE_CURVE('',#13519,#13519,#11409,.T.); #15862=EDGE_CURVE('',#13520,#13520,#11410,.T.); #15863=EDGE_CURVE('',#13521,#13521,#11411,.T.); #15864=EDGE_CURVE('',#13522,#13522,#11412,.T.); #15865=EDGE_CURVE('',#13523,#13523,#11413,.T.); #15866=EDGE_CURVE('',#13524,#13524,#11414,.T.); #15867=EDGE_CURVE('',#13525,#13525,#11415,.T.); #15868=EDGE_CURVE('',#13526,#13526,#11416,.T.); #15869=EDGE_CURVE('',#13527,#13527,#11417,.T.); #15870=EDGE_CURVE('',#13528,#13528,#11418,.T.); #15871=EDGE_CURVE('',#13529,#13529,#11419,.T.); #15872=EDGE_CURVE('',#13530,#13530,#11420,.T.); #15873=EDGE_CURVE('',#13531,#13531,#11421,.T.); #15874=EDGE_CURVE('',#13498,#13474,#1225,.T.); #15875=EDGE_CURVE('',#13500,#13472,#1226,.T.); #15876=EDGE_CURVE('',#13471,#13501,#1227,.T.); #15877=EDGE_CURVE('',#13499,#13473,#1228,.T.); #15878=EDGE_CURVE('',#13470,#13502,#1229,.T.); #15879=EDGE_CURVE('',#13532,#13532,#11422,.T.); #15880=EDGE_CURVE('',#13533,#13533,#11423,.T.); #15881=EDGE_CURVE('',#13534,#13534,#11424,.T.); #15882=EDGE_CURVE('',#13535,#13535,#11425,.T.); #15883=EDGE_CURVE('',#13536,#13536,#11426,.T.); #15884=EDGE_CURVE('',#13537,#13537,#11427,.T.); #15885=EDGE_CURVE('',#13538,#13538,#11428,.T.); #15886=EDGE_CURVE('',#13539,#13539,#11429,.T.); #15887=EDGE_CURVE('',#13540,#13540,#11430,.T.); #15888=EDGE_CURVE('',#13541,#13541,#11431,.T.); #15889=EDGE_CURVE('',#13542,#13542,#11432,.T.); #15890=EDGE_CURVE('',#13543,#13543,#11433,.T.); #15891=EDGE_CURVE('',#13544,#13544,#11434,.T.); #15892=EDGE_CURVE('',#13545,#13545,#11435,.T.); #15893=EDGE_CURVE('',#13546,#13547,#1230,.T.); #15894=EDGE_CURVE('',#13548,#13546,#1231,.T.); #15895=EDGE_CURVE('',#13549,#13548,#1232,.T.); #15896=EDGE_CURVE('',#13547,#13549,#1233,.T.); #15897=EDGE_CURVE('',#13550,#13550,#11436,.T.); #15898=EDGE_CURVE('',#13551,#13551,#11437,.T.); #15899=EDGE_CURVE('',#13552,#13552,#11438,.T.); #15900=EDGE_CURVE('',#13553,#13553,#11439,.T.); #15901=EDGE_CURVE('',#13554,#13554,#11440,.T.); #15902=EDGE_CURVE('',#13548,#13555,#1234,.T.); #15903=EDGE_CURVE('',#13555,#13556,#1235,.T.); #15904=EDGE_CURVE('',#13556,#13549,#1236,.T.); #15905=EDGE_CURVE('',#13557,#13557,#11441,.T.); #15906=EDGE_CURVE('',#13558,#13558,#11442,.T.); #15907=EDGE_CURVE('',#13559,#13559,#11443,.T.); #15908=EDGE_CURVE('',#13560,#13560,#11444,.T.); #15909=EDGE_CURVE('',#13561,#13561,#11445,.T.); #15910=EDGE_CURVE('',#13562,#13546,#1237,.T.); #15911=EDGE_CURVE('',#13562,#13555,#1238,.T.); #15912=EDGE_CURVE('',#13563,#13563,#725,.T.); #15913=EDGE_CURVE('',#13564,#13564,#726,.T.); #15914=EDGE_CURVE('',#13565,#13565,#727,.T.); #15915=EDGE_CURVE('',#13547,#13566,#1239,.T.); #15916=EDGE_CURVE('',#13566,#13562,#1240,.T.); #15917=EDGE_CURVE('',#13567,#13567,#11446,.T.); #15918=EDGE_CURVE('',#13568,#13568,#11447,.T.); #15919=EDGE_CURVE('',#13569,#13570,#728,.T.); #15920=EDGE_CURVE('',#13570,#13569,#11448,.T.); #15921=EDGE_CURVE('',#13570,#13569,#678,.T.); #15922=EDGE_CURVE('',#13566,#13556,#1241,.T.); #15923=EDGE_CURVE('',#13571,#13572,#1242,.T.); #15924=EDGE_CURVE('',#13572,#13573,#1243,.T.); #15925=EDGE_CURVE('',#13573,#13574,#1244,.T.); #15926=EDGE_CURVE('',#13574,#13571,#1245,.T.); #15927=EDGE_CURVE('',#13575,#13575,#11449,.T.); #15928=EDGE_CURVE('',#13576,#13576,#11450,.T.); #15929=EDGE_CURVE('',#13577,#13577,#11451,.T.); #15930=EDGE_CURVE('',#13578,#13578,#11452,.T.); #15931=EDGE_CURVE('',#13579,#13579,#11453,.T.); #15932=EDGE_CURVE('',#13580,#13580,#11454,.T.); #15933=EDGE_CURVE('',#13581,#13581,#11455,.T.); #15934=EDGE_CURVE('',#13582,#13582,#11456,.T.); #15935=EDGE_CURVE('',#13583,#13583,#11457,.T.); #15936=EDGE_CURVE('',#13584,#13584,#11458,.T.); #15937=EDGE_CURVE('',#13585,#13585,#11459,.T.); #15938=EDGE_CURVE('',#13586,#13586,#11460,.T.); #15939=EDGE_CURVE('',#13587,#13587,#11461,.T.); #15940=EDGE_CURVE('',#13588,#13588,#11462,.T.); #15941=EDGE_CURVE('',#13589,#13589,#11463,.T.); #15942=EDGE_CURVE('',#13590,#13590,#11464,.T.); #15943=EDGE_CURVE('',#13591,#13591,#11465,.T.); #15944=EDGE_CURVE('',#13592,#13592,#11466,.T.); #15945=EDGE_CURVE('',#13593,#13593,#11467,.T.); #15946=EDGE_CURVE('',#13594,#13594,#11468,.T.); #15947=EDGE_CURVE('',#13595,#13595,#11469,.T.); #15948=EDGE_CURVE('',#13596,#13596,#11470,.T.); #15949=EDGE_CURVE('',#13597,#13597,#11471,.T.); #15950=EDGE_CURVE('',#13598,#13598,#11472,.T.); #15951=EDGE_CURVE('',#13599,#13599,#11473,.T.); #15952=EDGE_CURVE('',#13600,#13600,#11474,.T.); #15953=EDGE_CURVE('',#13601,#13601,#11475,.T.); #15954=EDGE_CURVE('',#13602,#13602,#11476,.T.); #15955=EDGE_CURVE('',#13603,#13603,#11477,.T.); #15956=EDGE_CURVE('',#13604,#13604,#11478,.T.); #15957=EDGE_CURVE('',#13605,#13605,#11479,.T.); #15958=EDGE_CURVE('',#13606,#13606,#11480,.T.); #15959=EDGE_CURVE('',#13607,#13607,#11481,.T.); #15960=EDGE_CURVE('',#13608,#13608,#11482,.T.); #15961=EDGE_CURVE('',#13609,#13609,#11483,.T.); #15962=EDGE_CURVE('',#13610,#13610,#11484,.T.); #15963=EDGE_CURVE('',#13611,#13611,#11485,.T.); #15964=EDGE_CURVE('',#13612,#13612,#11486,.T.); #15965=EDGE_CURVE('',#13613,#13613,#11487,.T.); #15966=EDGE_CURVE('',#13614,#13614,#11488,.T.); #15967=EDGE_CURVE('',#13615,#13616,#1246,.T.); #15968=EDGE_CURVE('',#13616,#13617,#1247,.T.); #15969=EDGE_CURVE('',#13618,#13617,#1248,.T.); #15970=EDGE_CURVE('',#13615,#13618,#1249,.T.); #15971=EDGE_CURVE('',#13615,#13572,#1250,.T.); #15972=EDGE_CURVE('',#13618,#13573,#1251,.T.); #15973=EDGE_CURVE('',#13616,#13571,#1252,.T.); #15974=EDGE_CURVE('',#13617,#13574,#1253,.T.); #15975=EDGE_CURVE('',#13619,#13619,#11489,.T.); #15976=EDGE_CURVE('',#13620,#13620,#11490,.T.); #15977=EDGE_CURVE('',#13621,#13621,#11491,.T.); #15978=EDGE_CURVE('',#13622,#13622,#11492,.T.); #15979=EDGE_CURVE('',#13623,#13623,#11493,.T.); #15980=EDGE_CURVE('',#13624,#13624,#11494,.T.); #15981=EDGE_CURVE('',#13625,#13625,#11495,.T.); #15982=EDGE_CURVE('',#13626,#13626,#11496,.T.); #15983=EDGE_CURVE('',#13627,#13627,#11497,.T.); #15984=EDGE_CURVE('',#13628,#13628,#11498,.T.); #15985=EDGE_CURVE('',#13629,#13629,#11499,.T.); #15986=EDGE_CURVE('',#13630,#13630,#11500,.T.); #15987=EDGE_CURVE('',#13631,#13631,#11501,.T.); #15988=EDGE_CURVE('',#13632,#13632,#11502,.T.); #15989=EDGE_CURVE('',#13633,#13633,#11503,.T.); #15990=EDGE_CURVE('',#13634,#13634,#11504,.T.); #15991=EDGE_CURVE('',#13635,#13635,#11505,.T.); #15992=EDGE_CURVE('',#13636,#13636,#11506,.T.); #15993=EDGE_CURVE('',#13637,#13637,#11507,.T.); #15994=EDGE_CURVE('',#13638,#13638,#11508,.T.); #15995=EDGE_CURVE('',#13639,#13639,#11509,.T.); #15996=EDGE_CURVE('',#13640,#13640,#11510,.T.); #15997=EDGE_CURVE('',#13641,#13641,#11511,.T.); #15998=EDGE_CURVE('',#13642,#13642,#11512,.T.); #15999=EDGE_CURVE('',#13643,#13643,#11513,.T.); #16000=EDGE_CURVE('',#13644,#13644,#11514,.T.); #16001=EDGE_CURVE('',#13645,#13645,#11515,.T.); #16002=EDGE_CURVE('',#13646,#13646,#11516,.T.); #16003=EDGE_CURVE('',#13647,#13647,#11517,.T.); #16004=EDGE_CURVE('',#13648,#13648,#11518,.T.); #16005=EDGE_CURVE('',#13649,#13649,#11519,.T.); #16006=EDGE_CURVE('',#13650,#13650,#11520,.T.); #16007=EDGE_CURVE('',#13651,#13651,#11521,.T.); #16008=EDGE_CURVE('',#13652,#13652,#11522,.T.); #16009=EDGE_CURVE('',#13653,#13653,#11523,.T.); #16010=EDGE_CURVE('',#13654,#13654,#11524,.T.); #16011=EDGE_CURVE('',#13655,#13655,#11525,.T.); #16012=EDGE_CURVE('',#13656,#13656,#11526,.T.); #16013=EDGE_CURVE('',#13657,#13657,#11527,.T.); #16014=EDGE_CURVE('',#13658,#13658,#11528,.T.); #16015=EDGE_CURVE('',#13659,#13659,#11529,.T.); #16016=EDGE_CURVE('',#13660,#13660,#11530,.T.); #16017=EDGE_CURVE('',#13661,#13661,#11531,.T.); #16018=EDGE_CURVE('',#13662,#13662,#11532,.T.); #16019=EDGE_CURVE('',#13663,#13663,#11533,.T.); #16020=EDGE_CURVE('',#13664,#13664,#11534,.T.); #16021=EDGE_CURVE('',#13665,#13665,#11535,.T.); #16022=EDGE_CURVE('',#13666,#13666,#11536,.T.); #16023=EDGE_CURVE('',#13667,#13667,#11537,.T.); #16024=EDGE_CURVE('',#13668,#13668,#11538,.T.); #16025=EDGE_CURVE('',#13669,#13669,#11539,.T.); #16026=EDGE_CURVE('',#13670,#13670,#11540,.T.); #16027=EDGE_CURVE('',#13671,#13671,#11541,.T.); #16028=EDGE_CURVE('',#13672,#13672,#11542,.T.); #16029=EDGE_CURVE('',#13673,#13673,#11543,.T.); #16030=EDGE_CURVE('',#13674,#13674,#11544,.T.); #16031=EDGE_CURVE('',#13675,#13675,#11545,.T.); #16032=EDGE_CURVE('',#13676,#13676,#11546,.T.); #16033=EDGE_CURVE('',#13677,#13677,#11547,.T.); #16034=EDGE_CURVE('',#13678,#13678,#11548,.T.); #16035=EDGE_CURVE('',#13679,#13679,#11549,.T.); #16036=EDGE_CURVE('',#13680,#13680,#11550,.T.); #16037=EDGE_CURVE('',#13681,#13681,#11551,.T.); #16038=EDGE_CURVE('',#13682,#13682,#11552,.T.); #16039=EDGE_CURVE('',#13683,#13683,#11553,.T.); #16040=EDGE_CURVE('',#13684,#13684,#11554,.T.); #16041=EDGE_CURVE('',#13685,#13685,#11555,.T.); #16042=EDGE_CURVE('',#13686,#13686,#11556,.T.); #16043=EDGE_CURVE('',#13687,#13687,#11557,.T.); #16044=EDGE_CURVE('',#13688,#13688,#11558,.T.); #16045=EDGE_CURVE('',#13689,#13689,#11559,.T.); #16046=EDGE_CURVE('',#13690,#13690,#11560,.T.); #16047=EDGE_CURVE('',#13691,#13691,#11561,.T.); #16048=EDGE_CURVE('',#13692,#13692,#11562,.T.); #16049=EDGE_CURVE('',#13693,#13693,#11563,.T.); #16050=EDGE_CURVE('',#13694,#13694,#11564,.T.); #16051=EDGE_CURVE('',#13695,#13695,#11565,.T.); #16052=EDGE_CURVE('',#13696,#13696,#11566,.T.); #16053=EDGE_CURVE('',#13697,#13697,#11567,.T.); #16054=EDGE_CURVE('',#13698,#13698,#11568,.T.); #16055=EDGE_CURVE('',#13699,#13699,#11569,.T.); #16056=EDGE_CURVE('',#13700,#13700,#11570,.T.); #16057=EDGE_CURVE('',#13701,#13701,#11571,.T.); #16058=EDGE_CURVE('',#13702,#13702,#11572,.T.); #16059=EDGE_CURVE('',#13703,#13703,#11573,.T.); #16060=EDGE_CURVE('',#13704,#13704,#11574,.T.); #16061=EDGE_CURVE('',#13705,#13705,#11575,.T.); #16062=EDGE_CURVE('',#13706,#13706,#11576,.T.); #16063=EDGE_CURVE('',#13707,#13707,#11577,.T.); #16064=EDGE_CURVE('',#13708,#13708,#11578,.T.); #16065=EDGE_CURVE('',#13709,#13709,#11579,.T.); #16066=EDGE_CURVE('',#13710,#13710,#11580,.T.); #16067=EDGE_CURVE('',#13711,#13711,#11581,.T.); #16068=EDGE_CURVE('',#13712,#13712,#11582,.T.); #16069=EDGE_CURVE('',#13713,#13713,#11583,.T.); #16070=EDGE_CURVE('',#13714,#13714,#11584,.T.); #16071=EDGE_CURVE('',#13715,#13715,#11585,.T.); #16072=EDGE_CURVE('',#13716,#13716,#11586,.T.); #16073=EDGE_CURVE('',#13717,#13717,#11587,.T.); #16074=EDGE_CURVE('',#13718,#13718,#11588,.T.); #16075=EDGE_CURVE('',#13719,#13719,#11589,.T.); #16076=EDGE_CURVE('',#13720,#13720,#11590,.T.); #16077=EDGE_CURVE('',#13721,#13721,#11591,.T.); #16078=EDGE_CURVE('',#13722,#13722,#11592,.T.); #16079=EDGE_CURVE('',#13723,#13723,#11593,.T.); #16080=EDGE_CURVE('',#13724,#13724,#11594,.T.); #16081=EDGE_CURVE('',#13725,#13725,#11595,.T.); #16082=EDGE_CURVE('',#13726,#13726,#11596,.T.); #16083=EDGE_CURVE('',#13727,#13727,#11597,.T.); #16084=EDGE_CURVE('',#13728,#13728,#11598,.T.); #16085=EDGE_CURVE('',#13729,#13729,#11599,.T.); #16086=EDGE_CURVE('',#13730,#13730,#11600,.T.); #16087=EDGE_CURVE('',#13731,#13731,#11601,.T.); #16088=EDGE_CURVE('',#13732,#13732,#11602,.T.); #16089=EDGE_CURVE('',#13733,#13733,#11603,.T.); #16090=EDGE_CURVE('',#13734,#13734,#11604,.T.); #16091=EDGE_CURVE('',#13735,#13735,#11605,.T.); #16092=EDGE_CURVE('',#13736,#13736,#11606,.T.); #16093=EDGE_CURVE('',#13737,#13737,#11607,.T.); #16094=EDGE_CURVE('',#13738,#13738,#11608,.T.); #16095=EDGE_CURVE('',#13739,#13739,#11609,.T.); #16096=EDGE_CURVE('',#13740,#13740,#11610,.T.); #16097=EDGE_CURVE('',#13741,#13741,#11611,.T.); #16098=EDGE_CURVE('',#13742,#13742,#11612,.T.); #16099=EDGE_CURVE('',#13743,#13743,#11613,.T.); #16100=EDGE_CURVE('',#13744,#13744,#11614,.T.); #16101=EDGE_CURVE('',#13745,#13745,#11615,.T.); #16102=EDGE_CURVE('',#13746,#13746,#11616,.T.); #16103=EDGE_CURVE('',#13747,#13747,#11617,.T.); #16104=EDGE_CURVE('',#13748,#13748,#11618,.T.); #16105=EDGE_CURVE('',#13749,#13749,#11619,.T.); #16106=EDGE_CURVE('',#13750,#13750,#11620,.T.); #16107=EDGE_CURVE('',#13751,#13751,#11621,.T.); #16108=EDGE_CURVE('',#13752,#13752,#11622,.T.); #16109=EDGE_CURVE('',#13753,#13753,#11623,.T.); #16110=EDGE_CURVE('',#13754,#13754,#11624,.T.); #16111=EDGE_CURVE('',#13755,#13755,#11625,.T.); #16112=EDGE_CURVE('',#13756,#13756,#11626,.T.); #16113=EDGE_CURVE('',#13757,#13757,#11627,.T.); #16114=EDGE_CURVE('',#13758,#13758,#11628,.T.); #16115=EDGE_CURVE('',#13759,#13759,#11629,.T.); #16116=EDGE_CURVE('',#13760,#13760,#11630,.T.); #16117=EDGE_CURVE('',#13761,#13761,#11631,.T.); #16118=EDGE_CURVE('',#13762,#13762,#11632,.T.); #16119=EDGE_CURVE('',#13763,#13763,#11633,.T.); #16120=EDGE_CURVE('',#13764,#13764,#11634,.T.); #16121=EDGE_CURVE('',#13765,#13765,#11635,.T.); #16122=EDGE_CURVE('',#13766,#13766,#11636,.T.); #16123=EDGE_CURVE('',#13767,#13767,#11637,.T.); #16124=EDGE_CURVE('',#13768,#13768,#11638,.T.); #16125=EDGE_CURVE('',#13769,#13769,#11639,.T.); #16126=EDGE_CURVE('',#13770,#13770,#11640,.T.); #16127=EDGE_CURVE('',#13771,#13771,#11641,.T.); #16128=EDGE_CURVE('',#13772,#13772,#11642,.T.); #16129=EDGE_CURVE('',#13773,#13773,#11643,.T.); #16130=EDGE_CURVE('',#13774,#13774,#11644,.T.); #16131=EDGE_CURVE('',#13775,#13775,#11645,.T.); #16132=EDGE_CURVE('',#13776,#13776,#11646,.T.); #16133=EDGE_CURVE('',#13777,#13777,#11647,.T.); #16134=EDGE_CURVE('',#13778,#13778,#11648,.T.); #16135=EDGE_CURVE('',#13779,#13779,#11649,.T.); #16136=EDGE_CURVE('',#13780,#13780,#11650,.T.); #16137=EDGE_CURVE('',#13781,#13781,#11651,.T.); #16138=EDGE_CURVE('',#13782,#13782,#11652,.T.); #16139=EDGE_CURVE('',#13783,#13783,#11653,.T.); #16140=EDGE_CURVE('',#13784,#13784,#11654,.T.); #16141=EDGE_CURVE('',#13785,#13785,#11655,.T.); #16142=EDGE_CURVE('',#13786,#13786,#11656,.T.); #16143=EDGE_CURVE('',#13787,#13787,#11657,.T.); #16144=EDGE_CURVE('',#13788,#13788,#11658,.T.); #16145=EDGE_CURVE('',#13789,#13789,#11659,.T.); #16146=EDGE_CURVE('',#13790,#13790,#11660,.T.); #16147=EDGE_CURVE('',#13791,#13791,#11661,.T.); #16148=EDGE_CURVE('',#13792,#13792,#11662,.T.); #16149=EDGE_CURVE('',#13793,#13793,#11663,.T.); #16150=EDGE_CURVE('',#13794,#13794,#11664,.T.); #16151=EDGE_CURVE('',#13795,#13795,#11665,.T.); #16152=EDGE_CURVE('',#13796,#13796,#11666,.T.); #16153=EDGE_CURVE('',#13797,#13797,#11667,.T.); #16154=EDGE_CURVE('',#13798,#13798,#11668,.T.); #16155=EDGE_CURVE('',#13799,#13799,#11669,.T.); #16156=EDGE_CURVE('',#13800,#13800,#11670,.T.); #16157=EDGE_CURVE('',#13801,#13801,#11671,.T.); #16158=EDGE_CURVE('',#13802,#13802,#11672,.T.); #16159=EDGE_CURVE('',#13803,#13803,#11673,.T.); #16160=EDGE_CURVE('',#13804,#13804,#11674,.T.); #16161=EDGE_CURVE('',#13805,#13805,#11675,.T.); #16162=EDGE_CURVE('',#13806,#13806,#11676,.T.); #16163=EDGE_CURVE('',#13807,#13807,#11677,.T.); #16164=EDGE_CURVE('',#13808,#13808,#11678,.T.); #16165=EDGE_CURVE('',#13809,#13809,#11679,.T.); #16166=EDGE_CURVE('',#13810,#13810,#11680,.T.); #16167=EDGE_CURVE('',#13811,#13812,#11681,.T.); #16168=EDGE_CURVE('',#13812,#13813,#11682,.T.); #16169=EDGE_CURVE('',#13813,#13814,#11683,.T.); #16170=EDGE_CURVE('',#13814,#13811,#11684,.T.); #16171=EDGE_CURVE('',#13815,#13816,#11685,.T.); #16172=EDGE_CURVE('',#13816,#13814,#11686,.T.); #16173=EDGE_CURVE('',#13813,#13815,#11687,.T.); #16174=EDGE_CURVE('',#13817,#13818,#11688,.T.); #16175=EDGE_CURVE('',#13818,#13816,#11689,.T.); #16176=EDGE_CURVE('',#13815,#13817,#11690,.T.); #16177=EDGE_CURVE('',#13819,#13820,#11691,.T.); #16178=EDGE_CURVE('',#13820,#13818,#1254,.T.); #16179=EDGE_CURVE('',#13817,#13819,#1255,.T.); #16180=EDGE_CURVE('',#13821,#13822,#11692,.T.); #16181=EDGE_CURVE('',#13822,#13820,#11693,.T.); #16182=EDGE_CURVE('',#13819,#13821,#11694,.T.); #16183=EDGE_CURVE('',#13823,#13824,#11695,.T.); #16184=EDGE_CURVE('',#13824,#13822,#11696,.T.); #16185=EDGE_CURVE('',#13821,#13823,#11697,.T.); #16186=EDGE_CURVE('',#13825,#13826,#11698,.T.); #16187=EDGE_CURVE('',#13826,#13824,#11699,.T.); #16188=EDGE_CURVE('',#13823,#13825,#11700,.T.); #16189=EDGE_CURVE('',#13811,#13826,#1256,.T.); #16190=EDGE_CURVE('',#13825,#13812,#1257,.T.); #16191=EDGE_CURVE('',#13827,#13817,#1258,.T.); #16192=EDGE_CURVE('',#13828,#13827,#1259,.T.); #16193=EDGE_CURVE('',#13828,#13819,#1260,.T.); #16194=EDGE_CURVE('',#13829,#13815,#1261,.T.); #16195=EDGE_CURVE('',#13827,#13829,#11701,.T.); #16196=EDGE_CURVE('',#13830,#13813,#1262,.T.); #16197=EDGE_CURVE('',#13829,#13830,#11702,.T.); #16198=EDGE_CURVE('',#13831,#13812,#1263,.T.); #16199=EDGE_CURVE('',#13830,#13831,#11703,.T.); #16200=EDGE_CURVE('',#13832,#13833,#11704,.T.); #16201=EDGE_CURVE('',#13834,#13832,#1264,.T.); #16202=EDGE_CURVE('',#13835,#13834,#11705,.T.); #16203=EDGE_CURVE('',#13833,#13835,#1265,.T.); #16204=EDGE_CURVE('',#13836,#13825,#1266,.T.); #16205=EDGE_CURVE('',#13831,#13836,#1267,.T.); #16206=EDGE_CURVE('',#13837,#13823,#1268,.T.); #16207=EDGE_CURVE('',#13836,#13837,#11706,.T.); #16208=EDGE_CURVE('',#13838,#13821,#1269,.T.); #16209=EDGE_CURVE('',#13837,#13838,#11707,.T.); #16210=EDGE_CURVE('',#13838,#13828,#11708,.T.); #16211=EDGE_CURVE('',#13832,#13839,#1270,.T.); #16212=EDGE_CURVE('',#13840,#13839,#1271,.T.); #16213=EDGE_CURVE('',#13834,#13840,#1272,.T.); #16214=EDGE_CURVE('',#13841,#13840,#11709,.T.); #16215=EDGE_CURVE('',#13835,#13841,#1273,.T.); #16216=EDGE_CURVE('',#13842,#13841,#1274,.T.); #16217=EDGE_CURVE('',#13833,#13842,#1275,.T.); #16218=EDGE_CURVE('',#13839,#13842,#11710,.T.); #16219=ORIENTED_EDGE('',*,*,#13843,.F.); #16220=ORIENTED_EDGE('',*,*,#13844,.F.); #16221=ORIENTED_EDGE('',*,*,#13845,.F.); #16222=ORIENTED_EDGE('',*,*,#13846,.F.); #16223=ORIENTED_EDGE('',*,*,#13847,.F.); #16224=ORIENTED_EDGE('',*,*,#13848,.F.); #16225=ORIENTED_EDGE('',*,*,#13849,.F.); #16226=ORIENTED_EDGE('',*,*,#13850,.F.); #16227=ORIENTED_EDGE('',*,*,#13851,.F.); #16228=ORIENTED_EDGE('',*,*,#13852,.T.); #16229=ORIENTED_EDGE('',*,*,#13853,.F.); #16230=ORIENTED_EDGE('',*,*,#13851,.T.); #16231=ORIENTED_EDGE('',*,*,#13854,.F.); #16232=ORIENTED_EDGE('',*,*,#13852,.F.); #16233=ORIENTED_EDGE('',*,*,#13855,.F.); #16234=ORIENTED_EDGE('',*,*,#13856,.T.); #16235=ORIENTED_EDGE('',*,*,#13857,.F.); #16236=ORIENTED_EDGE('',*,*,#13855,.T.); #16237=ORIENTED_EDGE('',*,*,#13858,.F.); #16238=ORIENTED_EDGE('',*,*,#13856,.F.); #16239=ORIENTED_EDGE('',*,*,#13859,.F.); #16240=ORIENTED_EDGE('',*,*,#13860,.F.); #16241=ORIENTED_EDGE('',*,*,#13861,.F.); #16242=ORIENTED_EDGE('',*,*,#13862,.T.); #16243=ORIENTED_EDGE('',*,*,#13862,.F.); #16244=ORIENTED_EDGE('',*,*,#13860,.T.); #16245=ORIENTED_EDGE('',*,*,#13863,.F.); #16246=ORIENTED_EDGE('',*,*,#13864,.T.); #16247=ORIENTED_EDGE('',*,*,#13865,.F.); #16248=ORIENTED_EDGE('',*,*,#13863,.T.); #16249=ORIENTED_EDGE('',*,*,#13866,.F.); #16250=ORIENTED_EDGE('',*,*,#13864,.F.); #16251=ORIENTED_EDGE('',*,*,#13867,.F.); #16252=ORIENTED_EDGE('',*,*,#13868,.F.); #16253=ORIENTED_EDGE('',*,*,#13869,.F.); #16254=ORIENTED_EDGE('',*,*,#13870,.T.); #16255=ORIENTED_EDGE('',*,*,#13870,.F.); #16256=ORIENTED_EDGE('',*,*,#13868,.T.); #16257=ORIENTED_EDGE('',*,*,#13871,.F.); #16258=ORIENTED_EDGE('',*,*,#13872,.T.); #16259=ORIENTED_EDGE('',*,*,#13873,.F.); #16260=ORIENTED_EDGE('',*,*,#13871,.T.); #16261=ORIENTED_EDGE('',*,*,#13874,.F.); #16262=ORIENTED_EDGE('',*,*,#13872,.F.); #16263=ORIENTED_EDGE('',*,*,#13875,.F.); #16264=ORIENTED_EDGE('',*,*,#13876,.F.); #16265=ORIENTED_EDGE('',*,*,#13877,.F.); #16266=ORIENTED_EDGE('',*,*,#13878,.T.); #16267=ORIENTED_EDGE('',*,*,#13878,.F.); #16268=ORIENTED_EDGE('',*,*,#13876,.T.); #16269=ORIENTED_EDGE('',*,*,#13879,.F.); #16270=ORIENTED_EDGE('',*,*,#13880,.T.); #16271=ORIENTED_EDGE('',*,*,#13881,.F.); #16272=ORIENTED_EDGE('',*,*,#13879,.T.); #16273=ORIENTED_EDGE('',*,*,#13882,.F.); #16274=ORIENTED_EDGE('',*,*,#13880,.F.); #16275=ORIENTED_EDGE('',*,*,#13883,.F.); #16276=ORIENTED_EDGE('',*,*,#13884,.F.); #16277=ORIENTED_EDGE('',*,*,#13885,.F.); #16278=ORIENTED_EDGE('',*,*,#13886,.T.); #16279=ORIENTED_EDGE('',*,*,#13886,.F.); #16280=ORIENTED_EDGE('',*,*,#13884,.T.); #16281=ORIENTED_EDGE('',*,*,#13887,.F.); #16282=ORIENTED_EDGE('',*,*,#13888,.T.); #16283=ORIENTED_EDGE('',*,*,#13889,.F.); #16284=ORIENTED_EDGE('',*,*,#13887,.T.); #16285=ORIENTED_EDGE('',*,*,#13890,.F.); #16286=ORIENTED_EDGE('',*,*,#13888,.F.); #16287=ORIENTED_EDGE('',*,*,#13891,.F.); #16288=ORIENTED_EDGE('',*,*,#13892,.F.); #16289=ORIENTED_EDGE('',*,*,#13893,.F.); #16290=ORIENTED_EDGE('',*,*,#13894,.T.); #16291=ORIENTED_EDGE('',*,*,#13894,.F.); #16292=ORIENTED_EDGE('',*,*,#13892,.T.); #16293=ORIENTED_EDGE('',*,*,#13895,.F.); #16294=ORIENTED_EDGE('',*,*,#13896,.T.); #16295=ORIENTED_EDGE('',*,*,#13897,.F.); #16296=ORIENTED_EDGE('',*,*,#13895,.T.); #16297=ORIENTED_EDGE('',*,*,#13898,.F.); #16298=ORIENTED_EDGE('',*,*,#13896,.F.); #16299=ORIENTED_EDGE('',*,*,#13899,.F.); #16300=ORIENTED_EDGE('',*,*,#13900,.F.); #16301=ORIENTED_EDGE('',*,*,#13901,.F.); #16302=ORIENTED_EDGE('',*,*,#13902,.T.); #16303=ORIENTED_EDGE('',*,*,#13902,.F.); #16304=ORIENTED_EDGE('',*,*,#13900,.T.); #16305=ORIENTED_EDGE('',*,*,#13903,.F.); #16306=ORIENTED_EDGE('',*,*,#13904,.T.); #16307=ORIENTED_EDGE('',*,*,#13905,.F.); #16308=ORIENTED_EDGE('',*,*,#13903,.T.); #16309=ORIENTED_EDGE('',*,*,#13906,.F.); #16310=ORIENTED_EDGE('',*,*,#13904,.F.); #16311=ORIENTED_EDGE('',*,*,#13907,.F.); #16312=ORIENTED_EDGE('',*,*,#13908,.F.); #16313=ORIENTED_EDGE('',*,*,#13909,.F.); #16314=ORIENTED_EDGE('',*,*,#13910,.T.); #16315=ORIENTED_EDGE('',*,*,#13910,.F.); #16316=ORIENTED_EDGE('',*,*,#13908,.T.); #16317=ORIENTED_EDGE('',*,*,#13911,.T.); #16318=ORIENTED_EDGE('',*,*,#13912,.F.); #16319=ORIENTED_EDGE('',*,*,#13913,.F.); #16320=ORIENTED_EDGE('',*,*,#13911,.F.); #16321=ORIENTED_EDGE('',*,*,#13914,.F.); #16322=ORIENTED_EDGE('',*,*,#13912,.T.); #16323=ORIENTED_EDGE('',*,*,#13915,.T.); #16324=ORIENTED_EDGE('',*,*,#13916,.F.); #16325=ORIENTED_EDGE('',*,*,#13917,.F.); #16326=ORIENTED_EDGE('',*,*,#13915,.F.); #16327=ORIENTED_EDGE('',*,*,#13918,.F.); #16328=ORIENTED_EDGE('',*,*,#13916,.T.); #16329=ORIENTED_EDGE('',*,*,#13919,.T.); #16330=ORIENTED_EDGE('',*,*,#13920,.F.); #16331=ORIENTED_EDGE('',*,*,#13921,.F.); #16332=ORIENTED_EDGE('',*,*,#13919,.F.); #16333=ORIENTED_EDGE('',*,*,#13922,.F.); #16334=ORIENTED_EDGE('',*,*,#13920,.T.); #16335=ORIENTED_EDGE('',*,*,#13923,.T.); #16336=ORIENTED_EDGE('',*,*,#13924,.F.); #16337=ORIENTED_EDGE('',*,*,#13925,.F.); #16338=ORIENTED_EDGE('',*,*,#13923,.F.); #16339=ORIENTED_EDGE('',*,*,#13926,.F.); #16340=ORIENTED_EDGE('',*,*,#13924,.T.); #16341=ORIENTED_EDGE('',*,*,#13927,.T.); #16342=ORIENTED_EDGE('',*,*,#13928,.F.); #16343=ORIENTED_EDGE('',*,*,#13929,.F.); #16344=ORIENTED_EDGE('',*,*,#13927,.F.); #16345=ORIENTED_EDGE('',*,*,#13930,.F.); #16346=ORIENTED_EDGE('',*,*,#13928,.T.); #16347=ORIENTED_EDGE('',*,*,#13931,.T.); #16348=ORIENTED_EDGE('',*,*,#13932,.F.); #16349=ORIENTED_EDGE('',*,*,#13933,.F.); #16350=ORIENTED_EDGE('',*,*,#13931,.F.); #16351=ORIENTED_EDGE('',*,*,#13934,.F.); #16352=ORIENTED_EDGE('',*,*,#13932,.T.); #16353=ORIENTED_EDGE('',*,*,#13935,.T.); #16354=ORIENTED_EDGE('',*,*,#13936,.F.); #16355=ORIENTED_EDGE('',*,*,#13937,.F.); #16356=ORIENTED_EDGE('',*,*,#13935,.F.); #16357=ORIENTED_EDGE('',*,*,#13938,.F.); #16358=ORIENTED_EDGE('',*,*,#13936,.T.); #16359=ORIENTED_EDGE('',*,*,#13939,.T.); #16360=ORIENTED_EDGE('',*,*,#13940,.F.); #16361=ORIENTED_EDGE('',*,*,#13941,.F.); #16362=ORIENTED_EDGE('',*,*,#13939,.F.); #16363=ORIENTED_EDGE('',*,*,#13942,.F.); #16364=ORIENTED_EDGE('',*,*,#13940,.T.); #16365=ORIENTED_EDGE('',*,*,#13943,.T.); #16366=ORIENTED_EDGE('',*,*,#13944,.F.); #16367=ORIENTED_EDGE('',*,*,#13945,.F.); #16368=ORIENTED_EDGE('',*,*,#13943,.F.); #16369=ORIENTED_EDGE('',*,*,#13946,.F.); #16370=ORIENTED_EDGE('',*,*,#13944,.T.); #16371=ORIENTED_EDGE('',*,*,#13947,.T.); #16372=ORIENTED_EDGE('',*,*,#13948,.F.); #16373=ORIENTED_EDGE('',*,*,#13949,.F.); #16374=ORIENTED_EDGE('',*,*,#13947,.F.); #16375=ORIENTED_EDGE('',*,*,#13950,.F.); #16376=ORIENTED_EDGE('',*,*,#13948,.T.); #16377=ORIENTED_EDGE('',*,*,#13951,.T.); #16378=ORIENTED_EDGE('',*,*,#13952,.F.); #16379=ORIENTED_EDGE('',*,*,#13953,.F.); #16380=ORIENTED_EDGE('',*,*,#13951,.F.); #16381=ORIENTED_EDGE('',*,*,#13954,.F.); #16382=ORIENTED_EDGE('',*,*,#13952,.T.); #16383=ORIENTED_EDGE('',*,*,#13955,.T.); #16384=ORIENTED_EDGE('',*,*,#13956,.F.); #16385=ORIENTED_EDGE('',*,*,#13957,.F.); #16386=ORIENTED_EDGE('',*,*,#13955,.F.); #16387=ORIENTED_EDGE('',*,*,#13958,.F.); #16388=ORIENTED_EDGE('',*,*,#13956,.T.); #16389=ORIENTED_EDGE('',*,*,#13959,.T.); #16390=ORIENTED_EDGE('',*,*,#13960,.F.); #16391=ORIENTED_EDGE('',*,*,#13961,.F.); #16392=ORIENTED_EDGE('',*,*,#13959,.F.); #16393=ORIENTED_EDGE('',*,*,#13962,.F.); #16394=ORIENTED_EDGE('',*,*,#13960,.T.); #16395=ORIENTED_EDGE('',*,*,#13963,.T.); #16396=ORIENTED_EDGE('',*,*,#13964,.F.); #16397=ORIENTED_EDGE('',*,*,#13965,.F.); #16398=ORIENTED_EDGE('',*,*,#13963,.F.); #16399=ORIENTED_EDGE('',*,*,#13966,.F.); #16400=ORIENTED_EDGE('',*,*,#13964,.T.); #16401=ORIENTED_EDGE('',*,*,#13967,.T.); #16402=ORIENTED_EDGE('',*,*,#13968,.F.); #16403=ORIENTED_EDGE('',*,*,#13969,.F.); #16404=ORIENTED_EDGE('',*,*,#13967,.F.); #16405=ORIENTED_EDGE('',*,*,#13970,.F.); #16406=ORIENTED_EDGE('',*,*,#13968,.T.); #16407=ORIENTED_EDGE('',*,*,#13971,.F.); #16408=ORIENTED_EDGE('',*,*,#13972,.F.); #16409=ORIENTED_EDGE('',*,*,#13973,.F.); #16410=ORIENTED_EDGE('',*,*,#13974,.F.); #16411=ORIENTED_EDGE('',*,*,#13975,.T.); #16412=ORIENTED_EDGE('',*,*,#13976,.F.); #16413=ORIENTED_EDGE('',*,*,#13975,.F.); #16414=ORIENTED_EDGE('',*,*,#13977,.T.); #16415=ORIENTED_EDGE('',*,*,#13978,.F.); #16416=ORIENTED_EDGE('',*,*,#13977,.F.); #16417=ORIENTED_EDGE('',*,*,#13979,.T.); #16418=ORIENTED_EDGE('',*,*,#13980,.F.); #16419=ORIENTED_EDGE('',*,*,#13979,.F.); #16420=ORIENTED_EDGE('',*,*,#13981,.T.); #16421=ORIENTED_EDGE('',*,*,#13982,.F.); #16422=ORIENTED_EDGE('',*,*,#13981,.F.); #16423=ORIENTED_EDGE('',*,*,#13983,.T.); #16424=ORIENTED_EDGE('',*,*,#13984,.F.); #16425=ORIENTED_EDGE('',*,*,#13983,.F.); #16426=ORIENTED_EDGE('',*,*,#13985,.T.); #16427=ORIENTED_EDGE('',*,*,#13986,.F.); #16428=ORIENTED_EDGE('',*,*,#13985,.F.); #16429=ORIENTED_EDGE('',*,*,#13987,.T.); #16430=ORIENTED_EDGE('',*,*,#13988,.F.); #16431=ORIENTED_EDGE('',*,*,#13987,.F.); #16432=ORIENTED_EDGE('',*,*,#13989,.T.); #16433=ORIENTED_EDGE('',*,*,#13990,.F.); #16434=ORIENTED_EDGE('',*,*,#13989,.F.); #16435=ORIENTED_EDGE('',*,*,#13991,.T.); #16436=ORIENTED_EDGE('',*,*,#13992,.F.); #16437=ORIENTED_EDGE('',*,*,#13991,.F.); #16438=ORIENTED_EDGE('',*,*,#13993,.T.); #16439=ORIENTED_EDGE('',*,*,#13994,.F.); #16440=ORIENTED_EDGE('',*,*,#13993,.F.); #16441=ORIENTED_EDGE('',*,*,#13995,.T.); #16442=ORIENTED_EDGE('',*,*,#13996,.F.); #16443=ORIENTED_EDGE('',*,*,#13995,.F.); #16444=ORIENTED_EDGE('',*,*,#13997,.T.); #16445=ORIENTED_EDGE('',*,*,#13998,.F.); #16446=ORIENTED_EDGE('',*,*,#13997,.F.); #16447=ORIENTED_EDGE('',*,*,#13999,.T.); #16448=ORIENTED_EDGE('',*,*,#14000,.F.); #16449=ORIENTED_EDGE('',*,*,#13999,.F.); #16450=ORIENTED_EDGE('',*,*,#14001,.T.); #16451=ORIENTED_EDGE('',*,*,#14002,.F.); #16452=ORIENTED_EDGE('',*,*,#14001,.F.); #16453=ORIENTED_EDGE('',*,*,#14003,.T.); #16454=ORIENTED_EDGE('',*,*,#14004,.F.); #16455=ORIENTED_EDGE('',*,*,#14003,.F.); #16456=ORIENTED_EDGE('',*,*,#14005,.T.); #16457=ORIENTED_EDGE('',*,*,#14006,.F.); #16458=ORIENTED_EDGE('',*,*,#14005,.F.); #16459=ORIENTED_EDGE('',*,*,#14007,.T.); #16460=ORIENTED_EDGE('',*,*,#14008,.F.); #16461=ORIENTED_EDGE('',*,*,#14007,.F.); #16462=ORIENTED_EDGE('',*,*,#14009,.T.); #16463=ORIENTED_EDGE('',*,*,#14010,.F.); #16464=ORIENTED_EDGE('',*,*,#14009,.F.); #16465=ORIENTED_EDGE('',*,*,#14011,.T.); #16466=ORIENTED_EDGE('',*,*,#14012,.F.); #16467=ORIENTED_EDGE('',*,*,#14011,.F.); #16468=ORIENTED_EDGE('',*,*,#14013,.T.); #16469=ORIENTED_EDGE('',*,*,#14014,.F.); #16470=ORIENTED_EDGE('',*,*,#14013,.F.); #16471=ORIENTED_EDGE('',*,*,#14015,.T.); #16472=ORIENTED_EDGE('',*,*,#14016,.F.); #16473=ORIENTED_EDGE('',*,*,#14015,.F.); #16474=ORIENTED_EDGE('',*,*,#14017,.F.); #16475=ORIENTED_EDGE('',*,*,#14018,.F.); #16476=ORIENTED_EDGE('',*,*,#14019,.F.); #16477=ORIENTED_EDGE('',*,*,#14020,.F.); #16478=ORIENTED_EDGE('',*,*,#14021,.F.); #16479=ORIENTED_EDGE('',*,*,#14022,.F.); #16480=ORIENTED_EDGE('',*,*,#14023,.F.); #16481=ORIENTED_EDGE('',*,*,#14024,.F.); #16482=ORIENTED_EDGE('',*,*,#14025,.F.); #16483=ORIENTED_EDGE('',*,*,#14026,.F.); #16484=ORIENTED_EDGE('',*,*,#14027,.F.); #16485=ORIENTED_EDGE('',*,*,#14028,.F.); #16486=ORIENTED_EDGE('',*,*,#14029,.F.); #16487=ORIENTED_EDGE('',*,*,#14030,.F.); #16488=ORIENTED_EDGE('',*,*,#14031,.F.); #16489=ORIENTED_EDGE('',*,*,#14032,.F.); #16490=ORIENTED_EDGE('',*,*,#14033,.F.); #16491=ORIENTED_EDGE('',*,*,#14034,.F.); #16492=ORIENTED_EDGE('',*,*,#14035,.F.); #16493=ORIENTED_EDGE('',*,*,#14036,.F.); #16494=ORIENTED_EDGE('',*,*,#14037,.T.); #16495=ORIENTED_EDGE('',*,*,#14038,.T.); #16496=ORIENTED_EDGE('',*,*,#14039,.T.); #16497=ORIENTED_EDGE('',*,*,#14040,.T.); #16498=ORIENTED_EDGE('',*,*,#14041,.T.); #16499=ORIENTED_EDGE('',*,*,#14042,.T.); #16500=ORIENTED_EDGE('',*,*,#14039,.F.); #16501=ORIENTED_EDGE('',*,*,#14043,.T.); #16502=ORIENTED_EDGE('',*,*,#14044,.T.); #16503=ORIENTED_EDGE('',*,*,#14045,.T.); #16504=ORIENTED_EDGE('',*,*,#14041,.F.); #16505=ORIENTED_EDGE('',*,*,#14046,.T.); #16506=ORIENTED_EDGE('',*,*,#14047,.T.); #16507=ORIENTED_EDGE('',*,*,#14048,.T.); #16508=ORIENTED_EDGE('',*,*,#14044,.F.); #16509=ORIENTED_EDGE('',*,*,#14049,.T.); #16510=ORIENTED_EDGE('',*,*,#14050,.T.); #16511=ORIENTED_EDGE('',*,*,#14051,.T.); #16512=ORIENTED_EDGE('',*,*,#14047,.F.); #16513=ORIENTED_EDGE('',*,*,#14052,.T.); #16514=ORIENTED_EDGE('',*,*,#14053,.T.); #16515=ORIENTED_EDGE('',*,*,#14054,.T.); #16516=ORIENTED_EDGE('',*,*,#14050,.F.); #16517=ORIENTED_EDGE('',*,*,#14055,.T.); #16518=ORIENTED_EDGE('',*,*,#14056,.T.); #16519=ORIENTED_EDGE('',*,*,#14057,.T.); #16520=ORIENTED_EDGE('',*,*,#14053,.F.); #16521=ORIENTED_EDGE('',*,*,#14058,.T.); #16522=ORIENTED_EDGE('',*,*,#14037,.F.); #16523=ORIENTED_EDGE('',*,*,#14059,.T.); #16524=ORIENTED_EDGE('',*,*,#14056,.F.); #16525=ORIENTED_EDGE('',*,*,#14060,.T.); #16526=ORIENTED_EDGE('',*,*,#14049,.F.); #16527=ORIENTED_EDGE('',*,*,#14061,.T.); #16528=ORIENTED_EDGE('',*,*,#14062,.F.); #16529=ORIENTED_EDGE('',*,*,#14063,.F.); #16530=ORIENTED_EDGE('',*,*,#14046,.F.); #16531=ORIENTED_EDGE('',*,*,#14064,.T.); #16532=ORIENTED_EDGE('',*,*,#14065,.F.); #16533=ORIENTED_EDGE('',*,*,#14061,.F.); #16534=ORIENTED_EDGE('',*,*,#14043,.F.); #16535=ORIENTED_EDGE('',*,*,#14066,.T.); #16536=ORIENTED_EDGE('',*,*,#14067,.F.); #16537=ORIENTED_EDGE('',*,*,#14064,.F.); #16538=ORIENTED_EDGE('',*,*,#14038,.F.); #16539=ORIENTED_EDGE('',*,*,#14068,.T.); #16540=ORIENTED_EDGE('',*,*,#14069,.F.); #16541=ORIENTED_EDGE('',*,*,#14066,.F.); #16542=ORIENTED_EDGE('',*,*,#14070,.T.); #16543=ORIENTED_EDGE('',*,*,#14071,.T.); #16544=ORIENTED_EDGE('',*,*,#14072,.T.); #16545=ORIENTED_EDGE('',*,*,#14073,.T.); #16546=ORIENTED_EDGE('',*,*,#14074,.T.); #16547=ORIENTED_EDGE('',*,*,#14075,.T.); #16548=ORIENTED_EDGE('',*,*,#14076,.T.); #16549=ORIENTED_EDGE('',*,*,#14077,.T.); #16550=ORIENTED_EDGE('',*,*,#14040,.F.); #16551=ORIENTED_EDGE('',*,*,#14042,.F.); #16552=ORIENTED_EDGE('',*,*,#14045,.F.); #16553=ORIENTED_EDGE('',*,*,#14048,.F.); #16554=ORIENTED_EDGE('',*,*,#14051,.F.); #16555=ORIENTED_EDGE('',*,*,#14054,.F.); #16556=ORIENTED_EDGE('',*,*,#14057,.F.); #16557=ORIENTED_EDGE('',*,*,#14059,.F.); #16558=ORIENTED_EDGE('',*,*,#14052,.F.); #16559=ORIENTED_EDGE('',*,*,#14063,.T.); #16560=ORIENTED_EDGE('',*,*,#14078,.F.); #16561=ORIENTED_EDGE('',*,*,#14079,.F.); #16562=ORIENTED_EDGE('',*,*,#14055,.F.); #16563=ORIENTED_EDGE('',*,*,#14079,.T.); #16564=ORIENTED_EDGE('',*,*,#14080,.F.); #16565=ORIENTED_EDGE('',*,*,#14081,.F.); #16566=ORIENTED_EDGE('',*,*,#14058,.F.); #16567=ORIENTED_EDGE('',*,*,#14081,.T.); #16568=ORIENTED_EDGE('',*,*,#14082,.F.); #16569=ORIENTED_EDGE('',*,*,#14083,.F.); #16570=ORIENTED_EDGE('',*,*,#14060,.F.); #16571=ORIENTED_EDGE('',*,*,#14083,.T.); #16572=ORIENTED_EDGE('',*,*,#14084,.F.); #16573=ORIENTED_EDGE('',*,*,#14068,.F.); #16574=ORIENTED_EDGE('',*,*,#14085,.F.); #16575=ORIENTED_EDGE('',*,*,#14086,.F.); #16576=ORIENTED_EDGE('',*,*,#14087,.F.); #16577=ORIENTED_EDGE('',*,*,#14088,.F.); #16578=ORIENTED_EDGE('',*,*,#14089,.F.); #16579=ORIENTED_EDGE('',*,*,#14090,.F.); #16580=ORIENTED_EDGE('',*,*,#14091,.F.); #16581=ORIENTED_EDGE('',*,*,#14092,.F.); #16582=ORIENTED_EDGE('',*,*,#14093,.F.); #16583=ORIENTED_EDGE('',*,*,#14094,.F.); #16584=ORIENTED_EDGE('',*,*,#14095,.F.); #16585=ORIENTED_EDGE('',*,*,#14096,.F.); #16586=ORIENTED_EDGE('',*,*,#14097,.F.); #16587=ORIENTED_EDGE('',*,*,#14098,.F.); #16588=ORIENTED_EDGE('',*,*,#14099,.F.); #16589=ORIENTED_EDGE('',*,*,#14100,.F.); #16590=ORIENTED_EDGE('',*,*,#14101,.F.); #16591=ORIENTED_EDGE('',*,*,#14102,.F.); #16592=ORIENTED_EDGE('',*,*,#14103,.F.); #16593=ORIENTED_EDGE('',*,*,#14104,.F.); #16594=ORIENTED_EDGE('',*,*,#14105,.F.); #16595=ORIENTED_EDGE('',*,*,#14106,.F.); #16596=ORIENTED_EDGE('',*,*,#14107,.F.); #16597=ORIENTED_EDGE('',*,*,#14108,.F.); #16598=ORIENTED_EDGE('',*,*,#14109,.F.); #16599=ORIENTED_EDGE('',*,*,#14110,.F.); #16600=ORIENTED_EDGE('',*,*,#14111,.F.); #16601=ORIENTED_EDGE('',*,*,#14112,.F.); #16602=ORIENTED_EDGE('',*,*,#14113,.F.); #16603=ORIENTED_EDGE('',*,*,#14114,.F.); #16604=ORIENTED_EDGE('',*,*,#14115,.F.); #16605=ORIENTED_EDGE('',*,*,#14116,.F.); #16606=ORIENTED_EDGE('',*,*,#14117,.F.); #16607=ORIENTED_EDGE('',*,*,#14118,.F.); #16608=ORIENTED_EDGE('',*,*,#14119,.F.); #16609=ORIENTED_EDGE('',*,*,#14120,.F.); #16610=ORIENTED_EDGE('',*,*,#14121,.F.); #16611=ORIENTED_EDGE('',*,*,#14122,.F.); #16612=ORIENTED_EDGE('',*,*,#14123,.F.); #16613=ORIENTED_EDGE('',*,*,#14124,.F.); #16614=ORIENTED_EDGE('',*,*,#14125,.F.); #16615=ORIENTED_EDGE('',*,*,#14126,.F.); #16616=ORIENTED_EDGE('',*,*,#14127,.F.); #16617=ORIENTED_EDGE('',*,*,#14128,.F.); #16618=ORIENTED_EDGE('',*,*,#14129,.F.); #16619=ORIENTED_EDGE('',*,*,#14130,.F.); #16620=ORIENTED_EDGE('',*,*,#14131,.F.); #16621=ORIENTED_EDGE('',*,*,#14132,.F.); #16622=ORIENTED_EDGE('',*,*,#14133,.F.); #16623=ORIENTED_EDGE('',*,*,#14134,.F.); #16624=ORIENTED_EDGE('',*,*,#14135,.F.); #16625=ORIENTED_EDGE('',*,*,#14136,.F.); #16626=ORIENTED_EDGE('',*,*,#14137,.F.); #16627=ORIENTED_EDGE('',*,*,#14138,.F.); #16628=ORIENTED_EDGE('',*,*,#14139,.F.); #16629=ORIENTED_EDGE('',*,*,#14140,.F.); #16630=ORIENTED_EDGE('',*,*,#14141,.F.); #16631=ORIENTED_EDGE('',*,*,#14142,.F.); #16632=ORIENTED_EDGE('',*,*,#14143,.F.); #16633=ORIENTED_EDGE('',*,*,#14144,.F.); #16634=ORIENTED_EDGE('',*,*,#14145,.F.); #16635=ORIENTED_EDGE('',*,*,#14146,.F.); #16636=ORIENTED_EDGE('',*,*,#14147,.F.); #16637=ORIENTED_EDGE('',*,*,#14148,.F.); #16638=ORIENTED_EDGE('',*,*,#14149,.F.); #16639=ORIENTED_EDGE('',*,*,#14150,.F.); #16640=ORIENTED_EDGE('',*,*,#14151,.F.); #16641=ORIENTED_EDGE('',*,*,#14152,.F.); #16642=ORIENTED_EDGE('',*,*,#14153,.F.); #16643=ORIENTED_EDGE('',*,*,#14154,.F.); #16644=ORIENTED_EDGE('',*,*,#14155,.F.); #16645=ORIENTED_EDGE('',*,*,#14156,.F.); #16646=ORIENTED_EDGE('',*,*,#14157,.F.); #16647=ORIENTED_EDGE('',*,*,#14158,.F.); #16648=ORIENTED_EDGE('',*,*,#14159,.F.); #16649=ORIENTED_EDGE('',*,*,#14160,.F.); #16650=ORIENTED_EDGE('',*,*,#14161,.F.); #16651=ORIENTED_EDGE('',*,*,#14162,.F.); #16652=ORIENTED_EDGE('',*,*,#14163,.F.); #16653=ORIENTED_EDGE('',*,*,#14164,.F.); #16654=ORIENTED_EDGE('',*,*,#14165,.F.); #16655=ORIENTED_EDGE('',*,*,#14166,.F.); #16656=ORIENTED_EDGE('',*,*,#14167,.F.); #16657=ORIENTED_EDGE('',*,*,#14168,.F.); #16658=ORIENTED_EDGE('',*,*,#14169,.F.); #16659=ORIENTED_EDGE('',*,*,#14170,.F.); #16660=ORIENTED_EDGE('',*,*,#14171,.F.); #16661=ORIENTED_EDGE('',*,*,#14172,.F.); #16662=ORIENTED_EDGE('',*,*,#14173,.F.); #16663=ORIENTED_EDGE('',*,*,#14174,.F.); #16664=ORIENTED_EDGE('',*,*,#14175,.F.); #16665=ORIENTED_EDGE('',*,*,#14176,.F.); #16666=ORIENTED_EDGE('',*,*,#14177,.F.); #16667=ORIENTED_EDGE('',*,*,#14178,.F.); #16668=ORIENTED_EDGE('',*,*,#14179,.F.); #16669=ORIENTED_EDGE('',*,*,#14180,.F.); #16670=ORIENTED_EDGE('',*,*,#14181,.F.); #16671=ORIENTED_EDGE('',*,*,#14182,.F.); #16672=ORIENTED_EDGE('',*,*,#14183,.F.); #16673=ORIENTED_EDGE('',*,*,#14184,.F.); #16674=ORIENTED_EDGE('',*,*,#14185,.F.); #16675=ORIENTED_EDGE('',*,*,#14186,.F.); #16676=ORIENTED_EDGE('',*,*,#14187,.F.); #16677=ORIENTED_EDGE('',*,*,#14188,.F.); #16678=ORIENTED_EDGE('',*,*,#14189,.F.); #16679=ORIENTED_EDGE('',*,*,#14190,.F.); #16680=ORIENTED_EDGE('',*,*,#14191,.F.); #16681=ORIENTED_EDGE('',*,*,#14192,.F.); #16682=ORIENTED_EDGE('',*,*,#14193,.F.); #16683=ORIENTED_EDGE('',*,*,#14194,.F.); #16684=ORIENTED_EDGE('',*,*,#14195,.F.); #16685=ORIENTED_EDGE('',*,*,#14196,.F.); #16686=ORIENTED_EDGE('',*,*,#14197,.T.); #16687=ORIENTED_EDGE('',*,*,#14198,.T.); #16688=ORIENTED_EDGE('',*,*,#14199,.F.); #16689=ORIENTED_EDGE('',*,*,#14198,.F.); #16690=ORIENTED_EDGE('',*,*,#14200,.T.); #16691=ORIENTED_EDGE('',*,*,#14201,.T.); #16692=ORIENTED_EDGE('',*,*,#14202,.F.); #16693=ORIENTED_EDGE('',*,*,#14201,.F.); #16694=ORIENTED_EDGE('',*,*,#14203,.T.); #16695=ORIENTED_EDGE('',*,*,#14204,.T.); #16696=ORIENTED_EDGE('',*,*,#14205,.F.); #16697=ORIENTED_EDGE('',*,*,#14204,.F.); #16698=ORIENTED_EDGE('',*,*,#14206,.T.); #16699=ORIENTED_EDGE('',*,*,#14207,.T.); #16700=ORIENTED_EDGE('',*,*,#14074,.F.); #16701=ORIENTED_EDGE('',*,*,#14208,.T.); #16702=ORIENTED_EDGE('',*,*,#14209,.F.); #16703=ORIENTED_EDGE('',*,*,#14210,.F.); #16704=ORIENTED_EDGE('',*,*,#14075,.F.); #16705=ORIENTED_EDGE('',*,*,#14210,.T.); #16706=ORIENTED_EDGE('',*,*,#14211,.F.); #16707=ORIENTED_EDGE('',*,*,#14212,.F.); #16708=ORIENTED_EDGE('',*,*,#14076,.F.); #16709=ORIENTED_EDGE('',*,*,#14212,.T.); #16710=ORIENTED_EDGE('',*,*,#14213,.F.); #16711=ORIENTED_EDGE('',*,*,#14214,.F.); #16712=ORIENTED_EDGE('',*,*,#14077,.F.); #16713=ORIENTED_EDGE('',*,*,#14214,.T.); #16714=ORIENTED_EDGE('',*,*,#14215,.F.); #16715=ORIENTED_EDGE('',*,*,#14216,.T.); #16716=ORIENTED_EDGE('',*,*,#14217,.F.); #16717=ORIENTED_EDGE('',*,*,#14207,.F.); #16718=ORIENTED_EDGE('',*,*,#14218,.T.); #16719=ORIENTED_EDGE('',*,*,#14219,.F.); #16720=ORIENTED_EDGE('',*,*,#14070,.F.); #16721=ORIENTED_EDGE('',*,*,#14216,.F.); #16722=ORIENTED_EDGE('',*,*,#14220,.F.); #16723=ORIENTED_EDGE('',*,*,#14221,.F.); #16724=ORIENTED_EDGE('',*,*,#14071,.F.); #16725=ORIENTED_EDGE('',*,*,#14221,.T.); #16726=ORIENTED_EDGE('',*,*,#14222,.F.); #16727=ORIENTED_EDGE('',*,*,#14223,.F.); #16728=ORIENTED_EDGE('',*,*,#14072,.F.); #16729=ORIENTED_EDGE('',*,*,#14223,.T.); #16730=ORIENTED_EDGE('',*,*,#14224,.F.); #16731=ORIENTED_EDGE('',*,*,#14225,.F.); #16732=ORIENTED_EDGE('',*,*,#14073,.F.); #16733=ORIENTED_EDGE('',*,*,#14225,.T.); #16734=ORIENTED_EDGE('',*,*,#14226,.F.); #16735=ORIENTED_EDGE('',*,*,#14208,.F.); #16736=ORIENTED_EDGE('',*,*,#14227,.F.); #16737=ORIENTED_EDGE('',*,*,#14228,.F.); #16738=ORIENTED_EDGE('',*,*,#14229,.T.); #16739=ORIENTED_EDGE('',*,*,#14196,.T.); #16740=ORIENTED_EDGE('',*,*,#14229,.F.); #16741=ORIENTED_EDGE('',*,*,#14230,.F.); #16742=ORIENTED_EDGE('',*,*,#14231,.F.); #16743=ORIENTED_EDGE('',*,*,#14218,.F.); #16744=ORIENTED_EDGE('',*,*,#14206,.F.); #16745=ORIENTED_EDGE('',*,*,#14203,.F.); #16746=ORIENTED_EDGE('',*,*,#14200,.F.); #16747=ORIENTED_EDGE('',*,*,#14197,.F.); #16748=ORIENTED_EDGE('',*,*,#14213,.T.); #16749=ORIENTED_EDGE('',*,*,#14211,.T.); #16750=ORIENTED_EDGE('',*,*,#14209,.T.); #16751=ORIENTED_EDGE('',*,*,#14226,.T.); #16752=ORIENTED_EDGE('',*,*,#14224,.T.); #16753=ORIENTED_EDGE('',*,*,#14222,.T.); #16754=ORIENTED_EDGE('',*,*,#14220,.T.); #16755=ORIENTED_EDGE('',*,*,#14215,.T.); #16756=ORIENTED_EDGE('',*,*,#14232,.F.); #16757=ORIENTED_EDGE('',*,*,#14233,.F.); #16758=ORIENTED_EDGE('',*,*,#14230,.T.); #16759=ORIENTED_EDGE('',*,*,#14228,.T.); #16760=ORIENTED_EDGE('',*,*,#14234,.F.); #16761=ORIENTED_EDGE('',*,*,#14219,.T.); #16762=ORIENTED_EDGE('',*,*,#14231,.T.); #16763=ORIENTED_EDGE('',*,*,#14233,.T.); #16764=ORIENTED_EDGE('',*,*,#14235,.T.); #16765=ORIENTED_EDGE('',*,*,#14236,.F.); #16766=ORIENTED_EDGE('',*,*,#14235,.F.); #16767=ORIENTED_EDGE('',*,*,#14237,.T.); #16768=ORIENTED_EDGE('',*,*,#14238,.F.); #16769=ORIENTED_EDGE('',*,*,#14237,.F.); #16770=ORIENTED_EDGE('',*,*,#14239,.T.); #16771=ORIENTED_EDGE('',*,*,#14240,.F.); #16772=ORIENTED_EDGE('',*,*,#14239,.F.); #16773=ORIENTED_EDGE('',*,*,#14241,.T.); #16774=ORIENTED_EDGE('',*,*,#14242,.F.); #16775=ORIENTED_EDGE('',*,*,#14241,.F.); #16776=ORIENTED_EDGE('',*,*,#14243,.T.); #16777=ORIENTED_EDGE('',*,*,#14244,.F.); #16778=ORIENTED_EDGE('',*,*,#14243,.F.); #16779=ORIENTED_EDGE('',*,*,#14245,.T.); #16780=ORIENTED_EDGE('',*,*,#14246,.F.); #16781=ORIENTED_EDGE('',*,*,#14245,.F.); #16782=ORIENTED_EDGE('',*,*,#14247,.T.); #16783=ORIENTED_EDGE('',*,*,#14248,.F.); #16784=ORIENTED_EDGE('',*,*,#14247,.F.); #16785=ORIENTED_EDGE('',*,*,#14249,.T.); #16786=ORIENTED_EDGE('',*,*,#14250,.F.); #16787=ORIENTED_EDGE('',*,*,#14249,.F.); #16788=ORIENTED_EDGE('',*,*,#14251,.T.); #16789=ORIENTED_EDGE('',*,*,#14252,.F.); #16790=ORIENTED_EDGE('',*,*,#14251,.F.); #16791=ORIENTED_EDGE('',*,*,#14253,.T.); #16792=ORIENTED_EDGE('',*,*,#14254,.F.); #16793=ORIENTED_EDGE('',*,*,#14253,.F.); #16794=ORIENTED_EDGE('',*,*,#14255,.T.); #16795=ORIENTED_EDGE('',*,*,#14256,.F.); #16796=ORIENTED_EDGE('',*,*,#14255,.F.); #16797=ORIENTED_EDGE('',*,*,#14257,.T.); #16798=ORIENTED_EDGE('',*,*,#14258,.F.); #16799=ORIENTED_EDGE('',*,*,#14257,.F.); #16800=ORIENTED_EDGE('',*,*,#14259,.T.); #16801=ORIENTED_EDGE('',*,*,#14260,.F.); #16802=ORIENTED_EDGE('',*,*,#14259,.F.); #16803=ORIENTED_EDGE('',*,*,#14261,.T.); #16804=ORIENTED_EDGE('',*,*,#14262,.F.); #16805=ORIENTED_EDGE('',*,*,#14261,.F.); #16806=ORIENTED_EDGE('',*,*,#14263,.T.); #16807=ORIENTED_EDGE('',*,*,#14264,.F.); #16808=ORIENTED_EDGE('',*,*,#14263,.F.); #16809=ORIENTED_EDGE('',*,*,#14265,.T.); #16810=ORIENTED_EDGE('',*,*,#14266,.F.); #16811=ORIENTED_EDGE('',*,*,#14265,.F.); #16812=ORIENTED_EDGE('',*,*,#14267,.T.); #16813=ORIENTED_EDGE('',*,*,#14268,.F.); #16814=ORIENTED_EDGE('',*,*,#14267,.F.); #16815=ORIENTED_EDGE('',*,*,#14269,.T.); #16816=ORIENTED_EDGE('',*,*,#14270,.F.); #16817=ORIENTED_EDGE('',*,*,#14269,.F.); #16818=ORIENTED_EDGE('',*,*,#14271,.T.); #16819=ORIENTED_EDGE('',*,*,#14272,.F.); #16820=ORIENTED_EDGE('',*,*,#14271,.F.); #16821=ORIENTED_EDGE('',*,*,#14273,.T.); #16822=ORIENTED_EDGE('',*,*,#14274,.F.); #16823=ORIENTED_EDGE('',*,*,#14273,.F.); #16824=ORIENTED_EDGE('',*,*,#14275,.T.); #16825=ORIENTED_EDGE('',*,*,#14276,.F.); #16826=ORIENTED_EDGE('',*,*,#14275,.F.); #16827=ORIENTED_EDGE('',*,*,#14277,.T.); #16828=ORIENTED_EDGE('',*,*,#14278,.F.); #16829=ORIENTED_EDGE('',*,*,#14277,.F.); #16830=ORIENTED_EDGE('',*,*,#14279,.T.); #16831=ORIENTED_EDGE('',*,*,#14280,.F.); #16832=ORIENTED_EDGE('',*,*,#14279,.F.); #16833=ORIENTED_EDGE('',*,*,#14281,.T.); #16834=ORIENTED_EDGE('',*,*,#14282,.F.); #16835=ORIENTED_EDGE('',*,*,#14281,.F.); #16836=ORIENTED_EDGE('',*,*,#14283,.T.); #16837=ORIENTED_EDGE('',*,*,#14284,.F.); #16838=ORIENTED_EDGE('',*,*,#14283,.F.); #16839=ORIENTED_EDGE('',*,*,#14285,.T.); #16840=ORIENTED_EDGE('',*,*,#14286,.F.); #16841=ORIENTED_EDGE('',*,*,#14285,.F.); #16842=ORIENTED_EDGE('',*,*,#14287,.T.); #16843=ORIENTED_EDGE('',*,*,#14288,.F.); #16844=ORIENTED_EDGE('',*,*,#14287,.F.); #16845=ORIENTED_EDGE('',*,*,#14289,.T.); #16846=ORIENTED_EDGE('',*,*,#14290,.F.); #16847=ORIENTED_EDGE('',*,*,#14289,.F.); #16848=ORIENTED_EDGE('',*,*,#14291,.T.); #16849=ORIENTED_EDGE('',*,*,#14292,.F.); #16850=ORIENTED_EDGE('',*,*,#14291,.F.); #16851=ORIENTED_EDGE('',*,*,#14293,.T.); #16852=ORIENTED_EDGE('',*,*,#14294,.F.); #16853=ORIENTED_EDGE('',*,*,#14293,.F.); #16854=ORIENTED_EDGE('',*,*,#14295,.T.); #16855=ORIENTED_EDGE('',*,*,#14296,.F.); #16856=ORIENTED_EDGE('',*,*,#14295,.F.); #16857=ORIENTED_EDGE('',*,*,#14297,.T.); #16858=ORIENTED_EDGE('',*,*,#14298,.F.); #16859=ORIENTED_EDGE('',*,*,#14297,.F.); #16860=ORIENTED_EDGE('',*,*,#14299,.T.); #16861=ORIENTED_EDGE('',*,*,#14300,.F.); #16862=ORIENTED_EDGE('',*,*,#14299,.F.); #16863=ORIENTED_EDGE('',*,*,#14301,.T.); #16864=ORIENTED_EDGE('',*,*,#14302,.F.); #16865=ORIENTED_EDGE('',*,*,#14301,.F.); #16866=ORIENTED_EDGE('',*,*,#14303,.T.); #16867=ORIENTED_EDGE('',*,*,#14304,.F.); #16868=ORIENTED_EDGE('',*,*,#14303,.F.); #16869=ORIENTED_EDGE('',*,*,#14305,.F.); #16870=ORIENTED_EDGE('',*,*,#14306,.F.); #16871=ORIENTED_EDGE('',*,*,#14307,.F.); #16872=ORIENTED_EDGE('',*,*,#14308,.F.); #16873=ORIENTED_EDGE('',*,*,#14004,.T.); #16874=ORIENTED_EDGE('',*,*,#14006,.T.); #16875=ORIENTED_EDGE('',*,*,#14008,.T.); #16876=ORIENTED_EDGE('',*,*,#14010,.T.); #16877=ORIENTED_EDGE('',*,*,#14012,.T.); #16878=ORIENTED_EDGE('',*,*,#14014,.T.); #16879=ORIENTED_EDGE('',*,*,#14016,.T.); #16880=ORIENTED_EDGE('',*,*,#14307,.T.); #16881=ORIENTED_EDGE('',*,*,#14309,.T.); #16882=ORIENTED_EDGE('',*,*,#14310,.T.); #16883=ORIENTED_EDGE('',*,*,#14311,.T.); #16884=ORIENTED_EDGE('',*,*,#14312,.T.); #16885=ORIENTED_EDGE('',*,*,#14313,.T.); #16886=ORIENTED_EDGE('',*,*,#13843,.T.); #16887=ORIENTED_EDGE('',*,*,#13845,.T.); #16888=ORIENTED_EDGE('',*,*,#13847,.T.); #16889=ORIENTED_EDGE('',*,*,#13849,.T.); #16890=ORIENTED_EDGE('',*,*,#13854,.T.); #16891=ORIENTED_EDGE('',*,*,#13858,.T.); #16892=ORIENTED_EDGE('',*,*,#13859,.T.); #16893=ORIENTED_EDGE('',*,*,#13866,.T.); #16894=ORIENTED_EDGE('',*,*,#13867,.T.); #16895=ORIENTED_EDGE('',*,*,#13874,.T.); #16896=ORIENTED_EDGE('',*,*,#13875,.T.); #16897=ORIENTED_EDGE('',*,*,#13882,.T.); #16898=ORIENTED_EDGE('',*,*,#13883,.T.); #16899=ORIENTED_EDGE('',*,*,#13890,.T.); #16900=ORIENTED_EDGE('',*,*,#13891,.T.); #16901=ORIENTED_EDGE('',*,*,#13898,.T.); #16902=ORIENTED_EDGE('',*,*,#13899,.T.); #16903=ORIENTED_EDGE('',*,*,#13906,.T.); #16904=ORIENTED_EDGE('',*,*,#13907,.T.); #16905=ORIENTED_EDGE('',*,*,#13914,.T.); #16906=ORIENTED_EDGE('',*,*,#13918,.T.); #16907=ORIENTED_EDGE('',*,*,#13922,.T.); #16908=ORIENTED_EDGE('',*,*,#13926,.T.); #16909=ORIENTED_EDGE('',*,*,#13930,.T.); #16910=ORIENTED_EDGE('',*,*,#13934,.T.); #16911=ORIENTED_EDGE('',*,*,#13938,.T.); #16912=ORIENTED_EDGE('',*,*,#13942,.T.); #16913=ORIENTED_EDGE('',*,*,#13946,.T.); #16914=ORIENTED_EDGE('',*,*,#13950,.T.); #16915=ORIENTED_EDGE('',*,*,#13954,.T.); #16916=ORIENTED_EDGE('',*,*,#13958,.T.); #16917=ORIENTED_EDGE('',*,*,#13962,.T.); #16918=ORIENTED_EDGE('',*,*,#13966,.T.); #16919=ORIENTED_EDGE('',*,*,#13970,.T.); #16920=ORIENTED_EDGE('',*,*,#13971,.T.); #16921=ORIENTED_EDGE('',*,*,#13973,.T.); #16922=ORIENTED_EDGE('',*,*,#14017,.T.); #16923=ORIENTED_EDGE('',*,*,#14019,.T.); #16924=ORIENTED_EDGE('',*,*,#14021,.T.); #16925=ORIENTED_EDGE('',*,*,#14023,.T.); #16926=ORIENTED_EDGE('',*,*,#14025,.T.); #16927=ORIENTED_EDGE('',*,*,#14027,.T.); #16928=ORIENTED_EDGE('',*,*,#14029,.T.); #16929=ORIENTED_EDGE('',*,*,#14031,.T.); #16930=ORIENTED_EDGE('',*,*,#14033,.T.); #16931=ORIENTED_EDGE('',*,*,#14035,.T.); #16932=ORIENTED_EDGE('',*,*,#14082,.T.); #16933=ORIENTED_EDGE('',*,*,#14080,.T.); #16934=ORIENTED_EDGE('',*,*,#14078,.T.); #16935=ORIENTED_EDGE('',*,*,#14062,.T.); #16936=ORIENTED_EDGE('',*,*,#14065,.T.); #16937=ORIENTED_EDGE('',*,*,#14067,.T.); #16938=ORIENTED_EDGE('',*,*,#14069,.T.); #16939=ORIENTED_EDGE('',*,*,#14084,.T.); #16940=ORIENTED_EDGE('',*,*,#14085,.T.); #16941=ORIENTED_EDGE('',*,*,#14087,.T.); #16942=ORIENTED_EDGE('',*,*,#14089,.T.); #16943=ORIENTED_EDGE('',*,*,#14091,.T.); #16944=ORIENTED_EDGE('',*,*,#14093,.T.); #16945=ORIENTED_EDGE('',*,*,#14095,.T.); #16946=ORIENTED_EDGE('',*,*,#14097,.T.); #16947=ORIENTED_EDGE('',*,*,#14099,.T.); #16948=ORIENTED_EDGE('',*,*,#14101,.T.); #16949=ORIENTED_EDGE('',*,*,#14103,.T.); #16950=ORIENTED_EDGE('',*,*,#14105,.T.); #16951=ORIENTED_EDGE('',*,*,#14107,.T.); #16952=ORIENTED_EDGE('',*,*,#14109,.T.); #16953=ORIENTED_EDGE('',*,*,#14111,.T.); #16954=ORIENTED_EDGE('',*,*,#14113,.T.); #16955=ORIENTED_EDGE('',*,*,#14115,.T.); #16956=ORIENTED_EDGE('',*,*,#14117,.T.); #16957=ORIENTED_EDGE('',*,*,#14119,.T.); #16958=ORIENTED_EDGE('',*,*,#14121,.T.); #16959=ORIENTED_EDGE('',*,*,#14123,.T.); #16960=ORIENTED_EDGE('',*,*,#14125,.T.); #16961=ORIENTED_EDGE('',*,*,#14127,.T.); #16962=ORIENTED_EDGE('',*,*,#14129,.T.); #16963=ORIENTED_EDGE('',*,*,#14131,.T.); #16964=ORIENTED_EDGE('',*,*,#14133,.T.); #16965=ORIENTED_EDGE('',*,*,#14135,.T.); #16966=ORIENTED_EDGE('',*,*,#14137,.T.); #16967=ORIENTED_EDGE('',*,*,#14139,.T.); #16968=ORIENTED_EDGE('',*,*,#14141,.T.); #16969=ORIENTED_EDGE('',*,*,#14143,.T.); #16970=ORIENTED_EDGE('',*,*,#14145,.T.); #16971=ORIENTED_EDGE('',*,*,#14147,.T.); #16972=ORIENTED_EDGE('',*,*,#14149,.T.); #16973=ORIENTED_EDGE('',*,*,#14151,.T.); #16974=ORIENTED_EDGE('',*,*,#14153,.T.); #16975=ORIENTED_EDGE('',*,*,#14155,.T.); #16976=ORIENTED_EDGE('',*,*,#14157,.T.); #16977=ORIENTED_EDGE('',*,*,#14159,.T.); #16978=ORIENTED_EDGE('',*,*,#14161,.T.); #16979=ORIENTED_EDGE('',*,*,#14163,.T.); #16980=ORIENTED_EDGE('',*,*,#14165,.T.); #16981=ORIENTED_EDGE('',*,*,#14167,.T.); #16982=ORIENTED_EDGE('',*,*,#14169,.T.); #16983=ORIENTED_EDGE('',*,*,#14171,.T.); #16984=ORIENTED_EDGE('',*,*,#14173,.T.); #16985=ORIENTED_EDGE('',*,*,#14175,.T.); #16986=ORIENTED_EDGE('',*,*,#14177,.T.); #16987=ORIENTED_EDGE('',*,*,#14179,.T.); #16988=ORIENTED_EDGE('',*,*,#14181,.T.); #16989=ORIENTED_EDGE('',*,*,#14183,.T.); #16990=ORIENTED_EDGE('',*,*,#14185,.T.); #16991=ORIENTED_EDGE('',*,*,#14187,.T.); #16992=ORIENTED_EDGE('',*,*,#14189,.T.); #16993=ORIENTED_EDGE('',*,*,#14191,.T.); #16994=ORIENTED_EDGE('',*,*,#14193,.T.); #16995=ORIENTED_EDGE('',*,*,#14306,.T.); #16996=ORIENTED_EDGE('',*,*,#14314,.F.); #16997=ORIENTED_EDGE('',*,*,#14315,.T.); #16998=ORIENTED_EDGE('',*,*,#14309,.F.); #16999=ORIENTED_EDGE('',*,*,#13988,.T.); #17000=ORIENTED_EDGE('',*,*,#13990,.T.); #17001=ORIENTED_EDGE('',*,*,#13992,.T.); #17002=ORIENTED_EDGE('',*,*,#13994,.T.); #17003=ORIENTED_EDGE('',*,*,#13996,.T.); #17004=ORIENTED_EDGE('',*,*,#13998,.T.); #17005=ORIENTED_EDGE('',*,*,#14002,.T.); #17006=ORIENTED_EDGE('',*,*,#14315,.F.); #17007=ORIENTED_EDGE('',*,*,#14316,.F.); #17008=ORIENTED_EDGE('',*,*,#14317,.F.); #17009=ORIENTED_EDGE('',*,*,#14310,.F.); #17010=ORIENTED_EDGE('',*,*,#13976,.T.); #17011=ORIENTED_EDGE('',*,*,#13978,.T.); #17012=ORIENTED_EDGE('',*,*,#13980,.T.); #17013=ORIENTED_EDGE('',*,*,#13982,.T.); #17014=ORIENTED_EDGE('',*,*,#13984,.T.); #17015=ORIENTED_EDGE('',*,*,#13986,.T.); #17016=ORIENTED_EDGE('',*,*,#14000,.T.); #17017=ORIENTED_EDGE('',*,*,#14317,.T.); #17018=ORIENTED_EDGE('',*,*,#14318,.F.); #17019=ORIENTED_EDGE('',*,*,#14319,.T.); #17020=ORIENTED_EDGE('',*,*,#14311,.F.); #17021=ORIENTED_EDGE('',*,*,#14305,.T.); #17022=ORIENTED_EDGE('',*,*,#14320,.T.); #17023=ORIENTED_EDGE('',*,*,#14321,.T.); #17024=ORIENTED_EDGE('',*,*,#14318,.T.); #17025=ORIENTED_EDGE('',*,*,#14316,.T.); #17026=ORIENTED_EDGE('',*,*,#14314,.T.); #17027=ORIENTED_EDGE('',*,*,#13844,.T.); #17028=ORIENTED_EDGE('',*,*,#13846,.T.); #17029=ORIENTED_EDGE('',*,*,#13848,.T.); #17030=ORIENTED_EDGE('',*,*,#13850,.T.); #17031=ORIENTED_EDGE('',*,*,#13853,.T.); #17032=ORIENTED_EDGE('',*,*,#13857,.T.); #17033=ORIENTED_EDGE('',*,*,#13861,.T.); #17034=ORIENTED_EDGE('',*,*,#13865,.T.); #17035=ORIENTED_EDGE('',*,*,#13869,.T.); #17036=ORIENTED_EDGE('',*,*,#13873,.T.); #17037=ORIENTED_EDGE('',*,*,#13877,.T.); #17038=ORIENTED_EDGE('',*,*,#13881,.T.); #17039=ORIENTED_EDGE('',*,*,#13885,.T.); #17040=ORIENTED_EDGE('',*,*,#13889,.T.); #17041=ORIENTED_EDGE('',*,*,#13893,.T.); #17042=ORIENTED_EDGE('',*,*,#13897,.T.); #17043=ORIENTED_EDGE('',*,*,#13901,.T.); #17044=ORIENTED_EDGE('',*,*,#13905,.T.); #17045=ORIENTED_EDGE('',*,*,#13909,.T.); #17046=ORIENTED_EDGE('',*,*,#13913,.T.); #17047=ORIENTED_EDGE('',*,*,#13917,.T.); #17048=ORIENTED_EDGE('',*,*,#13921,.T.); #17049=ORIENTED_EDGE('',*,*,#13925,.T.); #17050=ORIENTED_EDGE('',*,*,#13929,.T.); #17051=ORIENTED_EDGE('',*,*,#13933,.T.); #17052=ORIENTED_EDGE('',*,*,#13937,.T.); #17053=ORIENTED_EDGE('',*,*,#13941,.T.); #17054=ORIENTED_EDGE('',*,*,#13945,.T.); #17055=ORIENTED_EDGE('',*,*,#13949,.T.); #17056=ORIENTED_EDGE('',*,*,#13953,.T.); #17057=ORIENTED_EDGE('',*,*,#13957,.T.); #17058=ORIENTED_EDGE('',*,*,#13961,.T.); #17059=ORIENTED_EDGE('',*,*,#13965,.T.); #17060=ORIENTED_EDGE('',*,*,#13969,.T.); #17061=ORIENTED_EDGE('',*,*,#13972,.T.); #17062=ORIENTED_EDGE('',*,*,#13974,.T.); #17063=ORIENTED_EDGE('',*,*,#14018,.T.); #17064=ORIENTED_EDGE('',*,*,#14020,.T.); #17065=ORIENTED_EDGE('',*,*,#14022,.T.); #17066=ORIENTED_EDGE('',*,*,#14024,.T.); #17067=ORIENTED_EDGE('',*,*,#14026,.T.); #17068=ORIENTED_EDGE('',*,*,#14028,.T.); #17069=ORIENTED_EDGE('',*,*,#14030,.T.); #17070=ORIENTED_EDGE('',*,*,#14032,.T.); #17071=ORIENTED_EDGE('',*,*,#14034,.T.); #17072=ORIENTED_EDGE('',*,*,#14036,.T.); #17073=ORIENTED_EDGE('',*,*,#14086,.T.); #17074=ORIENTED_EDGE('',*,*,#14088,.T.); #17075=ORIENTED_EDGE('',*,*,#14090,.T.); #17076=ORIENTED_EDGE('',*,*,#14092,.T.); #17077=ORIENTED_EDGE('',*,*,#14094,.T.); #17078=ORIENTED_EDGE('',*,*,#14096,.T.); #17079=ORIENTED_EDGE('',*,*,#14098,.T.); #17080=ORIENTED_EDGE('',*,*,#14100,.T.); #17081=ORIENTED_EDGE('',*,*,#14102,.T.); #17082=ORIENTED_EDGE('',*,*,#14104,.T.); #17083=ORIENTED_EDGE('',*,*,#14106,.T.); #17084=ORIENTED_EDGE('',*,*,#14108,.T.); #17085=ORIENTED_EDGE('',*,*,#14110,.T.); #17086=ORIENTED_EDGE('',*,*,#14112,.T.); #17087=ORIENTED_EDGE('',*,*,#14114,.T.); #17088=ORIENTED_EDGE('',*,*,#14116,.T.); #17089=ORIENTED_EDGE('',*,*,#14118,.T.); #17090=ORIENTED_EDGE('',*,*,#14120,.T.); #17091=ORIENTED_EDGE('',*,*,#14122,.T.); #17092=ORIENTED_EDGE('',*,*,#14124,.T.); #17093=ORIENTED_EDGE('',*,*,#14126,.T.); #17094=ORIENTED_EDGE('',*,*,#14128,.T.); #17095=ORIENTED_EDGE('',*,*,#14130,.T.); #17096=ORIENTED_EDGE('',*,*,#14132,.T.); #17097=ORIENTED_EDGE('',*,*,#14134,.T.); #17098=ORIENTED_EDGE('',*,*,#14136,.T.); #17099=ORIENTED_EDGE('',*,*,#14138,.T.); #17100=ORIENTED_EDGE('',*,*,#14140,.T.); #17101=ORIENTED_EDGE('',*,*,#14142,.T.); #17102=ORIENTED_EDGE('',*,*,#14144,.T.); #17103=ORIENTED_EDGE('',*,*,#14146,.T.); #17104=ORIENTED_EDGE('',*,*,#14148,.T.); #17105=ORIENTED_EDGE('',*,*,#14150,.T.); #17106=ORIENTED_EDGE('',*,*,#14152,.T.); #17107=ORIENTED_EDGE('',*,*,#14154,.T.); #17108=ORIENTED_EDGE('',*,*,#14156,.T.); #17109=ORIENTED_EDGE('',*,*,#14158,.T.); #17110=ORIENTED_EDGE('',*,*,#14160,.T.); #17111=ORIENTED_EDGE('',*,*,#14162,.T.); #17112=ORIENTED_EDGE('',*,*,#14164,.T.); #17113=ORIENTED_EDGE('',*,*,#14166,.T.); #17114=ORIENTED_EDGE('',*,*,#14168,.T.); #17115=ORIENTED_EDGE('',*,*,#14170,.T.); #17116=ORIENTED_EDGE('',*,*,#14172,.T.); #17117=ORIENTED_EDGE('',*,*,#14174,.T.); #17118=ORIENTED_EDGE('',*,*,#14176,.T.); #17119=ORIENTED_EDGE('',*,*,#14178,.T.); #17120=ORIENTED_EDGE('',*,*,#14180,.T.); #17121=ORIENTED_EDGE('',*,*,#14182,.T.); #17122=ORIENTED_EDGE('',*,*,#14184,.T.); #17123=ORIENTED_EDGE('',*,*,#14186,.T.); #17124=ORIENTED_EDGE('',*,*,#14188,.T.); #17125=ORIENTED_EDGE('',*,*,#14190,.T.); #17126=ORIENTED_EDGE('',*,*,#14192,.T.); #17127=ORIENTED_EDGE('',*,*,#14194,.T.); #17128=ORIENTED_EDGE('',*,*,#14217,.T.); #17129=ORIENTED_EDGE('',*,*,#14234,.T.); #17130=ORIENTED_EDGE('',*,*,#14232,.T.); #17131=ORIENTED_EDGE('',*,*,#14227,.T.); #17132=ORIENTED_EDGE('',*,*,#14195,.T.); #17133=ORIENTED_EDGE('',*,*,#14199,.T.); #17134=ORIENTED_EDGE('',*,*,#14202,.T.); #17135=ORIENTED_EDGE('',*,*,#14205,.T.); #17136=ORIENTED_EDGE('',*,*,#14236,.T.); #17137=ORIENTED_EDGE('',*,*,#14238,.T.); #17138=ORIENTED_EDGE('',*,*,#14240,.T.); #17139=ORIENTED_EDGE('',*,*,#14242,.T.); #17140=ORIENTED_EDGE('',*,*,#14244,.T.); #17141=ORIENTED_EDGE('',*,*,#14246,.T.); #17142=ORIENTED_EDGE('',*,*,#14248,.T.); #17143=ORIENTED_EDGE('',*,*,#14250,.T.); #17144=ORIENTED_EDGE('',*,*,#14252,.T.); #17145=ORIENTED_EDGE('',*,*,#14254,.T.); #17146=ORIENTED_EDGE('',*,*,#14256,.T.); #17147=ORIENTED_EDGE('',*,*,#14258,.T.); #17148=ORIENTED_EDGE('',*,*,#14260,.T.); #17149=ORIENTED_EDGE('',*,*,#14262,.T.); #17150=ORIENTED_EDGE('',*,*,#14264,.T.); #17151=ORIENTED_EDGE('',*,*,#14266,.T.); #17152=ORIENTED_EDGE('',*,*,#14268,.T.); #17153=ORIENTED_EDGE('',*,*,#14270,.T.); #17154=ORIENTED_EDGE('',*,*,#14272,.T.); #17155=ORIENTED_EDGE('',*,*,#14274,.T.); #17156=ORIENTED_EDGE('',*,*,#14276,.T.); #17157=ORIENTED_EDGE('',*,*,#14278,.T.); #17158=ORIENTED_EDGE('',*,*,#14280,.T.); #17159=ORIENTED_EDGE('',*,*,#14282,.T.); #17160=ORIENTED_EDGE('',*,*,#14284,.T.); #17161=ORIENTED_EDGE('',*,*,#14286,.T.); #17162=ORIENTED_EDGE('',*,*,#14288,.T.); #17163=ORIENTED_EDGE('',*,*,#14290,.T.); #17164=ORIENTED_EDGE('',*,*,#14292,.T.); #17165=ORIENTED_EDGE('',*,*,#14294,.T.); #17166=ORIENTED_EDGE('',*,*,#14296,.T.); #17167=ORIENTED_EDGE('',*,*,#14298,.T.); #17168=ORIENTED_EDGE('',*,*,#14300,.T.); #17169=ORIENTED_EDGE('',*,*,#14302,.T.); #17170=ORIENTED_EDGE('',*,*,#14304,.T.); #17171=ORIENTED_EDGE('',*,*,#14321,.F.); #17172=ORIENTED_EDGE('',*,*,#14322,.T.); #17173=ORIENTED_EDGE('',*,*,#14312,.F.); #17174=ORIENTED_EDGE('',*,*,#14319,.F.); #17175=ORIENTED_EDGE('',*,*,#14308,.T.); #17176=ORIENTED_EDGE('',*,*,#14313,.F.); #17177=ORIENTED_EDGE('',*,*,#14322,.F.); #17178=ORIENTED_EDGE('',*,*,#14320,.F.); #17179=ORIENTED_EDGE('',*,*,#14323,.T.); #17180=ORIENTED_EDGE('',*,*,#14324,.F.); #17181=ORIENTED_EDGE('',*,*,#14323,.F.); #17182=ORIENTED_EDGE('',*,*,#14325,.T.); #17183=ORIENTED_EDGE('',*,*,#14326,.F.); #17184=ORIENTED_EDGE('',*,*,#14325,.F.); #17185=ORIENTED_EDGE('',*,*,#14327,.T.); #17186=ORIENTED_EDGE('',*,*,#14328,.F.); #17187=ORIENTED_EDGE('',*,*,#14327,.F.); #17188=ORIENTED_EDGE('',*,*,#14329,.T.); #17189=ORIENTED_EDGE('',*,*,#14330,.F.); #17190=ORIENTED_EDGE('',*,*,#14329,.F.); #17191=ORIENTED_EDGE('',*,*,#14331,.T.); #17192=ORIENTED_EDGE('',*,*,#14332,.F.); #17193=ORIENTED_EDGE('',*,*,#14331,.F.); #17194=ORIENTED_EDGE('',*,*,#14333,.T.); #17195=ORIENTED_EDGE('',*,*,#14334,.F.); #17196=ORIENTED_EDGE('',*,*,#14333,.F.); #17197=ORIENTED_EDGE('',*,*,#14335,.T.); #17198=ORIENTED_EDGE('',*,*,#14336,.F.); #17199=ORIENTED_EDGE('',*,*,#14335,.F.); #17200=ORIENTED_EDGE('',*,*,#14337,.F.); #17201=ORIENTED_EDGE('',*,*,#14338,.F.); #17202=ORIENTED_EDGE('',*,*,#14339,.F.); #17203=ORIENTED_EDGE('',*,*,#14340,.F.); #17204=ORIENTED_EDGE('',*,*,#14341,.F.); #17205=ORIENTED_EDGE('',*,*,#14342,.F.); #17206=ORIENTED_EDGE('',*,*,#14343,.F.); #17207=ORIENTED_EDGE('',*,*,#14344,.F.); #17208=ORIENTED_EDGE('',*,*,#14345,.F.); #17209=ORIENTED_EDGE('',*,*,#14346,.F.); #17210=ORIENTED_EDGE('',*,*,#14347,.F.); #17211=ORIENTED_EDGE('',*,*,#14348,.F.); #17212=ORIENTED_EDGE('',*,*,#14349,.F.); #17213=ORIENTED_EDGE('',*,*,#14350,.F.); #17214=ORIENTED_EDGE('',*,*,#14351,.F.); #17215=ORIENTED_EDGE('',*,*,#14352,.F.); #17216=ORIENTED_EDGE('',*,*,#14353,.F.); #17217=ORIENTED_EDGE('',*,*,#14354,.F.); #17218=ORIENTED_EDGE('',*,*,#14355,.F.); #17219=ORIENTED_EDGE('',*,*,#14356,.F.); #17220=ORIENTED_EDGE('',*,*,#14357,.F.); #17221=ORIENTED_EDGE('',*,*,#14358,.F.); #17222=ORIENTED_EDGE('',*,*,#14359,.F.); #17223=ORIENTED_EDGE('',*,*,#14360,.F.); #17224=ORIENTED_EDGE('',*,*,#14361,.F.); #17225=ORIENTED_EDGE('',*,*,#14362,.F.); #17226=ORIENTED_EDGE('',*,*,#14363,.F.); #17227=ORIENTED_EDGE('',*,*,#14364,.F.); #17228=ORIENTED_EDGE('',*,*,#14365,.F.); #17229=ORIENTED_EDGE('',*,*,#14366,.F.); #17230=ORIENTED_EDGE('',*,*,#14367,.F.); #17231=ORIENTED_EDGE('',*,*,#14368,.F.); #17232=ORIENTED_EDGE('',*,*,#14369,.F.); #17233=ORIENTED_EDGE('',*,*,#14370,.T.); #17234=ORIENTED_EDGE('',*,*,#14371,.F.); #17235=ORIENTED_EDGE('',*,*,#14372,.T.); #17236=ORIENTED_EDGE('',*,*,#14373,.T.); #17237=ORIENTED_EDGE('',*,*,#14372,.F.); #17238=ORIENTED_EDGE('',*,*,#14374,.T.); #17239=ORIENTED_EDGE('',*,*,#14375,.F.); #17240=ORIENTED_EDGE('',*,*,#14376,.T.); #17241=ORIENTED_EDGE('',*,*,#14370,.F.); #17242=ORIENTED_EDGE('',*,*,#14377,.T.); #17243=ORIENTED_EDGE('',*,*,#14378,.F.); #17244=ORIENTED_EDGE('',*,*,#14353,.T.); #17245=ORIENTED_EDGE('',*,*,#14355,.T.); #17246=ORIENTED_EDGE('',*,*,#14357,.T.); #17247=ORIENTED_EDGE('',*,*,#14359,.T.); #17248=ORIENTED_EDGE('',*,*,#14361,.T.); #17249=ORIENTED_EDGE('',*,*,#14363,.T.); #17250=ORIENTED_EDGE('',*,*,#14365,.T.); #17251=ORIENTED_EDGE('',*,*,#14367,.T.); #17252=ORIENTED_EDGE('',*,*,#14371,.T.); #17253=ORIENTED_EDGE('',*,*,#14376,.F.); #17254=ORIENTED_EDGE('',*,*,#14379,.F.); #17255=ORIENTED_EDGE('',*,*,#14380,.F.); #17256=ORIENTED_EDGE('',*,*,#14381,.F.); #17257=ORIENTED_EDGE('',*,*,#14382,.F.); #17258=ORIENTED_EDGE('',*,*,#14383,.T.); #17259=ORIENTED_EDGE('',*,*,#14384,.T.); #17260=ORIENTED_EDGE('',*,*,#14385,.T.); #17261=ORIENTED_EDGE('',*,*,#14374,.F.); #17262=ORIENTED_EDGE('',*,*,#14384,.F.); #17263=ORIENTED_EDGE('',*,*,#14386,.T.); #17264=ORIENTED_EDGE('',*,*,#14387,.F.); #17265=ORIENTED_EDGE('',*,*,#14388,.F.); #17266=ORIENTED_EDGE('',*,*,#14337,.T.); #17267=ORIENTED_EDGE('',*,*,#14339,.T.); #17268=ORIENTED_EDGE('',*,*,#14341,.T.); #17269=ORIENTED_EDGE('',*,*,#14343,.T.); #17270=ORIENTED_EDGE('',*,*,#14345,.T.); #17271=ORIENTED_EDGE('',*,*,#14347,.T.); #17272=ORIENTED_EDGE('',*,*,#14349,.T.); #17273=ORIENTED_EDGE('',*,*,#14351,.T.); #17274=ORIENTED_EDGE('',*,*,#14389,.F.); #17275=ORIENTED_EDGE('',*,*,#14375,.T.); #17276=ORIENTED_EDGE('',*,*,#14385,.F.); #17277=ORIENTED_EDGE('',*,*,#14388,.T.); #17278=ORIENTED_EDGE('',*,*,#14369,.T.); #17279=ORIENTED_EDGE('',*,*,#14373,.F.); #17280=ORIENTED_EDGE('',*,*,#14389,.T.); #17281=ORIENTED_EDGE('',*,*,#14387,.T.); #17282=ORIENTED_EDGE('',*,*,#14390,.T.); #17283=ORIENTED_EDGE('',*,*,#14391,.F.); #17284=ORIENTED_EDGE('',*,*,#14392,.F.); #17285=ORIENTED_EDGE('',*,*,#14393,.F.); #17286=ORIENTED_EDGE('',*,*,#14394,.F.); #17287=ORIENTED_EDGE('',*,*,#14377,.F.); #17288=ORIENTED_EDGE('',*,*,#14324,.T.); #17289=ORIENTED_EDGE('',*,*,#14326,.T.); #17290=ORIENTED_EDGE('',*,*,#14328,.T.); #17291=ORIENTED_EDGE('',*,*,#14330,.T.); #17292=ORIENTED_EDGE('',*,*,#14332,.T.); #17293=ORIENTED_EDGE('',*,*,#14334,.T.); #17294=ORIENTED_EDGE('',*,*,#14336,.T.); #17295=ORIENTED_EDGE('',*,*,#14383,.F.); #17296=ORIENTED_EDGE('',*,*,#14395,.F.); #17297=ORIENTED_EDGE('',*,*,#14390,.F.); #17298=ORIENTED_EDGE('',*,*,#14386,.F.); #17299=ORIENTED_EDGE('',*,*,#14382,.T.); #17300=ORIENTED_EDGE('',*,*,#14396,.F.); #17301=ORIENTED_EDGE('',*,*,#14391,.T.); #17302=ORIENTED_EDGE('',*,*,#14395,.T.); #17303=ORIENTED_EDGE('',*,*,#14338,.T.); #17304=ORIENTED_EDGE('',*,*,#14340,.T.); #17305=ORIENTED_EDGE('',*,*,#14342,.T.); #17306=ORIENTED_EDGE('',*,*,#14344,.T.); #17307=ORIENTED_EDGE('',*,*,#14346,.T.); #17308=ORIENTED_EDGE('',*,*,#14348,.T.); #17309=ORIENTED_EDGE('',*,*,#14350,.T.); #17310=ORIENTED_EDGE('',*,*,#14352,.T.); #17311=ORIENTED_EDGE('',*,*,#14381,.T.); #17312=ORIENTED_EDGE('',*,*,#14397,.T.); #17313=ORIENTED_EDGE('',*,*,#14392,.T.); #17314=ORIENTED_EDGE('',*,*,#14396,.T.); #17315=ORIENTED_EDGE('',*,*,#14380,.T.); #17316=ORIENTED_EDGE('',*,*,#14398,.F.); #17317=ORIENTED_EDGE('',*,*,#14393,.T.); #17318=ORIENTED_EDGE('',*,*,#14397,.F.); #17319=ORIENTED_EDGE('',*,*,#14354,.T.); #17320=ORIENTED_EDGE('',*,*,#14356,.T.); #17321=ORIENTED_EDGE('',*,*,#14358,.T.); #17322=ORIENTED_EDGE('',*,*,#14360,.T.); #17323=ORIENTED_EDGE('',*,*,#14362,.T.); #17324=ORIENTED_EDGE('',*,*,#14364,.T.); #17325=ORIENTED_EDGE('',*,*,#14366,.T.); #17326=ORIENTED_EDGE('',*,*,#14368,.T.); #17327=ORIENTED_EDGE('',*,*,#14379,.T.); #17328=ORIENTED_EDGE('',*,*,#14378,.T.); #17329=ORIENTED_EDGE('',*,*,#14394,.T.); #17330=ORIENTED_EDGE('',*,*,#14398,.T.); #17331=ORIENTED_EDGE('',*,*,#14399,.T.); #17332=ORIENTED_EDGE('',*,*,#14400,.F.); #17333=ORIENTED_EDGE('',*,*,#14399,.F.); #17334=ORIENTED_EDGE('',*,*,#14401,.T.); #17335=ORIENTED_EDGE('',*,*,#14402,.F.); #17336=ORIENTED_EDGE('',*,*,#14401,.F.); #17337=ORIENTED_EDGE('',*,*,#14403,.T.); #17338=ORIENTED_EDGE('',*,*,#14404,.F.); #17339=ORIENTED_EDGE('',*,*,#14403,.F.); #17340=ORIENTED_EDGE('',*,*,#14405,.T.); #17341=ORIENTED_EDGE('',*,*,#14406,.F.); #17342=ORIENTED_EDGE('',*,*,#14405,.F.); #17343=ORIENTED_EDGE('',*,*,#14407,.T.); #17344=ORIENTED_EDGE('',*,*,#14408,.F.); #17345=ORIENTED_EDGE('',*,*,#14407,.F.); #17346=ORIENTED_EDGE('',*,*,#14409,.F.); #17347=ORIENTED_EDGE('',*,*,#14410,.F.); #17348=ORIENTED_EDGE('',*,*,#14411,.F.); #17349=ORIENTED_EDGE('',*,*,#14412,.F.); #17350=ORIENTED_EDGE('',*,*,#14413,.F.); #17351=ORIENTED_EDGE('',*,*,#14414,.F.); #17352=ORIENTED_EDGE('',*,*,#14415,.F.); #17353=ORIENTED_EDGE('',*,*,#14416,.F.); #17354=ORIENTED_EDGE('',*,*,#14417,.F.); #17355=ORIENTED_EDGE('',*,*,#14418,.F.); #17356=ORIENTED_EDGE('',*,*,#14419,.F.); #17357=ORIENTED_EDGE('',*,*,#14420,.F.); #17358=ORIENTED_EDGE('',*,*,#14421,.F.); #17359=ORIENTED_EDGE('',*,*,#14422,.F.); #17360=ORIENTED_EDGE('',*,*,#14423,.F.); #17361=ORIENTED_EDGE('',*,*,#14424,.F.); #17362=ORIENTED_EDGE('',*,*,#14425,.F.); #17363=ORIENTED_EDGE('',*,*,#14426,.F.); #17364=ORIENTED_EDGE('',*,*,#14427,.F.); #17365=ORIENTED_EDGE('',*,*,#14428,.F.); #17366=ORIENTED_EDGE('',*,*,#14429,.F.); #17367=ORIENTED_EDGE('',*,*,#14430,.F.); #17368=ORIENTED_EDGE('',*,*,#14431,.F.); #17369=ORIENTED_EDGE('',*,*,#14432,.F.); #17370=ORIENTED_EDGE('',*,*,#14433,.F.); #17371=ORIENTED_EDGE('',*,*,#14434,.F.); #17372=ORIENTED_EDGE('',*,*,#14435,.F.); #17373=ORIENTED_EDGE('',*,*,#14436,.F.); #17374=ORIENTED_EDGE('',*,*,#14437,.F.); #17375=ORIENTED_EDGE('',*,*,#14438,.F.); #17376=ORIENTED_EDGE('',*,*,#14439,.F.); #17377=ORIENTED_EDGE('',*,*,#14440,.F.); #17378=ORIENTED_EDGE('',*,*,#14441,.F.); #17379=ORIENTED_EDGE('',*,*,#14442,.F.); #17380=ORIENTED_EDGE('',*,*,#14443,.F.); #17381=ORIENTED_EDGE('',*,*,#14444,.F.); #17382=ORIENTED_EDGE('',*,*,#14445,.F.); #17383=ORIENTED_EDGE('',*,*,#14446,.T.); #17384=ORIENTED_EDGE('',*,*,#14447,.F.); #17385=ORIENTED_EDGE('',*,*,#14448,.F.); #17386=ORIENTED_EDGE('',*,*,#14449,.F.); #17387=ORIENTED_EDGE('',*,*,#14444,.T.); #17388=ORIENTED_EDGE('',*,*,#14427,.T.); #17389=ORIENTED_EDGE('',*,*,#14429,.T.); #17390=ORIENTED_EDGE('',*,*,#14431,.T.); #17391=ORIENTED_EDGE('',*,*,#14433,.T.); #17392=ORIENTED_EDGE('',*,*,#14435,.T.); #17393=ORIENTED_EDGE('',*,*,#14437,.T.); #17394=ORIENTED_EDGE('',*,*,#14439,.T.); #17395=ORIENTED_EDGE('',*,*,#14441,.T.); #17396=ORIENTED_EDGE('',*,*,#14450,.T.); #17397=ORIENTED_EDGE('',*,*,#14451,.T.); #17398=ORIENTED_EDGE('',*,*,#14452,.F.); #17399=ORIENTED_EDGE('',*,*,#14453,.F.); #17400=ORIENTED_EDGE('',*,*,#14454,.F.); #17401=ORIENTED_EDGE('',*,*,#14446,.F.); #17402=ORIENTED_EDGE('',*,*,#14455,.F.); #17403=ORIENTED_EDGE('',*,*,#14451,.F.); #17404=ORIENTED_EDGE('',*,*,#14410,.T.); #17405=ORIENTED_EDGE('',*,*,#14412,.T.); #17406=ORIENTED_EDGE('',*,*,#14414,.T.); #17407=ORIENTED_EDGE('',*,*,#14416,.T.); #17408=ORIENTED_EDGE('',*,*,#14418,.T.); #17409=ORIENTED_EDGE('',*,*,#14420,.T.); #17410=ORIENTED_EDGE('',*,*,#14422,.T.); #17411=ORIENTED_EDGE('',*,*,#14424,.T.); #17412=ORIENTED_EDGE('',*,*,#14426,.T.); #17413=ORIENTED_EDGE('',*,*,#14428,.T.); #17414=ORIENTED_EDGE('',*,*,#14430,.T.); #17415=ORIENTED_EDGE('',*,*,#14432,.T.); #17416=ORIENTED_EDGE('',*,*,#14434,.T.); #17417=ORIENTED_EDGE('',*,*,#14436,.T.); #17418=ORIENTED_EDGE('',*,*,#14438,.T.); #17419=ORIENTED_EDGE('',*,*,#14440,.T.); #17420=ORIENTED_EDGE('',*,*,#14442,.T.); #17421=ORIENTED_EDGE('',*,*,#14456,.T.); #17422=ORIENTED_EDGE('',*,*,#14457,.F.); #17423=ORIENTED_EDGE('',*,*,#14452,.T.); #17424=ORIENTED_EDGE('',*,*,#14455,.T.); #17425=ORIENTED_EDGE('',*,*,#14445,.T.); #17426=ORIENTED_EDGE('',*,*,#14449,.T.); #17427=ORIENTED_EDGE('',*,*,#14400,.T.); #17428=ORIENTED_EDGE('',*,*,#14402,.T.); #17429=ORIENTED_EDGE('',*,*,#14404,.T.); #17430=ORIENTED_EDGE('',*,*,#14406,.T.); #17431=ORIENTED_EDGE('',*,*,#14408,.T.); #17432=ORIENTED_EDGE('',*,*,#14447,.T.); #17433=ORIENTED_EDGE('',*,*,#14443,.T.); #17434=ORIENTED_EDGE('',*,*,#14454,.T.); #17435=ORIENTED_EDGE('',*,*,#14450,.F.); #17436=ORIENTED_EDGE('',*,*,#14458,.F.); #17437=ORIENTED_EDGE('',*,*,#14459,.F.); #17438=ORIENTED_EDGE('',*,*,#14459,.T.); #17439=ORIENTED_EDGE('',*,*,#14460,.F.); #17440=ORIENTED_EDGE('',*,*,#14456,.F.); #17441=ORIENTED_EDGE('',*,*,#14448,.T.); #17442=ORIENTED_EDGE('',*,*,#14458,.T.); #17443=ORIENTED_EDGE('',*,*,#14453,.T.); #17444=ORIENTED_EDGE('',*,*,#14457,.T.); #17445=ORIENTED_EDGE('',*,*,#14460,.T.); #17446=ORIENTED_EDGE('',*,*,#14409,.T.); #17447=ORIENTED_EDGE('',*,*,#14411,.T.); #17448=ORIENTED_EDGE('',*,*,#14413,.T.); #17449=ORIENTED_EDGE('',*,*,#14415,.T.); #17450=ORIENTED_EDGE('',*,*,#14417,.T.); #17451=ORIENTED_EDGE('',*,*,#14419,.T.); #17452=ORIENTED_EDGE('',*,*,#14421,.T.); #17453=ORIENTED_EDGE('',*,*,#14423,.T.); #17454=ORIENTED_EDGE('',*,*,#14425,.T.); #17455=ORIENTED_EDGE('',*,*,#14461,.T.); #17456=ORIENTED_EDGE('',*,*,#14462,.F.); #17457=ORIENTED_EDGE('',*,*,#14461,.F.); #17458=ORIENTED_EDGE('',*,*,#14463,.T.); #17459=ORIENTED_EDGE('',*,*,#14464,.F.); #17460=ORIENTED_EDGE('',*,*,#14463,.F.); #17461=ORIENTED_EDGE('',*,*,#14465,.T.); #17462=ORIENTED_EDGE('',*,*,#14466,.F.); #17463=ORIENTED_EDGE('',*,*,#14465,.F.); #17464=ORIENTED_EDGE('',*,*,#14467,.T.); #17465=ORIENTED_EDGE('',*,*,#14468,.F.); #17466=ORIENTED_EDGE('',*,*,#14467,.F.); #17467=ORIENTED_EDGE('',*,*,#14469,.T.); #17468=ORIENTED_EDGE('',*,*,#14470,.F.); #17469=ORIENTED_EDGE('',*,*,#14469,.F.); #17470=ORIENTED_EDGE('',*,*,#14471,.F.); #17471=ORIENTED_EDGE('',*,*,#14472,.F.); #17472=ORIENTED_EDGE('',*,*,#14473,.F.); #17473=ORIENTED_EDGE('',*,*,#14474,.F.); #17474=ORIENTED_EDGE('',*,*,#14475,.F.); #17475=ORIENTED_EDGE('',*,*,#14476,.F.); #17476=ORIENTED_EDGE('',*,*,#14477,.F.); #17477=ORIENTED_EDGE('',*,*,#14478,.F.); #17478=ORIENTED_EDGE('',*,*,#14479,.F.); #17479=ORIENTED_EDGE('',*,*,#14480,.F.); #17480=ORIENTED_EDGE('',*,*,#14481,.F.); #17481=ORIENTED_EDGE('',*,*,#14482,.F.); #17482=ORIENTED_EDGE('',*,*,#14483,.F.); #17483=ORIENTED_EDGE('',*,*,#14484,.F.); #17484=ORIENTED_EDGE('',*,*,#14485,.F.); #17485=ORIENTED_EDGE('',*,*,#14486,.F.); #17486=ORIENTED_EDGE('',*,*,#14487,.F.); #17487=ORIENTED_EDGE('',*,*,#14488,.F.); #17488=ORIENTED_EDGE('',*,*,#14489,.F.); #17489=ORIENTED_EDGE('',*,*,#14490,.F.); #17490=ORIENTED_EDGE('',*,*,#14491,.F.); #17491=ORIENTED_EDGE('',*,*,#14492,.F.); #17492=ORIENTED_EDGE('',*,*,#14493,.F.); #17493=ORIENTED_EDGE('',*,*,#14494,.F.); #17494=ORIENTED_EDGE('',*,*,#14495,.F.); #17495=ORIENTED_EDGE('',*,*,#14496,.F.); #17496=ORIENTED_EDGE('',*,*,#14497,.F.); #17497=ORIENTED_EDGE('',*,*,#14498,.F.); #17498=ORIENTED_EDGE('',*,*,#14499,.F.); #17499=ORIENTED_EDGE('',*,*,#14500,.F.); #17500=ORIENTED_EDGE('',*,*,#14501,.F.); #17501=ORIENTED_EDGE('',*,*,#14502,.F.); #17502=ORIENTED_EDGE('',*,*,#14503,.F.); #17503=ORIENTED_EDGE('',*,*,#14504,.F.); #17504=ORIENTED_EDGE('',*,*,#14505,.T.); #17505=ORIENTED_EDGE('',*,*,#14506,.F.); #17506=ORIENTED_EDGE('',*,*,#14507,.F.); #17507=ORIENTED_EDGE('',*,*,#14508,.F.); #17508=ORIENTED_EDGE('',*,*,#14472,.T.); #17509=ORIENTED_EDGE('',*,*,#14474,.T.); #17510=ORIENTED_EDGE('',*,*,#14476,.T.); #17511=ORIENTED_EDGE('',*,*,#14478,.T.); #17512=ORIENTED_EDGE('',*,*,#14480,.T.); #17513=ORIENTED_EDGE('',*,*,#14482,.T.); #17514=ORIENTED_EDGE('',*,*,#14484,.T.); #17515=ORIENTED_EDGE('',*,*,#14486,.T.); #17516=ORIENTED_EDGE('',*,*,#14488,.T.); #17517=ORIENTED_EDGE('',*,*,#14490,.T.); #17518=ORIENTED_EDGE('',*,*,#14492,.T.); #17519=ORIENTED_EDGE('',*,*,#14494,.T.); #17520=ORIENTED_EDGE('',*,*,#14496,.T.); #17521=ORIENTED_EDGE('',*,*,#14498,.T.); #17522=ORIENTED_EDGE('',*,*,#14500,.T.); #17523=ORIENTED_EDGE('',*,*,#14502,.T.); #17524=ORIENTED_EDGE('',*,*,#14504,.T.); #17525=ORIENTED_EDGE('',*,*,#14509,.T.); #17526=ORIENTED_EDGE('',*,*,#14510,.F.); #17527=ORIENTED_EDGE('',*,*,#14511,.T.); #17528=ORIENTED_EDGE('',*,*,#14512,.T.); #17529=ORIENTED_EDGE('',*,*,#14513,.T.); #17530=ORIENTED_EDGE('',*,*,#14506,.T.); #17531=ORIENTED_EDGE('',*,*,#14462,.T.); #17532=ORIENTED_EDGE('',*,*,#14464,.T.); #17533=ORIENTED_EDGE('',*,*,#14466,.T.); #17534=ORIENTED_EDGE('',*,*,#14468,.T.); #17535=ORIENTED_EDGE('',*,*,#14470,.T.); #17536=ORIENTED_EDGE('',*,*,#14514,.T.); #17537=ORIENTED_EDGE('',*,*,#14515,.F.); #17538=ORIENTED_EDGE('',*,*,#14509,.F.); #17539=ORIENTED_EDGE('',*,*,#14505,.F.); #17540=ORIENTED_EDGE('',*,*,#14514,.F.); #17541=ORIENTED_EDGE('',*,*,#14508,.T.); #17542=ORIENTED_EDGE('',*,*,#14516,.T.); #17543=ORIENTED_EDGE('',*,*,#14517,.T.); #17544=ORIENTED_EDGE('',*,*,#14518,.T.); #17545=ORIENTED_EDGE('',*,*,#14519,.F.); #17546=ORIENTED_EDGE('',*,*,#14517,.F.); #17547=ORIENTED_EDGE('',*,*,#14520,.F.); #17548=ORIENTED_EDGE('',*,*,#14512,.F.); #17549=ORIENTED_EDGE('',*,*,#14521,.F.); #17550=ORIENTED_EDGE('',*,*,#14489,.T.); #17551=ORIENTED_EDGE('',*,*,#14491,.T.); #17552=ORIENTED_EDGE('',*,*,#14493,.T.); #17553=ORIENTED_EDGE('',*,*,#14495,.T.); #17554=ORIENTED_EDGE('',*,*,#14497,.T.); #17555=ORIENTED_EDGE('',*,*,#14499,.T.); #17556=ORIENTED_EDGE('',*,*,#14501,.T.); #17557=ORIENTED_EDGE('',*,*,#14503,.T.); #17558=ORIENTED_EDGE('',*,*,#14520,.T.); #17559=ORIENTED_EDGE('',*,*,#14516,.F.); #17560=ORIENTED_EDGE('',*,*,#14507,.T.); #17561=ORIENTED_EDGE('',*,*,#14513,.F.); #17562=ORIENTED_EDGE('',*,*,#14519,.T.); #17563=ORIENTED_EDGE('',*,*,#14522,.T.); #17564=ORIENTED_EDGE('',*,*,#14510,.T.); #17565=ORIENTED_EDGE('',*,*,#14515,.T.); #17566=ORIENTED_EDGE('',*,*,#14471,.T.); #17567=ORIENTED_EDGE('',*,*,#14473,.T.); #17568=ORIENTED_EDGE('',*,*,#14475,.T.); #17569=ORIENTED_EDGE('',*,*,#14477,.T.); #17570=ORIENTED_EDGE('',*,*,#14479,.T.); #17571=ORIENTED_EDGE('',*,*,#14481,.T.); #17572=ORIENTED_EDGE('',*,*,#14483,.T.); #17573=ORIENTED_EDGE('',*,*,#14485,.T.); #17574=ORIENTED_EDGE('',*,*,#14487,.T.); #17575=ORIENTED_EDGE('',*,*,#14518,.F.); #17576=ORIENTED_EDGE('',*,*,#14521,.T.); #17577=ORIENTED_EDGE('',*,*,#14511,.F.); #17578=ORIENTED_EDGE('',*,*,#14522,.F.); #17579=ORIENTED_EDGE('',*,*,#14523,.T.); #17580=ORIENTED_EDGE('',*,*,#14524,.F.); #17581=ORIENTED_EDGE('',*,*,#14523,.F.); #17582=ORIENTED_EDGE('',*,*,#14525,.T.); #17583=ORIENTED_EDGE('',*,*,#14526,.F.); #17584=ORIENTED_EDGE('',*,*,#14525,.F.); #17585=ORIENTED_EDGE('',*,*,#14527,.T.); #17586=ORIENTED_EDGE('',*,*,#14528,.F.); #17587=ORIENTED_EDGE('',*,*,#14527,.F.); #17588=ORIENTED_EDGE('',*,*,#14529,.T.); #17589=ORIENTED_EDGE('',*,*,#14530,.F.); #17590=ORIENTED_EDGE('',*,*,#14529,.F.); #17591=ORIENTED_EDGE('',*,*,#14531,.T.); #17592=ORIENTED_EDGE('',*,*,#14532,.F.); #17593=ORIENTED_EDGE('',*,*,#14531,.F.); #17594=ORIENTED_EDGE('',*,*,#14533,.T.); #17595=ORIENTED_EDGE('',*,*,#14534,.F.); #17596=ORIENTED_EDGE('',*,*,#14533,.F.); #17597=ORIENTED_EDGE('',*,*,#14535,.T.); #17598=ORIENTED_EDGE('',*,*,#14536,.F.); #17599=ORIENTED_EDGE('',*,*,#14535,.F.); #17600=ORIENTED_EDGE('',*,*,#14537,.T.); #17601=ORIENTED_EDGE('',*,*,#14538,.F.); #17602=ORIENTED_EDGE('',*,*,#14537,.F.); #17603=ORIENTED_EDGE('',*,*,#14539,.T.); #17604=ORIENTED_EDGE('',*,*,#14540,.F.); #17605=ORIENTED_EDGE('',*,*,#14539,.F.); #17606=ORIENTED_EDGE('',*,*,#14541,.T.); #17607=ORIENTED_EDGE('',*,*,#14542,.F.); #17608=ORIENTED_EDGE('',*,*,#14541,.F.); #17609=ORIENTED_EDGE('',*,*,#14543,.T.); #17610=ORIENTED_EDGE('',*,*,#14544,.F.); #17611=ORIENTED_EDGE('',*,*,#14543,.F.); #17612=ORIENTED_EDGE('',*,*,#14545,.F.); #17613=ORIENTED_EDGE('',*,*,#14546,.F.); #17614=ORIENTED_EDGE('',*,*,#14547,.T.); #17615=ORIENTED_EDGE('',*,*,#14548,.F.); #17616=ORIENTED_EDGE('',*,*,#14547,.F.); #17617=ORIENTED_EDGE('',*,*,#14546,.T.); #17618=ORIENTED_EDGE('',*,*,#14549,.F.); #17619=ORIENTED_EDGE('',*,*,#14550,.F.); #17620=ORIENTED_EDGE('',*,*,#14551,.T.); #17621=ORIENTED_EDGE('',*,*,#14552,.F.); #17622=ORIENTED_EDGE('',*,*,#14551,.F.); #17623=ORIENTED_EDGE('',*,*,#14553,.T.); #17624=ORIENTED_EDGE('',*,*,#14554,.F.); #17625=ORIENTED_EDGE('',*,*,#14553,.F.); #17626=ORIENTED_EDGE('',*,*,#14555,.T.); #17627=ORIENTED_EDGE('',*,*,#14556,.F.); #17628=ORIENTED_EDGE('',*,*,#14555,.F.); #17629=ORIENTED_EDGE('',*,*,#14557,.T.); #17630=ORIENTED_EDGE('',*,*,#14558,.F.); #17631=ORIENTED_EDGE('',*,*,#14557,.F.); #17632=ORIENTED_EDGE('',*,*,#14559,.T.); #17633=ORIENTED_EDGE('',*,*,#14560,.T.); #17634=ORIENTED_EDGE('',*,*,#14561,.T.); #17635=ORIENTED_EDGE('',*,*,#14562,.F.); #17636=ORIENTED_EDGE('',*,*,#14563,.T.); #17637=ORIENTED_EDGE('',*,*,#14564,.T.); #17638=ORIENTED_EDGE('',*,*,#14560,.F.); #17639=ORIENTED_EDGE('',*,*,#14565,.T.); #17640=ORIENTED_EDGE('',*,*,#14566,.T.); #17641=ORIENTED_EDGE('',*,*,#14567,.T.); #17642=ORIENTED_EDGE('',*,*,#14563,.F.); #17643=ORIENTED_EDGE('',*,*,#14568,.T.); #17644=ORIENTED_EDGE('',*,*,#14569,.T.); #17645=ORIENTED_EDGE('',*,*,#14570,.T.); #17646=ORIENTED_EDGE('',*,*,#14566,.F.); #17647=ORIENTED_EDGE('',*,*,#14571,.T.); #17648=ORIENTED_EDGE('',*,*,#14572,.T.); #17649=ORIENTED_EDGE('',*,*,#14573,.T.); #17650=ORIENTED_EDGE('',*,*,#14569,.F.); #17651=ORIENTED_EDGE('',*,*,#14574,.T.); #17652=ORIENTED_EDGE('',*,*,#14575,.T.); #17653=ORIENTED_EDGE('',*,*,#14576,.T.); #17654=ORIENTED_EDGE('',*,*,#14572,.F.); #17655=ORIENTED_EDGE('',*,*,#14577,.T.); #17656=ORIENTED_EDGE('',*,*,#14578,.T.); #17657=ORIENTED_EDGE('',*,*,#14579,.T.); #17658=ORIENTED_EDGE('',*,*,#14575,.F.); #17659=ORIENTED_EDGE('',*,*,#14580,.T.); #17660=ORIENTED_EDGE('',*,*,#14581,.T.); #17661=ORIENTED_EDGE('',*,*,#14582,.T.); #17662=ORIENTED_EDGE('',*,*,#14578,.F.); #17663=ORIENTED_EDGE('',*,*,#14583,.T.); #17664=ORIENTED_EDGE('',*,*,#14584,.T.); #17665=ORIENTED_EDGE('',*,*,#14585,.T.); #17666=ORIENTED_EDGE('',*,*,#14581,.F.); #17667=ORIENTED_EDGE('',*,*,#14586,.T.); #17668=ORIENTED_EDGE('',*,*,#14587,.T.); #17669=ORIENTED_EDGE('',*,*,#14588,.T.); #17670=ORIENTED_EDGE('',*,*,#14584,.F.); #17671=ORIENTED_EDGE('',*,*,#14589,.T.); #17672=ORIENTED_EDGE('',*,*,#14590,.T.); #17673=ORIENTED_EDGE('',*,*,#14591,.T.); #17674=ORIENTED_EDGE('',*,*,#14587,.F.); #17675=ORIENTED_EDGE('',*,*,#14592,.T.); #17676=ORIENTED_EDGE('',*,*,#14593,.T.); #17677=ORIENTED_EDGE('',*,*,#14594,.T.); #17678=ORIENTED_EDGE('',*,*,#14590,.F.); #17679=ORIENTED_EDGE('',*,*,#14595,.T.); #17680=ORIENTED_EDGE('',*,*,#14596,.T.); #17681=ORIENTED_EDGE('',*,*,#14593,.F.); #17682=ORIENTED_EDGE('',*,*,#14597,.T.); #17683=ORIENTED_EDGE('',*,*,#14562,.T.); #17684=ORIENTED_EDGE('',*,*,#14582,.F.); #17685=ORIENTED_EDGE('',*,*,#14598,.T.); #17686=ORIENTED_EDGE('',*,*,#14599,.T.); #17687=ORIENTED_EDGE('',*,*,#14600,.T.); #17688=ORIENTED_EDGE('',*,*,#14585,.F.); #17689=ORIENTED_EDGE('',*,*,#14601,.F.); #17690=ORIENTED_EDGE('',*,*,#14602,.F.); #17691=ORIENTED_EDGE('',*,*,#14598,.F.); #17692=ORIENTED_EDGE('',*,*,#14588,.F.); #17693=ORIENTED_EDGE('',*,*,#14603,.T.); #17694=ORIENTED_EDGE('',*,*,#14604,.T.); #17695=ORIENTED_EDGE('',*,*,#14601,.T.); #17696=ORIENTED_EDGE('',*,*,#14591,.F.); #17697=ORIENTED_EDGE('',*,*,#14605,.F.); #17698=ORIENTED_EDGE('',*,*,#14606,.F.); #17699=ORIENTED_EDGE('',*,*,#14603,.F.); #17700=ORIENTED_EDGE('',*,*,#14594,.F.); #17701=ORIENTED_EDGE('',*,*,#14607,.T.); #17702=ORIENTED_EDGE('',*,*,#14608,.T.); #17703=ORIENTED_EDGE('',*,*,#14605,.T.); #17704=ORIENTED_EDGE('',*,*,#14596,.F.); #17705=ORIENTED_EDGE('',*,*,#14609,.F.); #17706=ORIENTED_EDGE('',*,*,#14610,.F.); #17707=ORIENTED_EDGE('',*,*,#14607,.F.); #17708=ORIENTED_EDGE('',*,*,#14561,.F.); #17709=ORIENTED_EDGE('',*,*,#14611,.F.); #17710=ORIENTED_EDGE('',*,*,#14612,.F.); #17711=ORIENTED_EDGE('',*,*,#14609,.T.); #17712=ORIENTED_EDGE('',*,*,#14559,.F.); #17713=ORIENTED_EDGE('',*,*,#14597,.F.); #17714=ORIENTED_EDGE('',*,*,#14595,.F.); #17715=ORIENTED_EDGE('',*,*,#14592,.F.); #17716=ORIENTED_EDGE('',*,*,#14589,.F.); #17717=ORIENTED_EDGE('',*,*,#14586,.F.); #17718=ORIENTED_EDGE('',*,*,#14583,.F.); #17719=ORIENTED_EDGE('',*,*,#14580,.F.); #17720=ORIENTED_EDGE('',*,*,#14577,.F.); #17721=ORIENTED_EDGE('',*,*,#14574,.F.); #17722=ORIENTED_EDGE('',*,*,#14571,.F.); #17723=ORIENTED_EDGE('',*,*,#14568,.F.); #17724=ORIENTED_EDGE('',*,*,#14565,.F.); #17725=ORIENTED_EDGE('',*,*,#14613,.T.); #17726=ORIENTED_EDGE('',*,*,#14614,.F.); #17727=ORIENTED_EDGE('',*,*,#14613,.F.); #17728=ORIENTED_EDGE('',*,*,#14615,.T.); #17729=ORIENTED_EDGE('',*,*,#14616,.F.); #17730=ORIENTED_EDGE('',*,*,#14615,.F.); #17731=ORIENTED_EDGE('',*,*,#14617,.T.); #17732=ORIENTED_EDGE('',*,*,#14618,.F.); #17733=ORIENTED_EDGE('',*,*,#14617,.F.); #17734=ORIENTED_EDGE('',*,*,#14619,.T.); #17735=ORIENTED_EDGE('',*,*,#14620,.F.); #17736=ORIENTED_EDGE('',*,*,#14619,.F.); #17737=ORIENTED_EDGE('',*,*,#14545,.T.); #17738=ORIENTED_EDGE('',*,*,#14549,.T.); #17739=ORIENTED_EDGE('',*,*,#14621,.T.); #17740=ORIENTED_EDGE('',*,*,#14622,.F.); #17741=ORIENTED_EDGE('',*,*,#14621,.F.); #17742=ORIENTED_EDGE('',*,*,#14623,.T.); #17743=ORIENTED_EDGE('',*,*,#14624,.F.); #17744=ORIENTED_EDGE('',*,*,#14623,.F.); #17745=ORIENTED_EDGE('',*,*,#14625,.T.); #17746=ORIENTED_EDGE('',*,*,#14626,.F.); #17747=ORIENTED_EDGE('',*,*,#14625,.F.); #17748=ORIENTED_EDGE('',*,*,#14627,.T.); #17749=ORIENTED_EDGE('',*,*,#14628,.F.); #17750=ORIENTED_EDGE('',*,*,#14627,.F.); #17751=ORIENTED_EDGE('',*,*,#14629,.T.); #17752=ORIENTED_EDGE('',*,*,#14630,.F.); #17753=ORIENTED_EDGE('',*,*,#14629,.F.); #17754=ORIENTED_EDGE('',*,*,#14631,.T.); #17755=ORIENTED_EDGE('',*,*,#14632,.F.); #17756=ORIENTED_EDGE('',*,*,#14631,.F.); #17757=ORIENTED_EDGE('',*,*,#14633,.T.); #17758=ORIENTED_EDGE('',*,*,#14634,.F.); #17759=ORIENTED_EDGE('',*,*,#14633,.F.); #17760=ORIENTED_EDGE('',*,*,#14635,.T.); #17761=ORIENTED_EDGE('',*,*,#14636,.F.); #17762=ORIENTED_EDGE('',*,*,#14635,.F.); #17763=ORIENTED_EDGE('',*,*,#14637,.T.); #17764=ORIENTED_EDGE('',*,*,#14638,.F.); #17765=ORIENTED_EDGE('',*,*,#14637,.F.); #17766=ORIENTED_EDGE('',*,*,#14639,.T.); #17767=ORIENTED_EDGE('',*,*,#14640,.F.); #17768=ORIENTED_EDGE('',*,*,#14639,.F.); #17769=ORIENTED_EDGE('',*,*,#14641,.T.); #17770=ORIENTED_EDGE('',*,*,#14642,.F.); #17771=ORIENTED_EDGE('',*,*,#14641,.F.); #17772=ORIENTED_EDGE('',*,*,#14643,.T.); #17773=ORIENTED_EDGE('',*,*,#14644,.F.); #17774=ORIENTED_EDGE('',*,*,#14643,.F.); #17775=ORIENTED_EDGE('',*,*,#14645,.T.); #17776=ORIENTED_EDGE('',*,*,#14646,.F.); #17777=ORIENTED_EDGE('',*,*,#14645,.F.); #17778=ORIENTED_EDGE('',*,*,#14647,.T.); #17779=ORIENTED_EDGE('',*,*,#14648,.F.); #17780=ORIENTED_EDGE('',*,*,#14647,.F.); #17781=ORIENTED_EDGE('',*,*,#14649,.T.); #17782=ORIENTED_EDGE('',*,*,#14650,.F.); #17783=ORIENTED_EDGE('',*,*,#14649,.F.); #17784=ORIENTED_EDGE('',*,*,#14651,.T.); #17785=ORIENTED_EDGE('',*,*,#14652,.F.); #17786=ORIENTED_EDGE('',*,*,#14651,.F.); #17787=ORIENTED_EDGE('',*,*,#14653,.T.); #17788=ORIENTED_EDGE('',*,*,#14654,.F.); #17789=ORIENTED_EDGE('',*,*,#14653,.F.); #17790=ORIENTED_EDGE('',*,*,#14655,.T.); #17791=ORIENTED_EDGE('',*,*,#14656,.F.); #17792=ORIENTED_EDGE('',*,*,#14655,.F.); #17793=ORIENTED_EDGE('',*,*,#14657,.T.); #17794=ORIENTED_EDGE('',*,*,#14658,.F.); #17795=ORIENTED_EDGE('',*,*,#14657,.F.); #17796=ORIENTED_EDGE('',*,*,#14659,.T.); #17797=ORIENTED_EDGE('',*,*,#14660,.F.); #17798=ORIENTED_EDGE('',*,*,#14659,.F.); #17799=ORIENTED_EDGE('',*,*,#14661,.T.); #17800=ORIENTED_EDGE('',*,*,#14662,.F.); #17801=ORIENTED_EDGE('',*,*,#14661,.F.); #17802=ORIENTED_EDGE('',*,*,#14663,.T.); #17803=ORIENTED_EDGE('',*,*,#14664,.F.); #17804=ORIENTED_EDGE('',*,*,#14663,.F.); #17805=ORIENTED_EDGE('',*,*,#14665,.T.); #17806=ORIENTED_EDGE('',*,*,#14666,.F.); #17807=ORIENTED_EDGE('',*,*,#14665,.F.); #17808=ORIENTED_EDGE('',*,*,#14667,.T.); #17809=ORIENTED_EDGE('',*,*,#14668,.F.); #17810=ORIENTED_EDGE('',*,*,#14667,.F.); #17811=ORIENTED_EDGE('',*,*,#14669,.T.); #17812=ORIENTED_EDGE('',*,*,#14670,.F.); #17813=ORIENTED_EDGE('',*,*,#14669,.F.); #17814=ORIENTED_EDGE('',*,*,#14671,.T.); #17815=ORIENTED_EDGE('',*,*,#14672,.F.); #17816=ORIENTED_EDGE('',*,*,#14671,.F.); #17817=ORIENTED_EDGE('',*,*,#14673,.T.); #17818=ORIENTED_EDGE('',*,*,#14674,.F.); #17819=ORIENTED_EDGE('',*,*,#14673,.F.); #17820=ORIENTED_EDGE('',*,*,#14675,.T.); #17821=ORIENTED_EDGE('',*,*,#14676,.F.); #17822=ORIENTED_EDGE('',*,*,#14675,.F.); #17823=ORIENTED_EDGE('',*,*,#14677,.T.); #17824=ORIENTED_EDGE('',*,*,#14678,.F.); #17825=ORIENTED_EDGE('',*,*,#14677,.F.); #17826=ORIENTED_EDGE('',*,*,#14679,.T.); #17827=ORIENTED_EDGE('',*,*,#14680,.F.); #17828=ORIENTED_EDGE('',*,*,#14679,.F.); #17829=ORIENTED_EDGE('',*,*,#14681,.T.); #17830=ORIENTED_EDGE('',*,*,#14682,.F.); #17831=ORIENTED_EDGE('',*,*,#14681,.F.); #17832=ORIENTED_EDGE('',*,*,#14683,.T.); #17833=ORIENTED_EDGE('',*,*,#14684,.F.); #17834=ORIENTED_EDGE('',*,*,#14683,.F.); #17835=ORIENTED_EDGE('',*,*,#14685,.T.); #17836=ORIENTED_EDGE('',*,*,#14686,.F.); #17837=ORIENTED_EDGE('',*,*,#14685,.F.); #17838=ORIENTED_EDGE('',*,*,#14687,.T.); #17839=ORIENTED_EDGE('',*,*,#14688,.F.); #17840=ORIENTED_EDGE('',*,*,#14687,.F.); #17841=ORIENTED_EDGE('',*,*,#14689,.T.); #17842=ORIENTED_EDGE('',*,*,#14690,.F.); #17843=ORIENTED_EDGE('',*,*,#14689,.F.); #17844=ORIENTED_EDGE('',*,*,#14691,.T.); #17845=ORIENTED_EDGE('',*,*,#14692,.F.); #17846=ORIENTED_EDGE('',*,*,#14691,.F.); #17847=ORIENTED_EDGE('',*,*,#14693,.T.); #17848=ORIENTED_EDGE('',*,*,#14694,.F.); #17849=ORIENTED_EDGE('',*,*,#14693,.F.); #17850=ORIENTED_EDGE('',*,*,#14695,.T.); #17851=ORIENTED_EDGE('',*,*,#14696,.F.); #17852=ORIENTED_EDGE('',*,*,#14695,.F.); #17853=ORIENTED_EDGE('',*,*,#14697,.T.); #17854=ORIENTED_EDGE('',*,*,#14698,.F.); #17855=ORIENTED_EDGE('',*,*,#14697,.F.); #17856=ORIENTED_EDGE('',*,*,#14699,.T.); #17857=ORIENTED_EDGE('',*,*,#14700,.F.); #17858=ORIENTED_EDGE('',*,*,#14699,.F.); #17859=ORIENTED_EDGE('',*,*,#14701,.T.); #17860=ORIENTED_EDGE('',*,*,#14702,.F.); #17861=ORIENTED_EDGE('',*,*,#14701,.F.); #17862=ORIENTED_EDGE('',*,*,#14703,.T.); #17863=ORIENTED_EDGE('',*,*,#14704,.F.); #17864=ORIENTED_EDGE('',*,*,#14703,.F.); #17865=ORIENTED_EDGE('',*,*,#14705,.T.); #17866=ORIENTED_EDGE('',*,*,#14706,.F.); #17867=ORIENTED_EDGE('',*,*,#14705,.F.); #17868=ORIENTED_EDGE('',*,*,#14707,.T.); #17869=ORIENTED_EDGE('',*,*,#14708,.F.); #17870=ORIENTED_EDGE('',*,*,#14707,.F.); #17871=ORIENTED_EDGE('',*,*,#14709,.T.); #17872=ORIENTED_EDGE('',*,*,#14710,.F.); #17873=ORIENTED_EDGE('',*,*,#14709,.F.); #17874=ORIENTED_EDGE('',*,*,#14711,.T.); #17875=ORIENTED_EDGE('',*,*,#14712,.F.); #17876=ORIENTED_EDGE('',*,*,#14711,.F.); #17877=ORIENTED_EDGE('',*,*,#14713,.T.); #17878=ORIENTED_EDGE('',*,*,#14714,.F.); #17879=ORIENTED_EDGE('',*,*,#14713,.F.); #17880=ORIENTED_EDGE('',*,*,#14715,.F.); #17881=ORIENTED_EDGE('',*,*,#14716,.F.); #17882=ORIENTED_EDGE('',*,*,#14717,.F.); #17883=ORIENTED_EDGE('',*,*,#14718,.F.); #17884=ORIENTED_EDGE('',*,*,#14648,.T.); #17885=ORIENTED_EDGE('',*,*,#14650,.T.); #17886=ORIENTED_EDGE('',*,*,#14652,.T.); #17887=ORIENTED_EDGE('',*,*,#14654,.T.); #17888=ORIENTED_EDGE('',*,*,#14656,.T.); #17889=ORIENTED_EDGE('',*,*,#14658,.T.); #17890=ORIENTED_EDGE('',*,*,#14717,.T.); #17891=ORIENTED_EDGE('',*,*,#14719,.F.); #17892=ORIENTED_EDGE('',*,*,#14720,.T.); #17893=ORIENTED_EDGE('',*,*,#14721,.T.); #17894=ORIENTED_EDGE('',*,*,#14722,.F.); #17895=ORIENTED_EDGE('',*,*,#14524,.T.); #17896=ORIENTED_EDGE('',*,*,#14526,.T.); #17897=ORIENTED_EDGE('',*,*,#14528,.T.); #17898=ORIENTED_EDGE('',*,*,#14530,.T.); #17899=ORIENTED_EDGE('',*,*,#14532,.T.); #17900=ORIENTED_EDGE('',*,*,#14534,.T.); #17901=ORIENTED_EDGE('',*,*,#14536,.T.); #17902=ORIENTED_EDGE('',*,*,#14538,.T.); #17903=ORIENTED_EDGE('',*,*,#14540,.T.); #17904=ORIENTED_EDGE('',*,*,#14542,.T.); #17905=ORIENTED_EDGE('',*,*,#14544,.T.); #17906=ORIENTED_EDGE('',*,*,#14548,.T.); #17907=ORIENTED_EDGE('',*,*,#14660,.T.); #17908=ORIENTED_EDGE('',*,*,#14662,.T.); #17909=ORIENTED_EDGE('',*,*,#14664,.T.); #17910=ORIENTED_EDGE('',*,*,#14666,.T.); #17911=ORIENTED_EDGE('',*,*,#14668,.T.); #17912=ORIENTED_EDGE('',*,*,#14670,.T.); #17913=ORIENTED_EDGE('',*,*,#14672,.T.); #17914=ORIENTED_EDGE('',*,*,#14674,.T.); #17915=ORIENTED_EDGE('',*,*,#14676,.T.); #17916=ORIENTED_EDGE('',*,*,#14678,.T.); #17917=ORIENTED_EDGE('',*,*,#14680,.T.); #17918=ORIENTED_EDGE('',*,*,#14682,.T.); #17919=ORIENTED_EDGE('',*,*,#14684,.T.); #17920=ORIENTED_EDGE('',*,*,#14686,.T.); #17921=ORIENTED_EDGE('',*,*,#14688,.T.); #17922=ORIENTED_EDGE('',*,*,#14690,.T.); #17923=ORIENTED_EDGE('',*,*,#14692,.T.); #17924=ORIENTED_EDGE('',*,*,#14694,.T.); #17925=ORIENTED_EDGE('',*,*,#14696,.T.); #17926=ORIENTED_EDGE('',*,*,#14698,.T.); #17927=ORIENTED_EDGE('',*,*,#14700,.T.); #17928=ORIENTED_EDGE('',*,*,#14702,.T.); #17929=ORIENTED_EDGE('',*,*,#14704,.T.); #17930=ORIENTED_EDGE('',*,*,#14706,.T.); #17931=ORIENTED_EDGE('',*,*,#14708,.T.); #17932=ORIENTED_EDGE('',*,*,#14710,.T.); #17933=ORIENTED_EDGE('',*,*,#14712,.T.); #17934=ORIENTED_EDGE('',*,*,#14714,.T.); #17935=ORIENTED_EDGE('',*,*,#14723,.F.); #17936=ORIENTED_EDGE('',*,*,#14724,.F.); #17937=ORIENTED_EDGE('',*,*,#14725,.F.); #17938=ORIENTED_EDGE('',*,*,#14720,.F.); #17939=ORIENTED_EDGE('',*,*,#14614,.T.); #17940=ORIENTED_EDGE('',*,*,#14616,.T.); #17941=ORIENTED_EDGE('',*,*,#14618,.T.); #17942=ORIENTED_EDGE('',*,*,#14620,.T.); #17943=ORIENTED_EDGE('',*,*,#14550,.T.); #17944=ORIENTED_EDGE('',*,*,#14622,.T.); #17945=ORIENTED_EDGE('',*,*,#14624,.T.); #17946=ORIENTED_EDGE('',*,*,#14626,.T.); #17947=ORIENTED_EDGE('',*,*,#14628,.T.); #17948=ORIENTED_EDGE('',*,*,#14725,.T.); #17949=ORIENTED_EDGE('',*,*,#14726,.F.); #17950=ORIENTED_EDGE('',*,*,#14727,.F.); #17951=ORIENTED_EDGE('',*,*,#14721,.F.); #17952=ORIENTED_EDGE('',*,*,#14724,.T.); #17953=ORIENTED_EDGE('',*,*,#14728,.T.); #17954=ORIENTED_EDGE('',*,*,#14729,.F.); #17955=ORIENTED_EDGE('',*,*,#14730,.T.); #17956=ORIENTED_EDGE('',*,*,#14726,.T.); #17957=ORIENTED_EDGE('',*,*,#14715,.T.); #17958=ORIENTED_EDGE('',*,*,#14731,.F.); #17959=ORIENTED_EDGE('',*,*,#14732,.F.); #17960=ORIENTED_EDGE('',*,*,#14733,.F.); #17961=ORIENTED_EDGE('',*,*,#14630,.T.); #17962=ORIENTED_EDGE('',*,*,#14632,.T.); #17963=ORIENTED_EDGE('',*,*,#14634,.T.); #17964=ORIENTED_EDGE('',*,*,#14636,.T.); #17965=ORIENTED_EDGE('',*,*,#14638,.T.); #17966=ORIENTED_EDGE('',*,*,#14640,.T.); #17967=ORIENTED_EDGE('',*,*,#14642,.T.); #17968=ORIENTED_EDGE('',*,*,#14644,.T.); #17969=ORIENTED_EDGE('',*,*,#14646,.T.); #17970=ORIENTED_EDGE('',*,*,#14732,.T.); #17971=ORIENTED_EDGE('',*,*,#14734,.F.); #17972=ORIENTED_EDGE('',*,*,#14735,.T.); #17973=ORIENTED_EDGE('',*,*,#14736,.F.); #17974=ORIENTED_EDGE('',*,*,#14552,.T.); #17975=ORIENTED_EDGE('',*,*,#14554,.T.); #17976=ORIENTED_EDGE('',*,*,#14556,.T.); #17977=ORIENTED_EDGE('',*,*,#14558,.T.); #17978=ORIENTED_EDGE('',*,*,#14737,.F.); #17979=ORIENTED_EDGE('',*,*,#14735,.F.); #17980=ORIENTED_EDGE('',*,*,#14738,.F.); #17981=ORIENTED_EDGE('',*,*,#14739,.F.); #17982=ORIENTED_EDGE('',*,*,#14723,.T.); #17983=ORIENTED_EDGE('',*,*,#14719,.T.); #17984=ORIENTED_EDGE('',*,*,#14716,.T.); #17985=ORIENTED_EDGE('',*,*,#14733,.T.); #17986=ORIENTED_EDGE('',*,*,#14736,.T.); #17987=ORIENTED_EDGE('',*,*,#14737,.T.); #17988=ORIENTED_EDGE('',*,*,#14740,.F.); #17989=ORIENTED_EDGE('',*,*,#14728,.F.); #17990=ORIENTED_EDGE('',*,*,#14718,.T.); #17991=ORIENTED_EDGE('',*,*,#14722,.T.); #17992=ORIENTED_EDGE('',*,*,#14727,.T.); #17993=ORIENTED_EDGE('',*,*,#14730,.F.); #17994=ORIENTED_EDGE('',*,*,#14741,.F.); #17995=ORIENTED_EDGE('',*,*,#14738,.T.); #17996=ORIENTED_EDGE('',*,*,#14734,.T.); #17997=ORIENTED_EDGE('',*,*,#14731,.T.); #17998=ORIENTED_EDGE('',*,*,#14742,.T.); #17999=ORIENTED_EDGE('',*,*,#14599,.F.); #18000=ORIENTED_EDGE('',*,*,#14602,.T.); #18001=ORIENTED_EDGE('',*,*,#14604,.F.); #18002=ORIENTED_EDGE('',*,*,#14606,.T.); #18003=ORIENTED_EDGE('',*,*,#14608,.F.); #18004=ORIENTED_EDGE('',*,*,#14610,.T.); #18005=ORIENTED_EDGE('',*,*,#14612,.T.); #18006=ORIENTED_EDGE('',*,*,#14743,.F.); #18007=ORIENTED_EDGE('',*,*,#14744,.T.); #18008=ORIENTED_EDGE('',*,*,#14745,.F.); #18009=ORIENTED_EDGE('',*,*,#14746,.T.); #18010=ORIENTED_EDGE('',*,*,#14747,.F.); #18011=ORIENTED_EDGE('',*,*,#14739,.T.); #18012=ORIENTED_EDGE('',*,*,#14741,.T.); #18013=ORIENTED_EDGE('',*,*,#14729,.T.); #18014=ORIENTED_EDGE('',*,*,#14740,.T.); #18015=ORIENTED_EDGE('',*,*,#14576,.F.); #18016=ORIENTED_EDGE('',*,*,#14748,.T.); #18017=ORIENTED_EDGE('',*,*,#14747,.T.); #18018=ORIENTED_EDGE('',*,*,#14749,.T.); #18019=ORIENTED_EDGE('',*,*,#14573,.F.); #18020=ORIENTED_EDGE('',*,*,#14749,.F.); #18021=ORIENTED_EDGE('',*,*,#14746,.F.); #18022=ORIENTED_EDGE('',*,*,#14750,.F.); #18023=ORIENTED_EDGE('',*,*,#14570,.F.); #18024=ORIENTED_EDGE('',*,*,#14750,.T.); #18025=ORIENTED_EDGE('',*,*,#14745,.T.); #18026=ORIENTED_EDGE('',*,*,#14751,.T.); #18027=ORIENTED_EDGE('',*,*,#14567,.F.); #18028=ORIENTED_EDGE('',*,*,#14751,.F.); #18029=ORIENTED_EDGE('',*,*,#14744,.F.); #18030=ORIENTED_EDGE('',*,*,#14752,.F.); #18031=ORIENTED_EDGE('',*,*,#14579,.F.); #18032=ORIENTED_EDGE('',*,*,#14600,.F.); #18033=ORIENTED_EDGE('',*,*,#14742,.F.); #18034=ORIENTED_EDGE('',*,*,#14748,.F.); #18035=ORIENTED_EDGE('',*,*,#14564,.F.); #18036=ORIENTED_EDGE('',*,*,#14752,.T.); #18037=ORIENTED_EDGE('',*,*,#14743,.T.); #18038=ORIENTED_EDGE('',*,*,#14611,.T.); #18039=ORIENTED_EDGE('',*,*,#14753,.T.); #18040=ORIENTED_EDGE('',*,*,#14754,.F.); #18041=ORIENTED_EDGE('',*,*,#14753,.F.); #18042=ORIENTED_EDGE('',*,*,#14755,.F.); #18043=ORIENTED_EDGE('',*,*,#14756,.T.); #18044=ORIENTED_EDGE('',*,*,#14757,.F.); #18045=ORIENTED_EDGE('',*,*,#14756,.F.); #18046=ORIENTED_EDGE('',*,*,#14758,.T.); #18047=ORIENTED_EDGE('',*,*,#14759,.F.); #18048=ORIENTED_EDGE('',*,*,#14758,.F.); #18049=ORIENTED_EDGE('',*,*,#14760,.F.); #18050=ORIENTED_EDGE('',*,*,#14761,.T.); #18051=ORIENTED_EDGE('',*,*,#14762,.F.); #18052=ORIENTED_EDGE('',*,*,#14761,.F.); #18053=ORIENTED_EDGE('',*,*,#14763,.F.); #18054=ORIENTED_EDGE('',*,*,#14764,.F.); #18055=ORIENTED_EDGE('',*,*,#14765,.F.); #18056=ORIENTED_EDGE('',*,*,#14766,.F.); #18057=ORIENTED_EDGE('',*,*,#14767,.F.); #18058=ORIENTED_EDGE('',*,*,#14768,.F.); #18059=ORIENTED_EDGE('',*,*,#14769,.F.); #18060=ORIENTED_EDGE('',*,*,#14770,.F.); #18061=ORIENTED_EDGE('',*,*,#14771,.F.); #18062=ORIENTED_EDGE('',*,*,#14772,.F.); #18063=ORIENTED_EDGE('',*,*,#14773,.F.); #18064=ORIENTED_EDGE('',*,*,#14774,.F.); #18065=ORIENTED_EDGE('',*,*,#14775,.F.); #18066=ORIENTED_EDGE('',*,*,#14776,.F.); #18067=ORIENTED_EDGE('',*,*,#14777,.F.); #18068=ORIENTED_EDGE('',*,*,#14778,.F.); #18069=ORIENTED_EDGE('',*,*,#14779,.F.); #18070=ORIENTED_EDGE('',*,*,#14760,.T.); #18071=ORIENTED_EDGE('',*,*,#14780,.F.); #18072=ORIENTED_EDGE('',*,*,#14781,.F.); #18073=ORIENTED_EDGE('',*,*,#14755,.T.); #18074=ORIENTED_EDGE('',*,*,#14782,.F.); #18075=ORIENTED_EDGE('',*,*,#14783,.F.); #18076=ORIENTED_EDGE('',*,*,#14784,.F.); #18077=ORIENTED_EDGE('',*,*,#14785,.F.); #18078=ORIENTED_EDGE('',*,*,#14786,.F.); #18079=ORIENTED_EDGE('',*,*,#14787,.F.); #18080=ORIENTED_EDGE('',*,*,#14788,.F.); #18081=ORIENTED_EDGE('',*,*,#14789,.F.); #18082=ORIENTED_EDGE('',*,*,#14790,.F.); #18083=ORIENTED_EDGE('',*,*,#14791,.F.); #18084=ORIENTED_EDGE('',*,*,#14792,.F.); #18085=ORIENTED_EDGE('',*,*,#14793,.F.); #18086=ORIENTED_EDGE('',*,*,#14794,.F.); #18087=ORIENTED_EDGE('',*,*,#14795,.F.); #18088=ORIENTED_EDGE('',*,*,#14796,.F.); #18089=ORIENTED_EDGE('',*,*,#14797,.F.); #18090=ORIENTED_EDGE('',*,*,#14798,.F.); #18091=ORIENTED_EDGE('',*,*,#14799,.F.); #18092=ORIENTED_EDGE('',*,*,#14800,.F.); #18093=ORIENTED_EDGE('',*,*,#14801,.F.); #18094=ORIENTED_EDGE('',*,*,#14802,.F.); #18095=ORIENTED_EDGE('',*,*,#14801,.T.); #18096=ORIENTED_EDGE('',*,*,#14803,.F.); #18097=ORIENTED_EDGE('',*,*,#14804,.F.); #18098=ORIENTED_EDGE('',*,*,#14805,.F.); #18099=ORIENTED_EDGE('',*,*,#14800,.T.); #18100=ORIENTED_EDGE('',*,*,#14806,.T.); #18101=ORIENTED_EDGE('',*,*,#14807,.T.); #18102=ORIENTED_EDGE('',*,*,#14803,.T.); #18103=ORIENTED_EDGE('',*,*,#14764,.T.); #18104=ORIENTED_EDGE('',*,*,#14766,.T.); #18105=ORIENTED_EDGE('',*,*,#14768,.T.); #18106=ORIENTED_EDGE('',*,*,#14770,.T.); #18107=ORIENTED_EDGE('',*,*,#14772,.T.); #18108=ORIENTED_EDGE('',*,*,#14774,.T.); #18109=ORIENTED_EDGE('',*,*,#14776,.T.); #18110=ORIENTED_EDGE('',*,*,#14778,.T.); #18111=ORIENTED_EDGE('',*,*,#14780,.T.); #18112=ORIENTED_EDGE('',*,*,#14782,.T.); #18113=ORIENTED_EDGE('',*,*,#14784,.T.); #18114=ORIENTED_EDGE('',*,*,#14786,.T.); #18115=ORIENTED_EDGE('',*,*,#14788,.T.); #18116=ORIENTED_EDGE('',*,*,#14790,.T.); #18117=ORIENTED_EDGE('',*,*,#14792,.T.); #18118=ORIENTED_EDGE('',*,*,#14794,.T.); #18119=ORIENTED_EDGE('',*,*,#14796,.T.); #18120=ORIENTED_EDGE('',*,*,#14798,.T.); #18121=ORIENTED_EDGE('',*,*,#14799,.T.); #18122=ORIENTED_EDGE('',*,*,#14808,.F.); #18123=ORIENTED_EDGE('',*,*,#14809,.F.); #18124=ORIENTED_EDGE('',*,*,#14806,.F.); #18125=ORIENTED_EDGE('',*,*,#14809,.T.); #18126=ORIENTED_EDGE('',*,*,#14810,.F.); #18127=ORIENTED_EDGE('',*,*,#14804,.T.); #18128=ORIENTED_EDGE('',*,*,#14807,.F.); #18129=ORIENTED_EDGE('',*,*,#14754,.T.); #18130=ORIENTED_EDGE('',*,*,#14757,.T.); #18131=ORIENTED_EDGE('',*,*,#14759,.T.); #18132=ORIENTED_EDGE('',*,*,#14762,.T.); #18133=ORIENTED_EDGE('',*,*,#14802,.T.); #18134=ORIENTED_EDGE('',*,*,#14805,.T.); #18135=ORIENTED_EDGE('',*,*,#14810,.T.); #18136=ORIENTED_EDGE('',*,*,#14808,.T.); #18137=ORIENTED_EDGE('',*,*,#14763,.T.); #18138=ORIENTED_EDGE('',*,*,#14765,.T.); #18139=ORIENTED_EDGE('',*,*,#14767,.T.); #18140=ORIENTED_EDGE('',*,*,#14769,.T.); #18141=ORIENTED_EDGE('',*,*,#14771,.T.); #18142=ORIENTED_EDGE('',*,*,#14773,.T.); #18143=ORIENTED_EDGE('',*,*,#14775,.T.); #18144=ORIENTED_EDGE('',*,*,#14777,.T.); #18145=ORIENTED_EDGE('',*,*,#14779,.T.); #18146=ORIENTED_EDGE('',*,*,#14781,.T.); #18147=ORIENTED_EDGE('',*,*,#14783,.T.); #18148=ORIENTED_EDGE('',*,*,#14785,.T.); #18149=ORIENTED_EDGE('',*,*,#14787,.T.); #18150=ORIENTED_EDGE('',*,*,#14789,.T.); #18151=ORIENTED_EDGE('',*,*,#14791,.T.); #18152=ORIENTED_EDGE('',*,*,#14793,.T.); #18153=ORIENTED_EDGE('',*,*,#14795,.T.); #18154=ORIENTED_EDGE('',*,*,#14797,.T.); #18155=ORIENTED_EDGE('',*,*,#14811,.T.); #18156=ORIENTED_EDGE('',*,*,#14812,.T.); #18157=ORIENTED_EDGE('',*,*,#14813,.F.); #18158=ORIENTED_EDGE('',*,*,#14814,.T.); #18159=ORIENTED_EDGE('',*,*,#14813,.T.); #18160=ORIENTED_EDGE('',*,*,#14815,.F.); #18161=ORIENTED_EDGE('',*,*,#14816,.T.); #18162=ORIENTED_EDGE('',*,*,#14817,.T.); #18163=ORIENTED_EDGE('',*,*,#14818,.F.); #18164=ORIENTED_EDGE('',*,*,#14819,.T.); #18165=ORIENTED_EDGE('',*,*,#14820,.T.); #18166=ORIENTED_EDGE('',*,*,#14821,.T.); #18167=ORIENTED_EDGE('',*,*,#14822,.T.); #18168=ORIENTED_EDGE('',*,*,#14823,.T.); #18169=ORIENTED_EDGE('',*,*,#14824,.T.); #18170=ORIENTED_EDGE('',*,*,#14825,.T.); #18171=ORIENTED_EDGE('',*,*,#14826,.T.); #18172=ORIENTED_EDGE('',*,*,#14827,.T.); #18173=ORIENTED_EDGE('',*,*,#14828,.F.); #18174=ORIENTED_EDGE('',*,*,#14827,.F.); #18175=ORIENTED_EDGE('',*,*,#14829,.T.); #18176=ORIENTED_EDGE('',*,*,#14830,.F.); #18177=ORIENTED_EDGE('',*,*,#14829,.F.); #18178=ORIENTED_EDGE('',*,*,#14831,.T.); #18179=ORIENTED_EDGE('',*,*,#14832,.F.); #18180=ORIENTED_EDGE('',*,*,#14831,.F.); #18181=ORIENTED_EDGE('',*,*,#14833,.T.); #18182=ORIENTED_EDGE('',*,*,#14834,.F.); #18183=ORIENTED_EDGE('',*,*,#14833,.F.); #18184=ORIENTED_EDGE('',*,*,#14835,.T.); #18185=ORIENTED_EDGE('',*,*,#14836,.F.); #18186=ORIENTED_EDGE('',*,*,#14835,.F.); #18187=ORIENTED_EDGE('',*,*,#14837,.T.); #18188=ORIENTED_EDGE('',*,*,#14838,.F.); #18189=ORIENTED_EDGE('',*,*,#14837,.F.); #18190=ORIENTED_EDGE('',*,*,#14839,.T.); #18191=ORIENTED_EDGE('',*,*,#14840,.F.); #18192=ORIENTED_EDGE('',*,*,#14839,.F.); #18193=ORIENTED_EDGE('',*,*,#14841,.T.); #18194=ORIENTED_EDGE('',*,*,#14842,.F.); #18195=ORIENTED_EDGE('',*,*,#14841,.F.); #18196=ORIENTED_EDGE('',*,*,#14843,.F.); #18197=ORIENTED_EDGE('',*,*,#14844,.F.); #18198=ORIENTED_EDGE('',*,*,#14845,.T.); #18199=ORIENTED_EDGE('',*,*,#14846,.F.); #18200=ORIENTED_EDGE('',*,*,#14843,.T.); #18201=ORIENTED_EDGE('',*,*,#14845,.F.); #18202=ORIENTED_EDGE('',*,*,#14847,.T.); #18203=ORIENTED_EDGE('',*,*,#14848,.T.); #18204=ORIENTED_EDGE('',*,*,#14849,.F.); #18205=ORIENTED_EDGE('',*,*,#14848,.F.); #18206=ORIENTED_EDGE('',*,*,#14850,.T.); #18207=ORIENTED_EDGE('',*,*,#14851,.F.); #18208=ORIENTED_EDGE('',*,*,#14850,.F.); #18209=ORIENTED_EDGE('',*,*,#14852,.T.); #18210=ORIENTED_EDGE('',*,*,#14853,.F.); #18211=ORIENTED_EDGE('',*,*,#14852,.F.); #18212=ORIENTED_EDGE('',*,*,#14854,.T.); #18213=ORIENTED_EDGE('',*,*,#14855,.F.); #18214=ORIENTED_EDGE('',*,*,#14854,.F.); #18215=ORIENTED_EDGE('',*,*,#14856,.T.); #18216=ORIENTED_EDGE('',*,*,#14857,.T.); #18217=ORIENTED_EDGE('',*,*,#14858,.T.); #18218=ORIENTED_EDGE('',*,*,#14857,.F.); #18219=ORIENTED_EDGE('',*,*,#14859,.F.); #18220=ORIENTED_EDGE('',*,*,#14860,.T.); #18221=ORIENTED_EDGE('',*,*,#14861,.F.); #18222=ORIENTED_EDGE('',*,*,#14860,.F.); #18223=ORIENTED_EDGE('',*,*,#14862,.T.); #18224=ORIENTED_EDGE('',*,*,#14863,.F.); #18225=ORIENTED_EDGE('',*,*,#14862,.F.); #18226=ORIENTED_EDGE('',*,*,#14864,.T.); #18227=ORIENTED_EDGE('',*,*,#14865,.F.); #18228=ORIENTED_EDGE('',*,*,#14864,.F.); #18229=ORIENTED_EDGE('',*,*,#14866,.T.); #18230=ORIENTED_EDGE('',*,*,#14867,.F.); #18231=ORIENTED_EDGE('',*,*,#14866,.F.); #18232=ORIENTED_EDGE('',*,*,#14868,.T.); #18233=ORIENTED_EDGE('',*,*,#14856,.F.); #18234=ORIENTED_EDGE('',*,*,#14858,.F.); #18235=ORIENTED_EDGE('',*,*,#14869,.T.); #18236=ORIENTED_EDGE('',*,*,#14870,.F.); #18237=ORIENTED_EDGE('',*,*,#14869,.F.); #18238=ORIENTED_EDGE('',*,*,#14871,.T.); #18239=ORIENTED_EDGE('',*,*,#14872,.F.); #18240=ORIENTED_EDGE('',*,*,#14871,.F.); #18241=ORIENTED_EDGE('',*,*,#14873,.T.); #18242=ORIENTED_EDGE('',*,*,#14874,.F.); #18243=ORIENTED_EDGE('',*,*,#14873,.F.); #18244=ORIENTED_EDGE('',*,*,#14875,.T.); #18245=ORIENTED_EDGE('',*,*,#14876,.F.); #18246=ORIENTED_EDGE('',*,*,#14875,.F.); #18247=ORIENTED_EDGE('',*,*,#14877,.T.); #18248=ORIENTED_EDGE('',*,*,#14878,.F.); #18249=ORIENTED_EDGE('',*,*,#14877,.F.); #18250=ORIENTED_EDGE('',*,*,#14879,.T.); #18251=ORIENTED_EDGE('',*,*,#14880,.F.); #18252=ORIENTED_EDGE('',*,*,#14879,.F.); #18253=ORIENTED_EDGE('',*,*,#14881,.T.); #18254=ORIENTED_EDGE('',*,*,#14882,.F.); #18255=ORIENTED_EDGE('',*,*,#14881,.F.); #18256=ORIENTED_EDGE('',*,*,#14883,.T.); #18257=ORIENTED_EDGE('',*,*,#14884,.F.); #18258=ORIENTED_EDGE('',*,*,#14883,.F.); #18259=ORIENTED_EDGE('',*,*,#14885,.T.); #18260=ORIENTED_EDGE('',*,*,#14886,.F.); #18261=ORIENTED_EDGE('',*,*,#14885,.F.); #18262=ORIENTED_EDGE('',*,*,#14887,.T.); #18263=ORIENTED_EDGE('',*,*,#14888,.F.); #18264=ORIENTED_EDGE('',*,*,#14887,.F.); #18265=ORIENTED_EDGE('',*,*,#14889,.T.); #18266=ORIENTED_EDGE('',*,*,#14890,.F.); #18267=ORIENTED_EDGE('',*,*,#14889,.F.); #18268=ORIENTED_EDGE('',*,*,#14891,.F.); #18269=ORIENTED_EDGE('',*,*,#14868,.F.); #18270=ORIENTED_EDGE('',*,*,#14892,.T.); #18271=ORIENTED_EDGE('',*,*,#14893,.F.); #18272=ORIENTED_EDGE('',*,*,#14892,.F.); #18273=ORIENTED_EDGE('',*,*,#14894,.T.); #18274=ORIENTED_EDGE('',*,*,#14895,.F.); #18275=ORIENTED_EDGE('',*,*,#14894,.F.); #18276=ORIENTED_EDGE('',*,*,#14896,.T.); #18277=ORIENTED_EDGE('',*,*,#14897,.F.); #18278=ORIENTED_EDGE('',*,*,#14896,.F.); #18279=ORIENTED_EDGE('',*,*,#14898,.T.); #18280=ORIENTED_EDGE('',*,*,#14899,.F.); #18281=ORIENTED_EDGE('',*,*,#14898,.F.); #18282=ORIENTED_EDGE('',*,*,#14900,.T.); #18283=ORIENTED_EDGE('',*,*,#14901,.F.); #18284=ORIENTED_EDGE('',*,*,#14900,.F.); #18285=ORIENTED_EDGE('',*,*,#14902,.T.); #18286=ORIENTED_EDGE('',*,*,#14903,.F.); #18287=ORIENTED_EDGE('',*,*,#14902,.F.); #18288=ORIENTED_EDGE('',*,*,#14904,.T.); #18289=ORIENTED_EDGE('',*,*,#14905,.F.); #18290=ORIENTED_EDGE('',*,*,#14904,.F.); #18291=ORIENTED_EDGE('',*,*,#14906,.T.); #18292=ORIENTED_EDGE('',*,*,#14907,.F.); #18293=ORIENTED_EDGE('',*,*,#14906,.F.); #18294=ORIENTED_EDGE('',*,*,#14908,.T.); #18295=ORIENTED_EDGE('',*,*,#14909,.F.); #18296=ORIENTED_EDGE('',*,*,#14908,.F.); #18297=ORIENTED_EDGE('',*,*,#14910,.T.); #18298=ORIENTED_EDGE('',*,*,#14911,.F.); #18299=ORIENTED_EDGE('',*,*,#14910,.F.); #18300=ORIENTED_EDGE('',*,*,#14912,.T.); #18301=ORIENTED_EDGE('',*,*,#14913,.F.); #18302=ORIENTED_EDGE('',*,*,#14912,.F.); #18303=ORIENTED_EDGE('',*,*,#14914,.T.); #18304=ORIENTED_EDGE('',*,*,#14915,.F.); #18305=ORIENTED_EDGE('',*,*,#14914,.F.); #18306=ORIENTED_EDGE('',*,*,#14916,.T.); #18307=ORIENTED_EDGE('',*,*,#14917,.F.); #18308=ORIENTED_EDGE('',*,*,#14916,.F.); #18309=ORIENTED_EDGE('',*,*,#14918,.T.); #18310=ORIENTED_EDGE('',*,*,#14919,.F.); #18311=ORIENTED_EDGE('',*,*,#14918,.F.); #18312=ORIENTED_EDGE('',*,*,#14920,.T.); #18313=ORIENTED_EDGE('',*,*,#14921,.F.); #18314=ORIENTED_EDGE('',*,*,#14920,.F.); #18315=ORIENTED_EDGE('',*,*,#14922,.T.); #18316=ORIENTED_EDGE('',*,*,#14923,.F.); #18317=ORIENTED_EDGE('',*,*,#14922,.F.); #18318=ORIENTED_EDGE('',*,*,#14924,.T.); #18319=ORIENTED_EDGE('',*,*,#14925,.F.); #18320=ORIENTED_EDGE('',*,*,#14924,.F.); #18321=ORIENTED_EDGE('',*,*,#14926,.T.); #18322=ORIENTED_EDGE('',*,*,#14927,.F.); #18323=ORIENTED_EDGE('',*,*,#14926,.F.); #18324=ORIENTED_EDGE('',*,*,#14928,.T.); #18325=ORIENTED_EDGE('',*,*,#14929,.F.); #18326=ORIENTED_EDGE('',*,*,#14928,.F.); #18327=ORIENTED_EDGE('',*,*,#14930,.T.); #18328=ORIENTED_EDGE('',*,*,#14931,.F.); #18329=ORIENTED_EDGE('',*,*,#14930,.F.); #18330=ORIENTED_EDGE('',*,*,#14932,.T.); #18331=ORIENTED_EDGE('',*,*,#14933,.F.); #18332=ORIENTED_EDGE('',*,*,#14932,.F.); #18333=ORIENTED_EDGE('',*,*,#14934,.T.); #18334=ORIENTED_EDGE('',*,*,#14935,.F.); #18335=ORIENTED_EDGE('',*,*,#14934,.F.); #18336=ORIENTED_EDGE('',*,*,#14936,.T.); #18337=ORIENTED_EDGE('',*,*,#14937,.F.); #18338=ORIENTED_EDGE('',*,*,#14936,.F.); #18339=ORIENTED_EDGE('',*,*,#14938,.T.); #18340=ORIENTED_EDGE('',*,*,#14939,.F.); #18341=ORIENTED_EDGE('',*,*,#14938,.F.); #18342=ORIENTED_EDGE('',*,*,#14940,.T.); #18343=ORIENTED_EDGE('',*,*,#14941,.F.); #18344=ORIENTED_EDGE('',*,*,#14940,.F.); #18345=ORIENTED_EDGE('',*,*,#14942,.T.); #18346=ORIENTED_EDGE('',*,*,#14943,.F.); #18347=ORIENTED_EDGE('',*,*,#14942,.F.); #18348=ORIENTED_EDGE('',*,*,#14944,.T.); #18349=ORIENTED_EDGE('',*,*,#14945,.F.); #18350=ORIENTED_EDGE('',*,*,#14944,.F.); #18351=ORIENTED_EDGE('',*,*,#14946,.T.); #18352=ORIENTED_EDGE('',*,*,#14947,.F.); #18353=ORIENTED_EDGE('',*,*,#14946,.F.); #18354=ORIENTED_EDGE('',*,*,#14948,.F.); #18355=ORIENTED_EDGE('',*,*,#14949,.F.); #18356=ORIENTED_EDGE('',*,*,#14948,.T.); #18357=ORIENTED_EDGE('',*,*,#14950,.F.); #18358=ORIENTED_EDGE('',*,*,#14951,.F.); #18359=ORIENTED_EDGE('',*,*,#14950,.T.); #18360=ORIENTED_EDGE('',*,*,#14952,.F.); #18361=ORIENTED_EDGE('',*,*,#14953,.F.); #18362=ORIENTED_EDGE('',*,*,#14952,.T.); #18363=ORIENTED_EDGE('',*,*,#14954,.F.); #18364=ORIENTED_EDGE('',*,*,#14955,.F.); #18365=ORIENTED_EDGE('',*,*,#14954,.T.); #18366=ORIENTED_EDGE('',*,*,#14956,.F.); #18367=ORIENTED_EDGE('',*,*,#14957,.F.); #18368=ORIENTED_EDGE('',*,*,#14956,.T.); #18369=ORIENTED_EDGE('',*,*,#14958,.F.); #18370=ORIENTED_EDGE('',*,*,#14959,.F.); #18371=ORIENTED_EDGE('',*,*,#14958,.T.); #18372=ORIENTED_EDGE('',*,*,#14960,.F.); #18373=ORIENTED_EDGE('',*,*,#14961,.F.); #18374=ORIENTED_EDGE('',*,*,#14960,.T.); #18375=ORIENTED_EDGE('',*,*,#14962,.F.); #18376=ORIENTED_EDGE('',*,*,#14963,.F.); #18377=ORIENTED_EDGE('',*,*,#14962,.T.); #18378=ORIENTED_EDGE('',*,*,#14964,.F.); #18379=ORIENTED_EDGE('',*,*,#14965,.F.); #18380=ORIENTED_EDGE('',*,*,#14964,.T.); #18381=ORIENTED_EDGE('',*,*,#14966,.F.); #18382=ORIENTED_EDGE('',*,*,#14967,.F.); #18383=ORIENTED_EDGE('',*,*,#14966,.T.); #18384=ORIENTED_EDGE('',*,*,#14968,.F.); #18385=ORIENTED_EDGE('',*,*,#14969,.F.); #18386=ORIENTED_EDGE('',*,*,#14968,.T.); #18387=ORIENTED_EDGE('',*,*,#14970,.F.); #18388=ORIENTED_EDGE('',*,*,#14971,.F.); #18389=ORIENTED_EDGE('',*,*,#14970,.T.); #18390=ORIENTED_EDGE('',*,*,#14972,.F.); #18391=ORIENTED_EDGE('',*,*,#14973,.F.); #18392=ORIENTED_EDGE('',*,*,#14972,.T.); #18393=ORIENTED_EDGE('',*,*,#14974,.F.); #18394=ORIENTED_EDGE('',*,*,#14975,.F.); #18395=ORIENTED_EDGE('',*,*,#14974,.T.); #18396=ORIENTED_EDGE('',*,*,#14976,.F.); #18397=ORIENTED_EDGE('',*,*,#14977,.F.); #18398=ORIENTED_EDGE('',*,*,#14976,.T.); #18399=ORIENTED_EDGE('',*,*,#14978,.T.); #18400=ORIENTED_EDGE('',*,*,#14979,.F.); #18401=ORIENTED_EDGE('',*,*,#14978,.F.); #18402=ORIENTED_EDGE('',*,*,#14980,.T.); #18403=ORIENTED_EDGE('',*,*,#14981,.F.); #18404=ORIENTED_EDGE('',*,*,#14980,.F.); #18405=ORIENTED_EDGE('',*,*,#14982,.T.); #18406=ORIENTED_EDGE('',*,*,#14983,.F.); #18407=ORIENTED_EDGE('',*,*,#14982,.F.); #18408=ORIENTED_EDGE('',*,*,#14984,.T.); #18409=ORIENTED_EDGE('',*,*,#14985,.F.); #18410=ORIENTED_EDGE('',*,*,#14984,.F.); #18411=ORIENTED_EDGE('',*,*,#14986,.T.); #18412=ORIENTED_EDGE('',*,*,#14987,.F.); #18413=ORIENTED_EDGE('',*,*,#14986,.F.); #18414=ORIENTED_EDGE('',*,*,#14988,.T.); #18415=ORIENTED_EDGE('',*,*,#14989,.F.); #18416=ORIENTED_EDGE('',*,*,#14988,.F.); #18417=ORIENTED_EDGE('',*,*,#14990,.T.); #18418=ORIENTED_EDGE('',*,*,#14991,.F.); #18419=ORIENTED_EDGE('',*,*,#14990,.F.); #18420=ORIENTED_EDGE('',*,*,#14992,.T.); #18421=ORIENTED_EDGE('',*,*,#14993,.F.); #18422=ORIENTED_EDGE('',*,*,#14992,.F.); #18423=ORIENTED_EDGE('',*,*,#14994,.T.); #18424=ORIENTED_EDGE('',*,*,#14995,.F.); #18425=ORIENTED_EDGE('',*,*,#14994,.F.); #18426=ORIENTED_EDGE('',*,*,#14996,.T.); #18427=ORIENTED_EDGE('',*,*,#14997,.F.); #18428=ORIENTED_EDGE('',*,*,#14996,.F.); #18429=ORIENTED_EDGE('',*,*,#14998,.T.); #18430=ORIENTED_EDGE('',*,*,#14999,.F.); #18431=ORIENTED_EDGE('',*,*,#14998,.F.); #18432=ORIENTED_EDGE('',*,*,#15000,.T.); #18433=ORIENTED_EDGE('',*,*,#15001,.F.); #18434=ORIENTED_EDGE('',*,*,#15000,.F.); #18435=ORIENTED_EDGE('',*,*,#15002,.T.); #18436=ORIENTED_EDGE('',*,*,#15003,.F.); #18437=ORIENTED_EDGE('',*,*,#15002,.F.); #18438=ORIENTED_EDGE('',*,*,#15004,.T.); #18439=ORIENTED_EDGE('',*,*,#15005,.F.); #18440=ORIENTED_EDGE('',*,*,#15004,.F.); #18441=ORIENTED_EDGE('',*,*,#15006,.T.); #18442=ORIENTED_EDGE('',*,*,#15007,.F.); #18443=ORIENTED_EDGE('',*,*,#15006,.F.); #18444=ORIENTED_EDGE('',*,*,#15008,.T.); #18445=ORIENTED_EDGE('',*,*,#14826,.F.); #18446=ORIENTED_EDGE('',*,*,#15008,.F.); #18447=ORIENTED_EDGE('',*,*,#15009,.T.); #18448=ORIENTED_EDGE('',*,*,#14825,.F.); #18449=ORIENTED_EDGE('',*,*,#15009,.F.); #18450=ORIENTED_EDGE('',*,*,#15010,.T.); #18451=ORIENTED_EDGE('',*,*,#14824,.F.); #18452=ORIENTED_EDGE('',*,*,#15010,.F.); #18453=ORIENTED_EDGE('',*,*,#15011,.T.); #18454=ORIENTED_EDGE('',*,*,#14823,.F.); #18455=ORIENTED_EDGE('',*,*,#15011,.F.); #18456=ORIENTED_EDGE('',*,*,#15012,.T.); #18457=ORIENTED_EDGE('',*,*,#14822,.F.); #18458=ORIENTED_EDGE('',*,*,#15012,.F.); #18459=ORIENTED_EDGE('',*,*,#15013,.T.); #18460=ORIENTED_EDGE('',*,*,#14821,.F.); #18461=ORIENTED_EDGE('',*,*,#15013,.F.); #18462=ORIENTED_EDGE('',*,*,#15014,.T.); #18463=ORIENTED_EDGE('',*,*,#14820,.F.); #18464=ORIENTED_EDGE('',*,*,#15014,.F.); #18465=ORIENTED_EDGE('',*,*,#15015,.T.); #18466=ORIENTED_EDGE('',*,*,#14819,.F.); #18467=ORIENTED_EDGE('',*,*,#15015,.F.); #18468=ORIENTED_EDGE('',*,*,#15016,.T.); #18469=ORIENTED_EDGE('',*,*,#15017,.F.); #18470=ORIENTED_EDGE('',*,*,#15016,.F.); #18471=ORIENTED_EDGE('',*,*,#15018,.T.); #18472=ORIENTED_EDGE('',*,*,#15019,.F.); #18473=ORIENTED_EDGE('',*,*,#15018,.F.); #18474=ORIENTED_EDGE('',*,*,#15020,.T.); #18475=ORIENTED_EDGE('',*,*,#15021,.F.); #18476=ORIENTED_EDGE('',*,*,#15020,.F.); #18477=ORIENTED_EDGE('',*,*,#15022,.T.); #18478=ORIENTED_EDGE('',*,*,#15023,.F.); #18479=ORIENTED_EDGE('',*,*,#15022,.F.); #18480=ORIENTED_EDGE('',*,*,#15024,.T.); #18481=ORIENTED_EDGE('',*,*,#15025,.F.); #18482=ORIENTED_EDGE('',*,*,#15024,.F.); #18483=ORIENTED_EDGE('',*,*,#15026,.T.); #18484=ORIENTED_EDGE('',*,*,#15027,.F.); #18485=ORIENTED_EDGE('',*,*,#15026,.F.); #18486=ORIENTED_EDGE('',*,*,#15028,.T.); #18487=ORIENTED_EDGE('',*,*,#15029,.F.); #18488=ORIENTED_EDGE('',*,*,#15028,.F.); #18489=ORIENTED_EDGE('',*,*,#15030,.T.); #18490=ORIENTED_EDGE('',*,*,#15031,.F.); #18491=ORIENTED_EDGE('',*,*,#15030,.F.); #18492=ORIENTED_EDGE('',*,*,#15032,.T.); #18493=ORIENTED_EDGE('',*,*,#15033,.F.); #18494=ORIENTED_EDGE('',*,*,#15032,.F.); #18495=ORIENTED_EDGE('',*,*,#15034,.T.); #18496=ORIENTED_EDGE('',*,*,#15035,.F.); #18497=ORIENTED_EDGE('',*,*,#15034,.F.); #18498=ORIENTED_EDGE('',*,*,#15036,.T.); #18499=ORIENTED_EDGE('',*,*,#15037,.F.); #18500=ORIENTED_EDGE('',*,*,#15036,.F.); #18501=ORIENTED_EDGE('',*,*,#15038,.T.); #18502=ORIENTED_EDGE('',*,*,#15039,.F.); #18503=ORIENTED_EDGE('',*,*,#15038,.F.); #18504=ORIENTED_EDGE('',*,*,#15040,.T.); #18505=ORIENTED_EDGE('',*,*,#15041,.F.); #18506=ORIENTED_EDGE('',*,*,#15040,.F.); #18507=ORIENTED_EDGE('',*,*,#15042,.T.); #18508=ORIENTED_EDGE('',*,*,#15043,.F.); #18509=ORIENTED_EDGE('',*,*,#15042,.F.); #18510=ORIENTED_EDGE('',*,*,#15044,.T.); #18511=ORIENTED_EDGE('',*,*,#15045,.F.); #18512=ORIENTED_EDGE('',*,*,#15044,.F.); #18513=ORIENTED_EDGE('',*,*,#14846,.T.); #18514=ORIENTED_EDGE('',*,*,#14847,.F.); #18515=ORIENTED_EDGE('',*,*,#15046,.F.); #18516=ORIENTED_EDGE('',*,*,#15047,.F.); #18517=ORIENTED_EDGE('',*,*,#15048,.F.); #18518=ORIENTED_EDGE('',*,*,#14817,.F.); #18519=ORIENTED_EDGE('',*,*,#15049,.F.); #18520=ORIENTED_EDGE('',*,*,#14991,.T.); #18521=ORIENTED_EDGE('',*,*,#14993,.T.); #18522=ORIENTED_EDGE('',*,*,#14995,.T.); #18523=ORIENTED_EDGE('',*,*,#14997,.T.); #18524=ORIENTED_EDGE('',*,*,#14999,.T.); #18525=ORIENTED_EDGE('',*,*,#15001,.T.); #18526=ORIENTED_EDGE('',*,*,#15003,.T.); #18527=ORIENTED_EDGE('',*,*,#15005,.T.); #18528=ORIENTED_EDGE('',*,*,#15007,.T.); #18529=ORIENTED_EDGE('',*,*,#15048,.T.); #18530=ORIENTED_EDGE('',*,*,#15050,.T.); #18531=ORIENTED_EDGE('',*,*,#15051,.T.); #18532=ORIENTED_EDGE('',*,*,#15052,.T.); #18533=ORIENTED_EDGE('',*,*,#15053,.F.); #18534=ORIENTED_EDGE('',*,*,#15054,.F.); #18535=ORIENTED_EDGE('',*,*,#14814,.F.); #18536=ORIENTED_EDGE('',*,*,#14818,.T.); #18537=ORIENTED_EDGE('',*,*,#15055,.F.); #18538=ORIENTED_EDGE('',*,*,#15056,.T.); #18539=ORIENTED_EDGE('',*,*,#15057,.F.); #18540=ORIENTED_EDGE('',*,*,#15058,.T.); #18541=ORIENTED_EDGE('',*,*,#15059,.T.); #18542=ORIENTED_EDGE('',*,*,#15060,.T.); #18543=ORIENTED_EDGE('',*,*,#15061,.T.); #18544=ORIENTED_EDGE('',*,*,#15062,.T.); #18545=ORIENTED_EDGE('',*,*,#15063,.T.); #18546=ORIENTED_EDGE('',*,*,#15064,.T.); #18547=ORIENTED_EDGE('',*,*,#15065,.T.); #18548=ORIENTED_EDGE('',*,*,#15066,.T.); #18549=ORIENTED_EDGE('',*,*,#15047,.T.); #18550=ORIENTED_EDGE('',*,*,#15067,.F.); #18551=ORIENTED_EDGE('',*,*,#15068,.F.); #18552=ORIENTED_EDGE('',*,*,#15050,.F.); #18553=ORIENTED_EDGE('',*,*,#14979,.T.); #18554=ORIENTED_EDGE('',*,*,#14981,.T.); #18555=ORIENTED_EDGE('',*,*,#14983,.T.); #18556=ORIENTED_EDGE('',*,*,#14985,.T.); #18557=ORIENTED_EDGE('',*,*,#14987,.T.); #18558=ORIENTED_EDGE('',*,*,#14989,.T.); #18559=ORIENTED_EDGE('',*,*,#15068,.T.); #18560=ORIENTED_EDGE('',*,*,#15069,.T.); #18561=ORIENTED_EDGE('',*,*,#15070,.T.); #18562=ORIENTED_EDGE('',*,*,#15071,.T.); #18563=ORIENTED_EDGE('',*,*,#15072,.T.); #18564=ORIENTED_EDGE('',*,*,#15051,.F.); #18565=ORIENTED_EDGE('',*,*,#14870,.T.); #18566=ORIENTED_EDGE('',*,*,#14872,.T.); #18567=ORIENTED_EDGE('',*,*,#14874,.T.); #18568=ORIENTED_EDGE('',*,*,#14876,.T.); #18569=ORIENTED_EDGE('',*,*,#14878,.T.); #18570=ORIENTED_EDGE('',*,*,#14880,.T.); #18571=ORIENTED_EDGE('',*,*,#14882,.T.); #18572=ORIENTED_EDGE('',*,*,#14884,.T.); #18573=ORIENTED_EDGE('',*,*,#14886,.T.); #18574=ORIENTED_EDGE('',*,*,#14888,.T.); #18575=ORIENTED_EDGE('',*,*,#14890,.T.); #18576=ORIENTED_EDGE('',*,*,#14891,.T.); #18577=ORIENTED_EDGE('',*,*,#14893,.T.); #18578=ORIENTED_EDGE('',*,*,#14895,.T.); #18579=ORIENTED_EDGE('',*,*,#14897,.T.); #18580=ORIENTED_EDGE('',*,*,#14899,.T.); #18581=ORIENTED_EDGE('',*,*,#14901,.T.); #18582=ORIENTED_EDGE('',*,*,#14903,.T.); #18583=ORIENTED_EDGE('',*,*,#14905,.T.); #18584=ORIENTED_EDGE('',*,*,#14907,.T.); #18585=ORIENTED_EDGE('',*,*,#14909,.T.); #18586=ORIENTED_EDGE('',*,*,#14911,.T.); #18587=ORIENTED_EDGE('',*,*,#14913,.T.); #18588=ORIENTED_EDGE('',*,*,#14915,.T.); #18589=ORIENTED_EDGE('',*,*,#14917,.T.); #18590=ORIENTED_EDGE('',*,*,#14919,.T.); #18591=ORIENTED_EDGE('',*,*,#14921,.T.); #18592=ORIENTED_EDGE('',*,*,#14923,.T.); #18593=ORIENTED_EDGE('',*,*,#14925,.T.); #18594=ORIENTED_EDGE('',*,*,#14927,.T.); #18595=ORIENTED_EDGE('',*,*,#14929,.T.); #18596=ORIENTED_EDGE('',*,*,#14931,.T.); #18597=ORIENTED_EDGE('',*,*,#14933,.T.); #18598=ORIENTED_EDGE('',*,*,#14935,.T.); #18599=ORIENTED_EDGE('',*,*,#14937,.T.); #18600=ORIENTED_EDGE('',*,*,#14939,.T.); #18601=ORIENTED_EDGE('',*,*,#14941,.T.); #18602=ORIENTED_EDGE('',*,*,#14943,.T.); #18603=ORIENTED_EDGE('',*,*,#14945,.T.); #18604=ORIENTED_EDGE('',*,*,#14947,.T.); #18605=ORIENTED_EDGE('',*,*,#15017,.T.); #18606=ORIENTED_EDGE('',*,*,#15019,.T.); #18607=ORIENTED_EDGE('',*,*,#15021,.T.); #18608=ORIENTED_EDGE('',*,*,#15023,.T.); #18609=ORIENTED_EDGE('',*,*,#15025,.T.); #18610=ORIENTED_EDGE('',*,*,#15027,.T.); #18611=ORIENTED_EDGE('',*,*,#15029,.T.); #18612=ORIENTED_EDGE('',*,*,#15031,.T.); #18613=ORIENTED_EDGE('',*,*,#15033,.T.); #18614=ORIENTED_EDGE('',*,*,#15035,.T.); #18615=ORIENTED_EDGE('',*,*,#15037,.T.); #18616=ORIENTED_EDGE('',*,*,#15039,.T.); #18617=ORIENTED_EDGE('',*,*,#15041,.T.); #18618=ORIENTED_EDGE('',*,*,#15043,.T.); #18619=ORIENTED_EDGE('',*,*,#15045,.T.); #18620=ORIENTED_EDGE('',*,*,#15046,.T.); #18621=ORIENTED_EDGE('',*,*,#15067,.T.); #18622=ORIENTED_EDGE('',*,*,#15073,.T.); #18623=ORIENTED_EDGE('',*,*,#15074,.F.); #18624=ORIENTED_EDGE('',*,*,#15069,.F.); #18625=ORIENTED_EDGE('',*,*,#14967,.T.); #18626=ORIENTED_EDGE('',*,*,#14969,.T.); #18627=ORIENTED_EDGE('',*,*,#14971,.T.); #18628=ORIENTED_EDGE('',*,*,#14973,.T.); #18629=ORIENTED_EDGE('',*,*,#14975,.T.); #18630=ORIENTED_EDGE('',*,*,#14977,.T.); #18631=ORIENTED_EDGE('',*,*,#15049,.T.); #18632=ORIENTED_EDGE('',*,*,#14816,.F.); #18633=ORIENTED_EDGE('',*,*,#15075,.F.); #18634=ORIENTED_EDGE('',*,*,#15073,.F.); #18635=ORIENTED_EDGE('',*,*,#14949,.T.); #18636=ORIENTED_EDGE('',*,*,#14951,.T.); #18637=ORIENTED_EDGE('',*,*,#14953,.T.); #18638=ORIENTED_EDGE('',*,*,#14955,.T.); #18639=ORIENTED_EDGE('',*,*,#14957,.T.); #18640=ORIENTED_EDGE('',*,*,#14959,.T.); #18641=ORIENTED_EDGE('',*,*,#14961,.T.); #18642=ORIENTED_EDGE('',*,*,#14963,.T.); #18643=ORIENTED_EDGE('',*,*,#14965,.T.); #18644=ORIENTED_EDGE('',*,*,#15075,.T.); #18645=ORIENTED_EDGE('',*,*,#14815,.T.); #18646=ORIENTED_EDGE('',*,*,#14812,.F.); #18647=ORIENTED_EDGE('',*,*,#15076,.F.); #18648=ORIENTED_EDGE('',*,*,#15077,.F.); #18649=ORIENTED_EDGE('',*,*,#15078,.T.); #18650=ORIENTED_EDGE('',*,*,#15070,.F.); #18651=ORIENTED_EDGE('',*,*,#15074,.T.); #18652=ORIENTED_EDGE('',*,*,#15079,.F.); #18653=ORIENTED_EDGE('',*,*,#15080,.T.); #18654=ORIENTED_EDGE('',*,*,#15081,.T.); #18655=ORIENTED_EDGE('',*,*,#15082,.T.); #18656=ORIENTED_EDGE('',*,*,#15083,.T.); #18657=ORIENTED_EDGE('',*,*,#15084,.T.); #18658=ORIENTED_EDGE('',*,*,#15085,.T.); #18659=ORIENTED_EDGE('',*,*,#15086,.T.); #18660=ORIENTED_EDGE('',*,*,#15087,.T.); #18661=ORIENTED_EDGE('',*,*,#15088,.T.); #18662=ORIENTED_EDGE('',*,*,#15089,.F.); #18663=ORIENTED_EDGE('',*,*,#15090,.T.); #18664=ORIENTED_EDGE('',*,*,#15079,.T.); #18665=ORIENTED_EDGE('',*,*,#15091,.T.); #18666=ORIENTED_EDGE('',*,*,#15055,.T.); #18667=ORIENTED_EDGE('',*,*,#15092,.T.); #18668=ORIENTED_EDGE('',*,*,#15092,.F.); #18669=ORIENTED_EDGE('',*,*,#15066,.F.); #18670=ORIENTED_EDGE('',*,*,#15093,.F.); #18671=ORIENTED_EDGE('',*,*,#15080,.F.); #18672=ORIENTED_EDGE('',*,*,#15057,.T.); #18673=ORIENTED_EDGE('',*,*,#15094,.T.); #18674=ORIENTED_EDGE('',*,*,#15089,.T.); #18675=ORIENTED_EDGE('',*,*,#15095,.T.); #18676=ORIENTED_EDGE('',*,*,#15091,.F.); #18677=ORIENTED_EDGE('',*,*,#15090,.F.); #18678=ORIENTED_EDGE('',*,*,#15094,.F.); #18679=ORIENTED_EDGE('',*,*,#15056,.F.); #18680=ORIENTED_EDGE('',*,*,#15081,.F.); #18681=ORIENTED_EDGE('',*,*,#15093,.T.); #18682=ORIENTED_EDGE('',*,*,#15065,.F.); #18683=ORIENTED_EDGE('',*,*,#15096,.F.); #18684=ORIENTED_EDGE('',*,*,#15085,.F.); #18685=ORIENTED_EDGE('',*,*,#15097,.T.); #18686=ORIENTED_EDGE('',*,*,#15061,.F.); #18687=ORIENTED_EDGE('',*,*,#15098,.F.); #18688=ORIENTED_EDGE('',*,*,#15087,.F.); #18689=ORIENTED_EDGE('',*,*,#15099,.T.); #18690=ORIENTED_EDGE('',*,*,#15059,.F.); #18691=ORIENTED_EDGE('',*,*,#15100,.T.); #18692=ORIENTED_EDGE('',*,*,#15083,.F.); #18693=ORIENTED_EDGE('',*,*,#15101,.T.); #18694=ORIENTED_EDGE('',*,*,#15063,.F.); #18695=ORIENTED_EDGE('',*,*,#15102,.F.); #18696=ORIENTED_EDGE('',*,*,#15097,.F.); #18697=ORIENTED_EDGE('',*,*,#15084,.F.); #18698=ORIENTED_EDGE('',*,*,#15102,.T.); #18699=ORIENTED_EDGE('',*,*,#15062,.F.); #18700=ORIENTED_EDGE('',*,*,#15072,.F.); #18701=ORIENTED_EDGE('',*,*,#15103,.T.); #18702=ORIENTED_EDGE('',*,*,#15104,.F.); #18703=ORIENTED_EDGE('',*,*,#15052,.F.); #18704=ORIENTED_EDGE('',*,*,#14828,.T.); #18705=ORIENTED_EDGE('',*,*,#14830,.T.); #18706=ORIENTED_EDGE('',*,*,#14832,.T.); #18707=ORIENTED_EDGE('',*,*,#14834,.T.); #18708=ORIENTED_EDGE('',*,*,#14836,.T.); #18709=ORIENTED_EDGE('',*,*,#14838,.T.); #18710=ORIENTED_EDGE('',*,*,#14840,.T.); #18711=ORIENTED_EDGE('',*,*,#14842,.T.); #18712=ORIENTED_EDGE('',*,*,#14844,.T.); #18713=ORIENTED_EDGE('',*,*,#15071,.F.); #18714=ORIENTED_EDGE('',*,*,#15078,.F.); #18715=ORIENTED_EDGE('',*,*,#15105,.F.); #18716=ORIENTED_EDGE('',*,*,#15106,.F.); #18717=ORIENTED_EDGE('',*,*,#15107,.F.); #18718=ORIENTED_EDGE('',*,*,#15103,.F.); #18719=ORIENTED_EDGE('',*,*,#14849,.T.); #18720=ORIENTED_EDGE('',*,*,#14851,.T.); #18721=ORIENTED_EDGE('',*,*,#14853,.T.); #18722=ORIENTED_EDGE('',*,*,#14855,.T.); #18723=ORIENTED_EDGE('',*,*,#14859,.T.); #18724=ORIENTED_EDGE('',*,*,#14861,.T.); #18725=ORIENTED_EDGE('',*,*,#14863,.T.); #18726=ORIENTED_EDGE('',*,*,#14865,.T.); #18727=ORIENTED_EDGE('',*,*,#14867,.T.); #18728=ORIENTED_EDGE('',*,*,#15107,.T.); #18729=ORIENTED_EDGE('',*,*,#15108,.F.); #18730=ORIENTED_EDGE('',*,*,#15109,.F.); #18731=ORIENTED_EDGE('',*,*,#15053,.T.); #18732=ORIENTED_EDGE('',*,*,#15104,.T.); #18733=ORIENTED_EDGE('',*,*,#15106,.T.); #18734=ORIENTED_EDGE('',*,*,#15110,.T.); #18735=ORIENTED_EDGE('',*,*,#15108,.T.); #18736=ORIENTED_EDGE('',*,*,#15054,.T.); #18737=ORIENTED_EDGE('',*,*,#15109,.T.); #18738=ORIENTED_EDGE('',*,*,#15111,.F.); #18739=ORIENTED_EDGE('',*,*,#15076,.T.); #18740=ORIENTED_EDGE('',*,*,#14811,.F.); #18741=ORIENTED_EDGE('',*,*,#15105,.T.); #18742=ORIENTED_EDGE('',*,*,#15077,.T.); #18743=ORIENTED_EDGE('',*,*,#15111,.T.); #18744=ORIENTED_EDGE('',*,*,#15110,.F.); #18745=ORIENTED_EDGE('',*,*,#15096,.T.); #18746=ORIENTED_EDGE('',*,*,#15064,.F.); #18747=ORIENTED_EDGE('',*,*,#15101,.F.); #18748=ORIENTED_EDGE('',*,*,#15082,.F.); #18749=ORIENTED_EDGE('',*,*,#15098,.T.); #18750=ORIENTED_EDGE('',*,*,#15060,.F.); #18751=ORIENTED_EDGE('',*,*,#15099,.F.); #18752=ORIENTED_EDGE('',*,*,#15086,.F.); #18753=ORIENTED_EDGE('',*,*,#15095,.F.); #18754=ORIENTED_EDGE('',*,*,#15088,.F.); #18755=ORIENTED_EDGE('',*,*,#15100,.F.); #18756=ORIENTED_EDGE('',*,*,#15058,.F.); #18757=ORIENTED_EDGE('',*,*,#15112,.T.); #18758=ORIENTED_EDGE('',*,*,#15113,.T.); #18759=ORIENTED_EDGE('',*,*,#15114,.T.); #18760=ORIENTED_EDGE('',*,*,#15115,.T.); #18761=ORIENTED_EDGE('',*,*,#15116,.T.); #18762=ORIENTED_EDGE('',*,*,#15117,.T.); #18763=ORIENTED_EDGE('',*,*,#15113,.F.); #18764=ORIENTED_EDGE('',*,*,#15118,.T.); #18765=ORIENTED_EDGE('',*,*,#15119,.T.); #18766=ORIENTED_EDGE('',*,*,#15120,.T.); #18767=ORIENTED_EDGE('',*,*,#15116,.F.); #18768=ORIENTED_EDGE('',*,*,#15121,.T.); #18769=ORIENTED_EDGE('',*,*,#15122,.T.); #18770=ORIENTED_EDGE('',*,*,#15123,.T.); #18771=ORIENTED_EDGE('',*,*,#15119,.F.); #18772=ORIENTED_EDGE('',*,*,#15124,.T.); #18773=ORIENTED_EDGE('',*,*,#15125,.T.); #18774=ORIENTED_EDGE('',*,*,#15126,.T.); #18775=ORIENTED_EDGE('',*,*,#15122,.F.); #18776=ORIENTED_EDGE('',*,*,#15127,.T.); #18777=ORIENTED_EDGE('',*,*,#15128,.T.); #18778=ORIENTED_EDGE('',*,*,#15129,.T.); #18779=ORIENTED_EDGE('',*,*,#15125,.F.); #18780=ORIENTED_EDGE('',*,*,#15130,.T.); #18781=ORIENTED_EDGE('',*,*,#15131,.T.); #18782=ORIENTED_EDGE('',*,*,#15132,.T.); #18783=ORIENTED_EDGE('',*,*,#15128,.F.); #18784=ORIENTED_EDGE('',*,*,#15133,.T.); #18785=ORIENTED_EDGE('',*,*,#15134,.T.); #18786=ORIENTED_EDGE('',*,*,#15135,.T.); #18787=ORIENTED_EDGE('',*,*,#15131,.F.); #18788=ORIENTED_EDGE('',*,*,#15136,.T.); #18789=ORIENTED_EDGE('',*,*,#15137,.T.); #18790=ORIENTED_EDGE('',*,*,#15138,.T.); #18791=ORIENTED_EDGE('',*,*,#15134,.F.); #18792=ORIENTED_EDGE('',*,*,#15139,.T.); #18793=ORIENTED_EDGE('',*,*,#15140,.T.); #18794=ORIENTED_EDGE('',*,*,#15141,.T.); #18795=ORIENTED_EDGE('',*,*,#15137,.F.); #18796=ORIENTED_EDGE('',*,*,#15142,.T.); #18797=ORIENTED_EDGE('',*,*,#15143,.T.); #18798=ORIENTED_EDGE('',*,*,#15144,.T.); #18799=ORIENTED_EDGE('',*,*,#15140,.F.); #18800=ORIENTED_EDGE('',*,*,#15145,.T.); #18801=ORIENTED_EDGE('',*,*,#15146,.T.); #18802=ORIENTED_EDGE('',*,*,#15147,.T.); #18803=ORIENTED_EDGE('',*,*,#15143,.F.); #18804=ORIENTED_EDGE('',*,*,#15148,.T.); #18805=ORIENTED_EDGE('',*,*,#15149,.T.); #18806=ORIENTED_EDGE('',*,*,#15146,.F.); #18807=ORIENTED_EDGE('',*,*,#15150,.T.); #18808=ORIENTED_EDGE('',*,*,#15115,.F.); #18809=ORIENTED_EDGE('',*,*,#15133,.F.); #18810=ORIENTED_EDGE('',*,*,#15151,.T.); #18811=ORIENTED_EDGE('',*,*,#15152,.T.); #18812=ORIENTED_EDGE('',*,*,#15153,.T.); #18813=ORIENTED_EDGE('',*,*,#15130,.F.); #18814=ORIENTED_EDGE('',*,*,#15154,.F.); #18815=ORIENTED_EDGE('',*,*,#15155,.F.); #18816=ORIENTED_EDGE('',*,*,#15151,.F.); #18817=ORIENTED_EDGE('',*,*,#15127,.F.); #18818=ORIENTED_EDGE('',*,*,#15156,.T.); #18819=ORIENTED_EDGE('',*,*,#15157,.T.); #18820=ORIENTED_EDGE('',*,*,#15154,.T.); #18821=ORIENTED_EDGE('',*,*,#15124,.F.); #18822=ORIENTED_EDGE('',*,*,#15158,.F.); #18823=ORIENTED_EDGE('',*,*,#15159,.F.); #18824=ORIENTED_EDGE('',*,*,#15156,.F.); #18825=ORIENTED_EDGE('',*,*,#15121,.F.); #18826=ORIENTED_EDGE('',*,*,#15160,.T.); #18827=ORIENTED_EDGE('',*,*,#15161,.T.); #18828=ORIENTED_EDGE('',*,*,#15158,.T.); #18829=ORIENTED_EDGE('',*,*,#15118,.F.); #18830=ORIENTED_EDGE('',*,*,#15162,.F.); #18831=ORIENTED_EDGE('',*,*,#15163,.F.); #18832=ORIENTED_EDGE('',*,*,#15160,.F.); #18833=ORIENTED_EDGE('',*,*,#15112,.F.); #18834=ORIENTED_EDGE('',*,*,#15164,.F.); #18835=ORIENTED_EDGE('',*,*,#15165,.T.); #18836=ORIENTED_EDGE('',*,*,#15162,.T.); #18837=ORIENTED_EDGE('',*,*,#15114,.F.); #18838=ORIENTED_EDGE('',*,*,#15117,.F.); #18839=ORIENTED_EDGE('',*,*,#15120,.F.); #18840=ORIENTED_EDGE('',*,*,#15123,.F.); #18841=ORIENTED_EDGE('',*,*,#15126,.F.); #18842=ORIENTED_EDGE('',*,*,#15129,.F.); #18843=ORIENTED_EDGE('',*,*,#15132,.F.); #18844=ORIENTED_EDGE('',*,*,#15135,.F.); #18845=ORIENTED_EDGE('',*,*,#15138,.F.); #18846=ORIENTED_EDGE('',*,*,#15141,.F.); #18847=ORIENTED_EDGE('',*,*,#15144,.F.); #18848=ORIENTED_EDGE('',*,*,#15147,.F.); #18849=ORIENTED_EDGE('',*,*,#15149,.F.); #18850=ORIENTED_EDGE('',*,*,#15166,.T.); #18851=ORIENTED_EDGE('',*,*,#15167,.F.); #18852=ORIENTED_EDGE('',*,*,#15166,.F.); #18853=ORIENTED_EDGE('',*,*,#15168,.T.); #18854=ORIENTED_EDGE('',*,*,#15169,.F.); #18855=ORIENTED_EDGE('',*,*,#15168,.F.); #18856=ORIENTED_EDGE('',*,*,#15170,.T.); #18857=ORIENTED_EDGE('',*,*,#15171,.F.); #18858=ORIENTED_EDGE('',*,*,#15170,.F.); #18859=ORIENTED_EDGE('',*,*,#15172,.T.); #18860=ORIENTED_EDGE('',*,*,#15173,.F.); #18861=ORIENTED_EDGE('',*,*,#15172,.F.); #18862=ORIENTED_EDGE('',*,*,#15174,.T.); #18863=ORIENTED_EDGE('',*,*,#15175,.F.); #18864=ORIENTED_EDGE('',*,*,#15174,.F.); #18865=ORIENTED_EDGE('',*,*,#15176,.T.); #18866=ORIENTED_EDGE('',*,*,#15177,.F.); #18867=ORIENTED_EDGE('',*,*,#15176,.F.); #18868=ORIENTED_EDGE('',*,*,#15178,.T.); #18869=ORIENTED_EDGE('',*,*,#15179,.F.); #18870=ORIENTED_EDGE('',*,*,#15178,.F.); #18871=ORIENTED_EDGE('',*,*,#15180,.T.); #18872=ORIENTED_EDGE('',*,*,#15181,.F.); #18873=ORIENTED_EDGE('',*,*,#15180,.F.); #18874=ORIENTED_EDGE('',*,*,#15182,.T.); #18875=ORIENTED_EDGE('',*,*,#15183,.F.); #18876=ORIENTED_EDGE('',*,*,#15182,.F.); #18877=ORIENTED_EDGE('',*,*,#15184,.T.); #18878=ORIENTED_EDGE('',*,*,#15185,.F.); #18879=ORIENTED_EDGE('',*,*,#15184,.F.); #18880=ORIENTED_EDGE('',*,*,#15186,.T.); #18881=ORIENTED_EDGE('',*,*,#15187,.F.); #18882=ORIENTED_EDGE('',*,*,#15186,.F.); #18883=ORIENTED_EDGE('',*,*,#15188,.T.); #18884=ORIENTED_EDGE('',*,*,#15189,.F.); #18885=ORIENTED_EDGE('',*,*,#15188,.F.); #18886=ORIENTED_EDGE('',*,*,#15190,.F.); #18887=ORIENTED_EDGE('',*,*,#15191,.F.); #18888=ORIENTED_EDGE('',*,*,#15192,.T.); #18889=ORIENTED_EDGE('',*,*,#15193,.F.); #18890=ORIENTED_EDGE('',*,*,#15190,.T.); #18891=ORIENTED_EDGE('',*,*,#15192,.F.); #18892=ORIENTED_EDGE('',*,*,#15194,.T.); #18893=ORIENTED_EDGE('',*,*,#15195,.T.); #18894=ORIENTED_EDGE('',*,*,#15196,.F.); #18895=ORIENTED_EDGE('',*,*,#15195,.F.); #18896=ORIENTED_EDGE('',*,*,#15197,.T.); #18897=ORIENTED_EDGE('',*,*,#15198,.F.); #18898=ORIENTED_EDGE('',*,*,#15197,.F.); #18899=ORIENTED_EDGE('',*,*,#15199,.T.); #18900=ORIENTED_EDGE('',*,*,#15200,.F.); #18901=ORIENTED_EDGE('',*,*,#15199,.F.); #18902=ORIENTED_EDGE('',*,*,#15201,.T.); #18903=ORIENTED_EDGE('',*,*,#15202,.F.); #18904=ORIENTED_EDGE('',*,*,#15201,.F.); #18905=ORIENTED_EDGE('',*,*,#15203,.T.); #18906=ORIENTED_EDGE('',*,*,#15204,.F.); #18907=ORIENTED_EDGE('',*,*,#15203,.F.); #18908=ORIENTED_EDGE('',*,*,#15205,.T.); #18909=ORIENTED_EDGE('',*,*,#15206,.F.); #18910=ORIENTED_EDGE('',*,*,#15205,.F.); #18911=ORIENTED_EDGE('',*,*,#15207,.T.); #18912=ORIENTED_EDGE('',*,*,#15208,.F.); #18913=ORIENTED_EDGE('',*,*,#15207,.F.); #18914=ORIENTED_EDGE('',*,*,#15209,.T.); #18915=ORIENTED_EDGE('',*,*,#15210,.F.); #18916=ORIENTED_EDGE('',*,*,#15209,.F.); #18917=ORIENTED_EDGE('',*,*,#15211,.T.); #18918=ORIENTED_EDGE('',*,*,#15212,.F.); #18919=ORIENTED_EDGE('',*,*,#15211,.F.); #18920=ORIENTED_EDGE('',*,*,#15213,.T.); #18921=ORIENTED_EDGE('',*,*,#15214,.F.); #18922=ORIENTED_EDGE('',*,*,#15213,.F.); #18923=ORIENTED_EDGE('',*,*,#15215,.T.); #18924=ORIENTED_EDGE('',*,*,#15216,.F.); #18925=ORIENTED_EDGE('',*,*,#15215,.F.); #18926=ORIENTED_EDGE('',*,*,#15217,.T.); #18927=ORIENTED_EDGE('',*,*,#15218,.F.); #18928=ORIENTED_EDGE('',*,*,#15217,.F.); #18929=ORIENTED_EDGE('',*,*,#15219,.T.); #18930=ORIENTED_EDGE('',*,*,#15220,.F.); #18931=ORIENTED_EDGE('',*,*,#15219,.F.); #18932=ORIENTED_EDGE('',*,*,#15221,.T.); #18933=ORIENTED_EDGE('',*,*,#15222,.F.); #18934=ORIENTED_EDGE('',*,*,#15221,.F.); #18935=ORIENTED_EDGE('',*,*,#15223,.T.); #18936=ORIENTED_EDGE('',*,*,#15224,.F.); #18937=ORIENTED_EDGE('',*,*,#15223,.F.); #18938=ORIENTED_EDGE('',*,*,#15225,.T.); #18939=ORIENTED_EDGE('',*,*,#15226,.F.); #18940=ORIENTED_EDGE('',*,*,#15225,.F.); #18941=ORIENTED_EDGE('',*,*,#15227,.T.); #18942=ORIENTED_EDGE('',*,*,#15228,.F.); #18943=ORIENTED_EDGE('',*,*,#15227,.F.); #18944=ORIENTED_EDGE('',*,*,#15229,.T.); #18945=ORIENTED_EDGE('',*,*,#15230,.F.); #18946=ORIENTED_EDGE('',*,*,#15229,.F.); #18947=ORIENTED_EDGE('',*,*,#15231,.T.); #18948=ORIENTED_EDGE('',*,*,#15232,.F.); #18949=ORIENTED_EDGE('',*,*,#15231,.F.); #18950=ORIENTED_EDGE('',*,*,#15233,.T.); #18951=ORIENTED_EDGE('',*,*,#15234,.F.); #18952=ORIENTED_EDGE('',*,*,#15233,.F.); #18953=ORIENTED_EDGE('',*,*,#15235,.T.); #18954=ORIENTED_EDGE('',*,*,#15236,.F.); #18955=ORIENTED_EDGE('',*,*,#15235,.F.); #18956=ORIENTED_EDGE('',*,*,#15237,.T.); #18957=ORIENTED_EDGE('',*,*,#15238,.F.); #18958=ORIENTED_EDGE('',*,*,#15237,.F.); #18959=ORIENTED_EDGE('',*,*,#15239,.T.); #18960=ORIENTED_EDGE('',*,*,#15240,.F.); #18961=ORIENTED_EDGE('',*,*,#15239,.F.); #18962=ORIENTED_EDGE('',*,*,#15241,.T.); #18963=ORIENTED_EDGE('',*,*,#15242,.F.); #18964=ORIENTED_EDGE('',*,*,#15241,.F.); #18965=ORIENTED_EDGE('',*,*,#15243,.T.); #18966=ORIENTED_EDGE('',*,*,#15244,.F.); #18967=ORIENTED_EDGE('',*,*,#15243,.F.); #18968=ORIENTED_EDGE('',*,*,#15245,.T.); #18969=ORIENTED_EDGE('',*,*,#15246,.F.); #18970=ORIENTED_EDGE('',*,*,#15245,.F.); #18971=ORIENTED_EDGE('',*,*,#15247,.T.); #18972=ORIENTED_EDGE('',*,*,#15248,.F.); #18973=ORIENTED_EDGE('',*,*,#15247,.F.); #18974=ORIENTED_EDGE('',*,*,#15249,.T.); #18975=ORIENTED_EDGE('',*,*,#15250,.F.); #18976=ORIENTED_EDGE('',*,*,#15249,.F.); #18977=ORIENTED_EDGE('',*,*,#15251,.T.); #18978=ORIENTED_EDGE('',*,*,#15252,.F.); #18979=ORIENTED_EDGE('',*,*,#15251,.F.); #18980=ORIENTED_EDGE('',*,*,#15253,.T.); #18981=ORIENTED_EDGE('',*,*,#15254,.F.); #18982=ORIENTED_EDGE('',*,*,#15253,.F.); #18983=ORIENTED_EDGE('',*,*,#15193,.T.); #18984=ORIENTED_EDGE('',*,*,#15194,.F.); #18985=ORIENTED_EDGE('',*,*,#15255,.F.); #18986=ORIENTED_EDGE('',*,*,#15256,.F.); #18987=ORIENTED_EDGE('',*,*,#15257,.F.); #18988=ORIENTED_EDGE('',*,*,#15258,.F.); #18989=ORIENTED_EDGE('',*,*,#15259,.F.); #18990=ORIENTED_EDGE('',*,*,#15196,.T.); #18991=ORIENTED_EDGE('',*,*,#15198,.T.); #18992=ORIENTED_EDGE('',*,*,#15200,.T.); #18993=ORIENTED_EDGE('',*,*,#15202,.T.); #18994=ORIENTED_EDGE('',*,*,#15204,.T.); #18995=ORIENTED_EDGE('',*,*,#15206,.T.); #18996=ORIENTED_EDGE('',*,*,#15208,.T.); #18997=ORIENTED_EDGE('',*,*,#15210,.T.); #18998=ORIENTED_EDGE('',*,*,#15212,.T.); #18999=ORIENTED_EDGE('',*,*,#15258,.T.); #19000=ORIENTED_EDGE('',*,*,#15260,.F.); #19001=ORIENTED_EDGE('',*,*,#15261,.T.); #19002=ORIENTED_EDGE('',*,*,#15262,.T.); #19003=ORIENTED_EDGE('',*,*,#15263,.T.); #19004=ORIENTED_EDGE('',*,*,#15167,.T.); #19005=ORIENTED_EDGE('',*,*,#15169,.T.); #19006=ORIENTED_EDGE('',*,*,#15171,.T.); #19007=ORIENTED_EDGE('',*,*,#15173,.T.); #19008=ORIENTED_EDGE('',*,*,#15257,.T.); #19009=ORIENTED_EDGE('',*,*,#15264,.T.); #19010=ORIENTED_EDGE('',*,*,#15265,.T.); #19011=ORIENTED_EDGE('',*,*,#15260,.T.); #19012=ORIENTED_EDGE('',*,*,#15266,.T.); #19013=ORIENTED_EDGE('',*,*,#15262,.F.); #19014=ORIENTED_EDGE('',*,*,#15267,.T.); #19015=ORIENTED_EDGE('',*,*,#15268,.T.); #19016=ORIENTED_EDGE('',*,*,#15256,.T.); #19017=ORIENTED_EDGE('',*,*,#15269,.F.); #19018=ORIENTED_EDGE('',*,*,#15270,.F.); #19019=ORIENTED_EDGE('',*,*,#15271,.F.); #19020=ORIENTED_EDGE('',*,*,#15264,.F.); #19021=ORIENTED_EDGE('',*,*,#15214,.T.); #19022=ORIENTED_EDGE('',*,*,#15216,.T.); #19023=ORIENTED_EDGE('',*,*,#15218,.T.); #19024=ORIENTED_EDGE('',*,*,#15220,.T.); #19025=ORIENTED_EDGE('',*,*,#15222,.T.); #19026=ORIENTED_EDGE('',*,*,#15224,.T.); #19027=ORIENTED_EDGE('',*,*,#15271,.T.); #19028=ORIENTED_EDGE('',*,*,#15272,.F.); #19029=ORIENTED_EDGE('',*,*,#15273,.T.); #19030=ORIENTED_EDGE('',*,*,#15274,.T.); #19031=ORIENTED_EDGE('',*,*,#15275,.F.); #19032=ORIENTED_EDGE('',*,*,#15267,.F.); #19033=ORIENTED_EDGE('',*,*,#15261,.F.); #19034=ORIENTED_EDGE('',*,*,#15265,.F.); #19035=ORIENTED_EDGE('',*,*,#15273,.F.); #19036=ORIENTED_EDGE('',*,*,#15276,.F.); #19037=ORIENTED_EDGE('',*,*,#15277,.F.); #19038=ORIENTED_EDGE('',*,*,#15278,.F.); #19039=ORIENTED_EDGE('',*,*,#15175,.T.); #19040=ORIENTED_EDGE('',*,*,#15177,.T.); #19041=ORIENTED_EDGE('',*,*,#15179,.T.); #19042=ORIENTED_EDGE('',*,*,#15181,.T.); #19043=ORIENTED_EDGE('',*,*,#15183,.T.); #19044=ORIENTED_EDGE('',*,*,#15185,.T.); #19045=ORIENTED_EDGE('',*,*,#15187,.T.); #19046=ORIENTED_EDGE('',*,*,#15189,.T.); #19047=ORIENTED_EDGE('',*,*,#15191,.T.); #19048=ORIENTED_EDGE('',*,*,#15270,.T.); #19049=ORIENTED_EDGE('',*,*,#15279,.T.); #19050=ORIENTED_EDGE('',*,*,#15276,.T.); #19051=ORIENTED_EDGE('',*,*,#15272,.T.); #19052=ORIENTED_EDGE('',*,*,#15226,.T.); #19053=ORIENTED_EDGE('',*,*,#15228,.T.); #19054=ORIENTED_EDGE('',*,*,#15230,.T.); #19055=ORIENTED_EDGE('',*,*,#15232,.T.); #19056=ORIENTED_EDGE('',*,*,#15234,.T.); #19057=ORIENTED_EDGE('',*,*,#15236,.T.); #19058=ORIENTED_EDGE('',*,*,#15238,.T.); #19059=ORIENTED_EDGE('',*,*,#15240,.T.); #19060=ORIENTED_EDGE('',*,*,#15242,.T.); #19061=ORIENTED_EDGE('',*,*,#15244,.T.); #19062=ORIENTED_EDGE('',*,*,#15246,.T.); #19063=ORIENTED_EDGE('',*,*,#15248,.T.); #19064=ORIENTED_EDGE('',*,*,#15250,.T.); #19065=ORIENTED_EDGE('',*,*,#15252,.T.); #19066=ORIENTED_EDGE('',*,*,#15254,.T.); #19067=ORIENTED_EDGE('',*,*,#15255,.T.); #19068=ORIENTED_EDGE('',*,*,#15259,.T.); #19069=ORIENTED_EDGE('',*,*,#15263,.F.); #19070=ORIENTED_EDGE('',*,*,#15266,.F.); #19071=ORIENTED_EDGE('',*,*,#15280,.F.); #19072=ORIENTED_EDGE('',*,*,#15281,.F.); #19073=ORIENTED_EDGE('',*,*,#15277,.T.); #19074=ORIENTED_EDGE('',*,*,#15279,.F.); #19075=ORIENTED_EDGE('',*,*,#15269,.T.); #19076=ORIENTED_EDGE('',*,*,#15152,.F.); #19077=ORIENTED_EDGE('',*,*,#15155,.T.); #19078=ORIENTED_EDGE('',*,*,#15157,.F.); #19079=ORIENTED_EDGE('',*,*,#15159,.T.); #19080=ORIENTED_EDGE('',*,*,#15161,.F.); #19081=ORIENTED_EDGE('',*,*,#15163,.T.); #19082=ORIENTED_EDGE('',*,*,#15165,.F.); #19083=ORIENTED_EDGE('',*,*,#15282,.F.); #19084=ORIENTED_EDGE('',*,*,#15283,.T.); #19085=ORIENTED_EDGE('',*,*,#15284,.F.); #19086=ORIENTED_EDGE('',*,*,#15285,.T.); #19087=ORIENTED_EDGE('',*,*,#15286,.F.); #19088=ORIENTED_EDGE('',*,*,#15287,.T.); #19089=ORIENTED_EDGE('',*,*,#15280,.T.); #19090=ORIENTED_EDGE('',*,*,#15268,.F.); #19091=ORIENTED_EDGE('',*,*,#15275,.T.); #19092=ORIENTED_EDGE('',*,*,#15288,.F.); #19093=ORIENTED_EDGE('',*,*,#15278,.T.); #19094=ORIENTED_EDGE('',*,*,#15281,.T.); #19095=ORIENTED_EDGE('',*,*,#15288,.T.); #19096=ORIENTED_EDGE('',*,*,#15274,.F.); #19097=ORIENTED_EDGE('',*,*,#15136,.F.); #19098=ORIENTED_EDGE('',*,*,#15153,.F.); #19099=ORIENTED_EDGE('',*,*,#15287,.F.); #19100=ORIENTED_EDGE('',*,*,#15289,.F.); #19101=ORIENTED_EDGE('',*,*,#15148,.F.); #19102=ORIENTED_EDGE('',*,*,#15290,.F.); #19103=ORIENTED_EDGE('',*,*,#15283,.F.); #19104=ORIENTED_EDGE('',*,*,#15291,.F.); #19105=ORIENTED_EDGE('',*,*,#15145,.F.); #19106=ORIENTED_EDGE('',*,*,#15292,.T.); #19107=ORIENTED_EDGE('',*,*,#15284,.T.); #19108=ORIENTED_EDGE('',*,*,#15290,.T.); #19109=ORIENTED_EDGE('',*,*,#15142,.F.); #19110=ORIENTED_EDGE('',*,*,#15293,.F.); #19111=ORIENTED_EDGE('',*,*,#15285,.F.); #19112=ORIENTED_EDGE('',*,*,#15292,.F.); #19113=ORIENTED_EDGE('',*,*,#15139,.F.); #19114=ORIENTED_EDGE('',*,*,#15289,.T.); #19115=ORIENTED_EDGE('',*,*,#15286,.T.); #19116=ORIENTED_EDGE('',*,*,#15293,.T.); #19117=ORIENTED_EDGE('',*,*,#15150,.F.); #19118=ORIENTED_EDGE('',*,*,#15291,.T.); #19119=ORIENTED_EDGE('',*,*,#15282,.T.); #19120=ORIENTED_EDGE('',*,*,#15164,.T.); #19121=ORIENTED_EDGE('',*,*,#15294,.F.); #19122=ORIENTED_EDGE('',*,*,#15295,.F.); #19123=ORIENTED_EDGE('',*,*,#15296,.F.); #19124=ORIENTED_EDGE('',*,*,#15297,.F.); #19125=ORIENTED_EDGE('',*,*,#15297,.T.); #19126=ORIENTED_EDGE('',*,*,#15298,.F.); #19127=ORIENTED_EDGE('',*,*,#15299,.T.); #19128=ORIENTED_EDGE('',*,*,#15300,.T.); #19129=ORIENTED_EDGE('',*,*,#15296,.T.); #19130=ORIENTED_EDGE('',*,*,#15301,.T.); #19131=ORIENTED_EDGE('',*,*,#15302,.T.); #19132=ORIENTED_EDGE('',*,*,#15298,.T.); #19133=ORIENTED_EDGE('',*,*,#15303,.T.); #19134=ORIENTED_EDGE('',*,*,#15304,.T.); #19135=ORIENTED_EDGE('',*,*,#15305,.T.); #19136=ORIENTED_EDGE('',*,*,#15306,.T.); #19137=ORIENTED_EDGE('',*,*,#15307,.T.); #19138=ORIENTED_EDGE('',*,*,#15308,.T.); #19139=ORIENTED_EDGE('',*,*,#15309,.T.); #19140=ORIENTED_EDGE('',*,*,#15310,.T.); #19141=ORIENTED_EDGE('',*,*,#15311,.T.); #19142=ORIENTED_EDGE('',*,*,#15312,.T.); #19143=ORIENTED_EDGE('',*,*,#15313,.T.); #19144=ORIENTED_EDGE('',*,*,#15314,.T.); #19145=ORIENTED_EDGE('',*,*,#15315,.T.); #19146=ORIENTED_EDGE('',*,*,#15316,.T.); #19147=ORIENTED_EDGE('',*,*,#15317,.T.); #19148=ORIENTED_EDGE('',*,*,#15318,.T.); #19149=ORIENTED_EDGE('',*,*,#15319,.T.); #19150=ORIENTED_EDGE('',*,*,#15320,.T.); #19151=ORIENTED_EDGE('',*,*,#15321,.T.); #19152=ORIENTED_EDGE('',*,*,#15322,.T.); #19153=ORIENTED_EDGE('',*,*,#15323,.T.); #19154=ORIENTED_EDGE('',*,*,#15324,.T.); #19155=ORIENTED_EDGE('',*,*,#15325,.T.); #19156=ORIENTED_EDGE('',*,*,#15326,.T.); #19157=ORIENTED_EDGE('',*,*,#15327,.T.); #19158=ORIENTED_EDGE('',*,*,#15328,.T.); #19159=ORIENTED_EDGE('',*,*,#15329,.T.); #19160=ORIENTED_EDGE('',*,*,#15330,.T.); #19161=ORIENTED_EDGE('',*,*,#15331,.T.); #19162=ORIENTED_EDGE('',*,*,#15332,.T.); #19163=ORIENTED_EDGE('',*,*,#15333,.T.); #19164=ORIENTED_EDGE('',*,*,#15334,.T.); #19165=ORIENTED_EDGE('',*,*,#15335,.T.); #19166=ORIENTED_EDGE('',*,*,#15336,.T.); #19167=ORIENTED_EDGE('',*,*,#15337,.T.); #19168=ORIENTED_EDGE('',*,*,#15338,.T.); #19169=ORIENTED_EDGE('',*,*,#15339,.F.); #19170=ORIENTED_EDGE('',*,*,#15338,.F.); #19171=ORIENTED_EDGE('',*,*,#15340,.F.); #19172=ORIENTED_EDGE('',*,*,#15337,.F.); #19173=ORIENTED_EDGE('',*,*,#15341,.F.); #19174=ORIENTED_EDGE('',*,*,#15336,.F.); #19175=ORIENTED_EDGE('',*,*,#15342,.F.); #19176=ORIENTED_EDGE('',*,*,#15335,.F.); #19177=ORIENTED_EDGE('',*,*,#15343,.F.); #19178=ORIENTED_EDGE('',*,*,#15334,.F.); #19179=ORIENTED_EDGE('',*,*,#15344,.F.); #19180=ORIENTED_EDGE('',*,*,#15333,.F.); #19181=ORIENTED_EDGE('',*,*,#15345,.F.); #19182=ORIENTED_EDGE('',*,*,#15332,.F.); #19183=ORIENTED_EDGE('',*,*,#15346,.F.); #19184=ORIENTED_EDGE('',*,*,#15331,.F.); #19185=ORIENTED_EDGE('',*,*,#15347,.F.); #19186=ORIENTED_EDGE('',*,*,#15330,.F.); #19187=ORIENTED_EDGE('',*,*,#15348,.F.); #19188=ORIENTED_EDGE('',*,*,#15329,.F.); #19189=ORIENTED_EDGE('',*,*,#15349,.F.); #19190=ORIENTED_EDGE('',*,*,#15328,.F.); #19191=ORIENTED_EDGE('',*,*,#15350,.F.); #19192=ORIENTED_EDGE('',*,*,#15327,.F.); #19193=ORIENTED_EDGE('',*,*,#15351,.F.); #19194=ORIENTED_EDGE('',*,*,#15326,.F.); #19195=ORIENTED_EDGE('',*,*,#15352,.F.); #19196=ORIENTED_EDGE('',*,*,#15325,.F.); #19197=ORIENTED_EDGE('',*,*,#15353,.F.); #19198=ORIENTED_EDGE('',*,*,#15324,.F.); #19199=ORIENTED_EDGE('',*,*,#15354,.F.); #19200=ORIENTED_EDGE('',*,*,#15323,.F.); #19201=ORIENTED_EDGE('',*,*,#15355,.F.); #19202=ORIENTED_EDGE('',*,*,#15322,.F.); #19203=ORIENTED_EDGE('',*,*,#15356,.F.); #19204=ORIENTED_EDGE('',*,*,#15321,.F.); #19205=ORIENTED_EDGE('',*,*,#15357,.F.); #19206=ORIENTED_EDGE('',*,*,#15320,.F.); #19207=ORIENTED_EDGE('',*,*,#15358,.F.); #19208=ORIENTED_EDGE('',*,*,#15319,.F.); #19209=ORIENTED_EDGE('',*,*,#15359,.F.); #19210=ORIENTED_EDGE('',*,*,#15318,.F.); #19211=ORIENTED_EDGE('',*,*,#15360,.F.); #19212=ORIENTED_EDGE('',*,*,#15317,.F.); #19213=ORIENTED_EDGE('',*,*,#15361,.F.); #19214=ORIENTED_EDGE('',*,*,#15316,.F.); #19215=ORIENTED_EDGE('',*,*,#15362,.F.); #19216=ORIENTED_EDGE('',*,*,#15315,.F.); #19217=ORIENTED_EDGE('',*,*,#15363,.F.); #19218=ORIENTED_EDGE('',*,*,#15314,.F.); #19219=ORIENTED_EDGE('',*,*,#15364,.F.); #19220=ORIENTED_EDGE('',*,*,#15313,.F.); #19221=ORIENTED_EDGE('',*,*,#15365,.F.); #19222=ORIENTED_EDGE('',*,*,#15312,.F.); #19223=ORIENTED_EDGE('',*,*,#15366,.F.); #19224=ORIENTED_EDGE('',*,*,#15311,.F.); #19225=ORIENTED_EDGE('',*,*,#15367,.F.); #19226=ORIENTED_EDGE('',*,*,#15310,.F.); #19227=ORIENTED_EDGE('',*,*,#15368,.F.); #19228=ORIENTED_EDGE('',*,*,#15309,.F.); #19229=ORIENTED_EDGE('',*,*,#15369,.F.); #19230=ORIENTED_EDGE('',*,*,#15308,.F.); #19231=ORIENTED_EDGE('',*,*,#15370,.F.); #19232=ORIENTED_EDGE('',*,*,#15307,.F.); #19233=ORIENTED_EDGE('',*,*,#15371,.F.); #19234=ORIENTED_EDGE('',*,*,#15306,.F.); #19235=ORIENTED_EDGE('',*,*,#15372,.F.); #19236=ORIENTED_EDGE('',*,*,#15305,.F.); #19237=ORIENTED_EDGE('',*,*,#15373,.F.); #19238=ORIENTED_EDGE('',*,*,#15304,.F.); #19239=ORIENTED_EDGE('',*,*,#15374,.F.); #19240=ORIENTED_EDGE('',*,*,#15303,.F.); #19241=ORIENTED_EDGE('',*,*,#15375,.T.); #19242=ORIENTED_EDGE('',*,*,#15376,.F.); #19243=ORIENTED_EDGE('',*,*,#15375,.F.); #19244=ORIENTED_EDGE('',*,*,#15377,.T.); #19245=ORIENTED_EDGE('',*,*,#15378,.F.); #19246=ORIENTED_EDGE('',*,*,#15377,.F.); #19247=ORIENTED_EDGE('',*,*,#15379,.T.); #19248=ORIENTED_EDGE('',*,*,#15380,.F.); #19249=ORIENTED_EDGE('',*,*,#15379,.F.); #19250=ORIENTED_EDGE('',*,*,#15381,.T.); #19251=ORIENTED_EDGE('',*,*,#15382,.F.); #19252=ORIENTED_EDGE('',*,*,#15381,.F.); #19253=ORIENTED_EDGE('',*,*,#15383,.T.); #19254=ORIENTED_EDGE('',*,*,#15384,.F.); #19255=ORIENTED_EDGE('',*,*,#15383,.F.); #19256=ORIENTED_EDGE('',*,*,#15385,.T.); #19257=ORIENTED_EDGE('',*,*,#15386,.F.); #19258=ORIENTED_EDGE('',*,*,#15385,.F.); #19259=ORIENTED_EDGE('',*,*,#15387,.T.); #19260=ORIENTED_EDGE('',*,*,#15388,.F.); #19261=ORIENTED_EDGE('',*,*,#15387,.F.); #19262=ORIENTED_EDGE('',*,*,#15389,.F.); #19263=ORIENTED_EDGE('',*,*,#15390,.T.); #19264=ORIENTED_EDGE('',*,*,#15299,.F.); #19265=ORIENTED_EDGE('',*,*,#15302,.F.); #19266=ORIENTED_EDGE('',*,*,#15376,.T.); #19267=ORIENTED_EDGE('',*,*,#15378,.T.); #19268=ORIENTED_EDGE('',*,*,#15380,.T.); #19269=ORIENTED_EDGE('',*,*,#15382,.T.); #19270=ORIENTED_EDGE('',*,*,#15384,.T.); #19271=ORIENTED_EDGE('',*,*,#15386,.T.); #19272=ORIENTED_EDGE('',*,*,#15388,.T.); #19273=ORIENTED_EDGE('',*,*,#15295,.T.); #19274=ORIENTED_EDGE('',*,*,#15391,.F.); #19275=ORIENTED_EDGE('',*,*,#15389,.T.); #19276=ORIENTED_EDGE('',*,*,#15301,.F.); #19277=ORIENTED_EDGE('',*,*,#15294,.T.); #19278=ORIENTED_EDGE('',*,*,#15300,.F.); #19279=ORIENTED_EDGE('',*,*,#15390,.F.); #19280=ORIENTED_EDGE('',*,*,#15391,.T.); #19281=ORIENTED_EDGE('',*,*,#15339,.T.); #19282=ORIENTED_EDGE('',*,*,#15340,.T.); #19283=ORIENTED_EDGE('',*,*,#15341,.T.); #19284=ORIENTED_EDGE('',*,*,#15342,.T.); #19285=ORIENTED_EDGE('',*,*,#15343,.T.); #19286=ORIENTED_EDGE('',*,*,#15344,.T.); #19287=ORIENTED_EDGE('',*,*,#15345,.T.); #19288=ORIENTED_EDGE('',*,*,#15346,.T.); #19289=ORIENTED_EDGE('',*,*,#15347,.T.); #19290=ORIENTED_EDGE('',*,*,#15348,.T.); #19291=ORIENTED_EDGE('',*,*,#15349,.T.); #19292=ORIENTED_EDGE('',*,*,#15350,.T.); #19293=ORIENTED_EDGE('',*,*,#15351,.T.); #19294=ORIENTED_EDGE('',*,*,#15352,.T.); #19295=ORIENTED_EDGE('',*,*,#15353,.T.); #19296=ORIENTED_EDGE('',*,*,#15354,.T.); #19297=ORIENTED_EDGE('',*,*,#15355,.T.); #19298=ORIENTED_EDGE('',*,*,#15356,.T.); #19299=ORIENTED_EDGE('',*,*,#15357,.T.); #19300=ORIENTED_EDGE('',*,*,#15358,.T.); #19301=ORIENTED_EDGE('',*,*,#15359,.T.); #19302=ORIENTED_EDGE('',*,*,#15360,.T.); #19303=ORIENTED_EDGE('',*,*,#15361,.T.); #19304=ORIENTED_EDGE('',*,*,#15362,.T.); #19305=ORIENTED_EDGE('',*,*,#15363,.T.); #19306=ORIENTED_EDGE('',*,*,#15364,.T.); #19307=ORIENTED_EDGE('',*,*,#15365,.T.); #19308=ORIENTED_EDGE('',*,*,#15366,.T.); #19309=ORIENTED_EDGE('',*,*,#15367,.T.); #19310=ORIENTED_EDGE('',*,*,#15368,.T.); #19311=ORIENTED_EDGE('',*,*,#15369,.T.); #19312=ORIENTED_EDGE('',*,*,#15370,.T.); #19313=ORIENTED_EDGE('',*,*,#15371,.T.); #19314=ORIENTED_EDGE('',*,*,#15372,.T.); #19315=ORIENTED_EDGE('',*,*,#15373,.T.); #19316=ORIENTED_EDGE('',*,*,#15374,.T.); #19317=ORIENTED_EDGE('',*,*,#15392,.F.); #19318=ORIENTED_EDGE('',*,*,#15393,.F.); #19319=ORIENTED_EDGE('',*,*,#15394,.F.); #19320=ORIENTED_EDGE('',*,*,#15395,.F.); #19321=ORIENTED_EDGE('',*,*,#15396,.F.); #19322=ORIENTED_EDGE('',*,*,#15397,.F.); #19323=ORIENTED_EDGE('',*,*,#15398,.F.); #19324=ORIENTED_EDGE('',*,*,#15399,.F.); #19325=ORIENTED_EDGE('',*,*,#15400,.F.); #19326=ORIENTED_EDGE('',*,*,#15401,.F.); #19327=ORIENTED_EDGE('',*,*,#15402,.F.); #19328=ORIENTED_EDGE('',*,*,#15403,.F.); #19329=ORIENTED_EDGE('',*,*,#15404,.F.); #19330=ORIENTED_EDGE('',*,*,#15405,.F.); #19331=ORIENTED_EDGE('',*,*,#15406,.F.); #19332=ORIENTED_EDGE('',*,*,#15407,.F.); #19333=ORIENTED_EDGE('',*,*,#15408,.F.); #19334=ORIENTED_EDGE('',*,*,#15409,.F.); #19335=ORIENTED_EDGE('',*,*,#15410,.F.); #19336=ORIENTED_EDGE('',*,*,#15411,.F.); #19337=ORIENTED_EDGE('',*,*,#15412,.F.); #19338=ORIENTED_EDGE('',*,*,#15413,.F.); #19339=ORIENTED_EDGE('',*,*,#15414,.F.); #19340=ORIENTED_EDGE('',*,*,#15415,.F.); #19341=ORIENTED_EDGE('',*,*,#15416,.F.); #19342=ORIENTED_EDGE('',*,*,#15417,.F.); #19343=ORIENTED_EDGE('',*,*,#15418,.F.); #19344=ORIENTED_EDGE('',*,*,#15419,.F.); #19345=ORIENTED_EDGE('',*,*,#15420,.F.); #19346=ORIENTED_EDGE('',*,*,#15421,.F.); #19347=ORIENTED_EDGE('',*,*,#15422,.F.); #19348=ORIENTED_EDGE('',*,*,#15423,.F.); #19349=ORIENTED_EDGE('',*,*,#15424,.F.); #19350=ORIENTED_EDGE('',*,*,#15425,.F.); #19351=ORIENTED_EDGE('',*,*,#15426,.F.); #19352=ORIENTED_EDGE('',*,*,#15427,.F.); #19353=ORIENTED_EDGE('',*,*,#15428,.F.); #19354=ORIENTED_EDGE('',*,*,#15429,.F.); #19355=ORIENTED_EDGE('',*,*,#15430,.F.); #19356=ORIENTED_EDGE('',*,*,#15431,.F.); #19357=ORIENTED_EDGE('',*,*,#15432,.F.); #19358=ORIENTED_EDGE('',*,*,#15433,.F.); #19359=ORIENTED_EDGE('',*,*,#15434,.F.); #19360=ORIENTED_EDGE('',*,*,#15435,.F.); #19361=ORIENTED_EDGE('',*,*,#15436,.F.); #19362=ORIENTED_EDGE('',*,*,#15437,.F.); #19363=ORIENTED_EDGE('',*,*,#15438,.F.); #19364=ORIENTED_EDGE('',*,*,#15439,.F.); #19365=ORIENTED_EDGE('',*,*,#15440,.F.); #19366=ORIENTED_EDGE('',*,*,#15441,.F.); #19367=ORIENTED_EDGE('',*,*,#15442,.F.); #19368=ORIENTED_EDGE('',*,*,#15443,.F.); #19369=ORIENTED_EDGE('',*,*,#15444,.F.); #19370=ORIENTED_EDGE('',*,*,#15445,.F.); #19371=ORIENTED_EDGE('',*,*,#15446,.F.); #19372=ORIENTED_EDGE('',*,*,#15447,.F.); #19373=ORIENTED_EDGE('',*,*,#15448,.F.); #19374=ORIENTED_EDGE('',*,*,#15449,.F.); #19375=ORIENTED_EDGE('',*,*,#15450,.F.); #19376=ORIENTED_EDGE('',*,*,#15451,.F.); #19377=ORIENTED_EDGE('',*,*,#15452,.F.); #19378=ORIENTED_EDGE('',*,*,#15453,.F.); #19379=ORIENTED_EDGE('',*,*,#15454,.F.); #19380=ORIENTED_EDGE('',*,*,#15455,.F.); #19381=ORIENTED_EDGE('',*,*,#15456,.F.); #19382=ORIENTED_EDGE('',*,*,#15457,.T.); #19383=ORIENTED_EDGE('',*,*,#15458,.T.); #19384=ORIENTED_EDGE('',*,*,#15459,.F.); #19385=ORIENTED_EDGE('',*,*,#15460,.T.); #19386=ORIENTED_EDGE('',*,*,#15461,.F.); #19387=ORIENTED_EDGE('',*,*,#15462,.F.); #19388=ORIENTED_EDGE('',*,*,#15463,.T.); #19389=ORIENTED_EDGE('',*,*,#15464,.F.); #19390=ORIENTED_EDGE('',*,*,#15459,.T.); #19391=ORIENTED_EDGE('',*,*,#15465,.T.); #19392=ORIENTED_EDGE('',*,*,#15463,.F.); #19393=ORIENTED_EDGE('',*,*,#15393,.T.); #19394=ORIENTED_EDGE('',*,*,#15395,.T.); #19395=ORIENTED_EDGE('',*,*,#15397,.T.); #19396=ORIENTED_EDGE('',*,*,#15399,.T.); #19397=ORIENTED_EDGE('',*,*,#15401,.T.); #19398=ORIENTED_EDGE('',*,*,#15403,.T.); #19399=ORIENTED_EDGE('',*,*,#15405,.T.); #19400=ORIENTED_EDGE('',*,*,#15407,.T.); #19401=ORIENTED_EDGE('',*,*,#15409,.T.); #19402=ORIENTED_EDGE('',*,*,#15411,.T.); #19403=ORIENTED_EDGE('',*,*,#15413,.T.); #19404=ORIENTED_EDGE('',*,*,#15415,.T.); #19405=ORIENTED_EDGE('',*,*,#15417,.T.); #19406=ORIENTED_EDGE('',*,*,#15419,.T.); #19407=ORIENTED_EDGE('',*,*,#15421,.T.); #19408=ORIENTED_EDGE('',*,*,#15423,.T.); #19409=ORIENTED_EDGE('',*,*,#15425,.T.); #19410=ORIENTED_EDGE('',*,*,#15427,.T.); #19411=ORIENTED_EDGE('',*,*,#15429,.T.); #19412=ORIENTED_EDGE('',*,*,#15431,.T.); #19413=ORIENTED_EDGE('',*,*,#15433,.T.); #19414=ORIENTED_EDGE('',*,*,#15435,.T.); #19415=ORIENTED_EDGE('',*,*,#15437,.T.); #19416=ORIENTED_EDGE('',*,*,#15439,.T.); #19417=ORIENTED_EDGE('',*,*,#15441,.T.); #19418=ORIENTED_EDGE('',*,*,#15443,.T.); #19419=ORIENTED_EDGE('',*,*,#15445,.T.); #19420=ORIENTED_EDGE('',*,*,#15447,.T.); #19421=ORIENTED_EDGE('',*,*,#15449,.T.); #19422=ORIENTED_EDGE('',*,*,#15451,.T.); #19423=ORIENTED_EDGE('',*,*,#15453,.T.); #19424=ORIENTED_EDGE('',*,*,#15455,.T.); #19425=ORIENTED_EDGE('',*,*,#15466,.T.); #19426=ORIENTED_EDGE('',*,*,#15456,.T.); #19427=ORIENTED_EDGE('',*,*,#15464,.T.); #19428=ORIENTED_EDGE('',*,*,#15462,.T.); #19429=ORIENTED_EDGE('',*,*,#15467,.T.); #19430=ORIENTED_EDGE('',*,*,#15457,.F.); #19431=ORIENTED_EDGE('',*,*,#15466,.F.); #19432=ORIENTED_EDGE('',*,*,#15461,.T.); #19433=ORIENTED_EDGE('',*,*,#15392,.T.); #19434=ORIENTED_EDGE('',*,*,#15394,.T.); #19435=ORIENTED_EDGE('',*,*,#15396,.T.); #19436=ORIENTED_EDGE('',*,*,#15398,.T.); #19437=ORIENTED_EDGE('',*,*,#15400,.T.); #19438=ORIENTED_EDGE('',*,*,#15402,.T.); #19439=ORIENTED_EDGE('',*,*,#15404,.T.); #19440=ORIENTED_EDGE('',*,*,#15406,.T.); #19441=ORIENTED_EDGE('',*,*,#15408,.T.); #19442=ORIENTED_EDGE('',*,*,#15410,.T.); #19443=ORIENTED_EDGE('',*,*,#15412,.T.); #19444=ORIENTED_EDGE('',*,*,#15414,.T.); #19445=ORIENTED_EDGE('',*,*,#15416,.T.); #19446=ORIENTED_EDGE('',*,*,#15418,.T.); #19447=ORIENTED_EDGE('',*,*,#15420,.T.); #19448=ORIENTED_EDGE('',*,*,#15422,.T.); #19449=ORIENTED_EDGE('',*,*,#15424,.T.); #19450=ORIENTED_EDGE('',*,*,#15426,.T.); #19451=ORIENTED_EDGE('',*,*,#15428,.T.); #19452=ORIENTED_EDGE('',*,*,#15430,.T.); #19453=ORIENTED_EDGE('',*,*,#15432,.T.); #19454=ORIENTED_EDGE('',*,*,#15434,.T.); #19455=ORIENTED_EDGE('',*,*,#15436,.T.); #19456=ORIENTED_EDGE('',*,*,#15438,.T.); #19457=ORIENTED_EDGE('',*,*,#15440,.T.); #19458=ORIENTED_EDGE('',*,*,#15442,.T.); #19459=ORIENTED_EDGE('',*,*,#15444,.T.); #19460=ORIENTED_EDGE('',*,*,#15446,.T.); #19461=ORIENTED_EDGE('',*,*,#15448,.T.); #19462=ORIENTED_EDGE('',*,*,#15450,.T.); #19463=ORIENTED_EDGE('',*,*,#15452,.T.); #19464=ORIENTED_EDGE('',*,*,#15454,.T.); #19465=ORIENTED_EDGE('',*,*,#15465,.F.); #19466=ORIENTED_EDGE('',*,*,#15458,.F.); #19467=ORIENTED_EDGE('',*,*,#15467,.F.); #19468=ORIENTED_EDGE('',*,*,#15460,.F.); #19469=ORIENTED_EDGE('',*,*,#15468,.T.); #19470=ORIENTED_EDGE('',*,*,#15469,.F.); #19471=ORIENTED_EDGE('',*,*,#15468,.F.); #19472=ORIENTED_EDGE('',*,*,#15470,.T.); #19473=ORIENTED_EDGE('',*,*,#15471,.F.); #19474=ORIENTED_EDGE('',*,*,#15470,.F.); #19475=ORIENTED_EDGE('',*,*,#15472,.T.); #19476=ORIENTED_EDGE('',*,*,#15473,.F.); #19477=ORIENTED_EDGE('',*,*,#15472,.F.); #19478=ORIENTED_EDGE('',*,*,#15474,.T.); #19479=ORIENTED_EDGE('',*,*,#15475,.F.); #19480=ORIENTED_EDGE('',*,*,#15474,.F.); #19481=ORIENTED_EDGE('',*,*,#15476,.T.); #19482=ORIENTED_EDGE('',*,*,#15477,.F.); #19483=ORIENTED_EDGE('',*,*,#15476,.F.); #19484=ORIENTED_EDGE('',*,*,#15478,.T.); #19485=ORIENTED_EDGE('',*,*,#15479,.F.); #19486=ORIENTED_EDGE('',*,*,#15478,.F.); #19487=ORIENTED_EDGE('',*,*,#15480,.T.); #19488=ORIENTED_EDGE('',*,*,#15481,.F.); #19489=ORIENTED_EDGE('',*,*,#15480,.F.); #19490=ORIENTED_EDGE('',*,*,#15482,.T.); #19491=ORIENTED_EDGE('',*,*,#15483,.F.); #19492=ORIENTED_EDGE('',*,*,#15482,.F.); #19493=ORIENTED_EDGE('',*,*,#15484,.T.); #19494=ORIENTED_EDGE('',*,*,#15485,.F.); #19495=ORIENTED_EDGE('',*,*,#15484,.F.); #19496=ORIENTED_EDGE('',*,*,#15486,.T.); #19497=ORIENTED_EDGE('',*,*,#15487,.F.); #19498=ORIENTED_EDGE('',*,*,#15486,.F.); #19499=ORIENTED_EDGE('',*,*,#15488,.T.); #19500=ORIENTED_EDGE('',*,*,#15489,.F.); #19501=ORIENTED_EDGE('',*,*,#15488,.F.); #19502=ORIENTED_EDGE('',*,*,#15490,.T.); #19503=ORIENTED_EDGE('',*,*,#15491,.F.); #19504=ORIENTED_EDGE('',*,*,#15490,.F.); #19505=ORIENTED_EDGE('',*,*,#15492,.T.); #19506=ORIENTED_EDGE('',*,*,#15493,.F.); #19507=ORIENTED_EDGE('',*,*,#15492,.F.); #19508=ORIENTED_EDGE('',*,*,#15494,.T.); #19509=ORIENTED_EDGE('',*,*,#15495,.F.); #19510=ORIENTED_EDGE('',*,*,#15494,.F.); #19511=ORIENTED_EDGE('',*,*,#15496,.T.); #19512=ORIENTED_EDGE('',*,*,#15497,.F.); #19513=ORIENTED_EDGE('',*,*,#15496,.F.); #19514=ORIENTED_EDGE('',*,*,#15498,.T.); #19515=ORIENTED_EDGE('',*,*,#15499,.F.); #19516=ORIENTED_EDGE('',*,*,#15498,.F.); #19517=ORIENTED_EDGE('',*,*,#15500,.T.); #19518=ORIENTED_EDGE('',*,*,#15501,.F.); #19519=ORIENTED_EDGE('',*,*,#15500,.F.); #19520=ORIENTED_EDGE('',*,*,#15502,.T.); #19521=ORIENTED_EDGE('',*,*,#15503,.F.); #19522=ORIENTED_EDGE('',*,*,#15502,.F.); #19523=ORIENTED_EDGE('',*,*,#15504,.T.); #19524=ORIENTED_EDGE('',*,*,#15505,.F.); #19525=ORIENTED_EDGE('',*,*,#15504,.F.); #19526=ORIENTED_EDGE('',*,*,#15506,.T.); #19527=ORIENTED_EDGE('',*,*,#15507,.F.); #19528=ORIENTED_EDGE('',*,*,#15506,.F.); #19529=ORIENTED_EDGE('',*,*,#15508,.T.); #19530=ORIENTED_EDGE('',*,*,#15509,.F.); #19531=ORIENTED_EDGE('',*,*,#15508,.F.); #19532=ORIENTED_EDGE('',*,*,#15510,.T.); #19533=ORIENTED_EDGE('',*,*,#15511,.F.); #19534=ORIENTED_EDGE('',*,*,#15510,.F.); #19535=ORIENTED_EDGE('',*,*,#15512,.T.); #19536=ORIENTED_EDGE('',*,*,#15513,.F.); #19537=ORIENTED_EDGE('',*,*,#15512,.F.); #19538=ORIENTED_EDGE('',*,*,#15514,.T.); #19539=ORIENTED_EDGE('',*,*,#15515,.F.); #19540=ORIENTED_EDGE('',*,*,#15514,.F.); #19541=ORIENTED_EDGE('',*,*,#15516,.F.); #19542=ORIENTED_EDGE('',*,*,#15517,.F.); #19543=ORIENTED_EDGE('',*,*,#15518,.F.); #19544=ORIENTED_EDGE('',*,*,#15519,.F.); #19545=ORIENTED_EDGE('',*,*,#15520,.F.); #19546=ORIENTED_EDGE('',*,*,#15521,.F.); #19547=ORIENTED_EDGE('',*,*,#15522,.F.); #19548=ORIENTED_EDGE('',*,*,#15523,.F.); #19549=ORIENTED_EDGE('',*,*,#15524,.F.); #19550=ORIENTED_EDGE('',*,*,#15525,.F.); #19551=ORIENTED_EDGE('',*,*,#15526,.F.); #19552=ORIENTED_EDGE('',*,*,#15527,.F.); #19553=ORIENTED_EDGE('',*,*,#15528,.F.); #19554=ORIENTED_EDGE('',*,*,#15529,.F.); #19555=ORIENTED_EDGE('',*,*,#15530,.F.); #19556=ORIENTED_EDGE('',*,*,#15531,.F.); #19557=ORIENTED_EDGE('',*,*,#15532,.F.); #19558=ORIENTED_EDGE('',*,*,#15533,.F.); #19559=ORIENTED_EDGE('',*,*,#15534,.F.); #19560=ORIENTED_EDGE('',*,*,#15535,.F.); #19561=ORIENTED_EDGE('',*,*,#15536,.F.); #19562=ORIENTED_EDGE('',*,*,#15537,.F.); #19563=ORIENTED_EDGE('',*,*,#15538,.F.); #19564=ORIENTED_EDGE('',*,*,#15539,.F.); #19565=ORIENTED_EDGE('',*,*,#15540,.F.); #19566=ORIENTED_EDGE('',*,*,#15541,.F.); #19567=ORIENTED_EDGE('',*,*,#15542,.F.); #19568=ORIENTED_EDGE('',*,*,#15543,.F.); #19569=ORIENTED_EDGE('',*,*,#15544,.F.); #19570=ORIENTED_EDGE('',*,*,#15545,.F.); #19571=ORIENTED_EDGE('',*,*,#15546,.F.); #19572=ORIENTED_EDGE('',*,*,#15547,.F.); #19573=ORIENTED_EDGE('',*,*,#15548,.F.); #19574=ORIENTED_EDGE('',*,*,#15549,.F.); #19575=ORIENTED_EDGE('',*,*,#15550,.F.); #19576=ORIENTED_EDGE('',*,*,#15551,.F.); #19577=ORIENTED_EDGE('',*,*,#15552,.T.); #19578=ORIENTED_EDGE('',*,*,#15553,.F.); #19579=ORIENTED_EDGE('',*,*,#15554,.T.); #19580=ORIENTED_EDGE('',*,*,#15555,.T.); #19581=ORIENTED_EDGE('',*,*,#15489,.T.); #19582=ORIENTED_EDGE('',*,*,#15491,.T.); #19583=ORIENTED_EDGE('',*,*,#15493,.T.); #19584=ORIENTED_EDGE('',*,*,#15495,.T.); #19585=ORIENTED_EDGE('',*,*,#15497,.T.); #19586=ORIENTED_EDGE('',*,*,#15499,.T.); #19587=ORIENTED_EDGE('',*,*,#15501,.T.); #19588=ORIENTED_EDGE('',*,*,#15556,.T.); #19589=ORIENTED_EDGE('',*,*,#15555,.F.); #19590=ORIENTED_EDGE('',*,*,#15557,.T.); #19591=ORIENTED_EDGE('',*,*,#15558,.T.); #19592=ORIENTED_EDGE('',*,*,#15516,.T.); #19593=ORIENTED_EDGE('',*,*,#15518,.T.); #19594=ORIENTED_EDGE('',*,*,#15520,.T.); #19595=ORIENTED_EDGE('',*,*,#15522,.T.); #19596=ORIENTED_EDGE('',*,*,#15524,.T.); #19597=ORIENTED_EDGE('',*,*,#15526,.T.); #19598=ORIENTED_EDGE('',*,*,#15528,.T.); #19599=ORIENTED_EDGE('',*,*,#15530,.T.); #19600=ORIENTED_EDGE('',*,*,#15532,.T.); #19601=ORIENTED_EDGE('',*,*,#15534,.T.); #19602=ORIENTED_EDGE('',*,*,#15536,.T.); #19603=ORIENTED_EDGE('',*,*,#15538,.T.); #19604=ORIENTED_EDGE('',*,*,#15540,.T.); #19605=ORIENTED_EDGE('',*,*,#15542,.T.); #19606=ORIENTED_EDGE('',*,*,#15544,.T.); #19607=ORIENTED_EDGE('',*,*,#15546,.T.); #19608=ORIENTED_EDGE('',*,*,#15548,.T.); #19609=ORIENTED_EDGE('',*,*,#15550,.T.); #19610=ORIENTED_EDGE('',*,*,#15559,.F.); #19611=ORIENTED_EDGE('',*,*,#15560,.T.); #19612=ORIENTED_EDGE('',*,*,#15557,.F.); #19613=ORIENTED_EDGE('',*,*,#15554,.F.); #19614=ORIENTED_EDGE('',*,*,#15561,.F.); #19615=ORIENTED_EDGE('',*,*,#15558,.F.); #19616=ORIENTED_EDGE('',*,*,#15560,.F.); #19617=ORIENTED_EDGE('',*,*,#15562,.F.); #19618=ORIENTED_EDGE('',*,*,#15503,.T.); #19619=ORIENTED_EDGE('',*,*,#15505,.T.); #19620=ORIENTED_EDGE('',*,*,#15507,.T.); #19621=ORIENTED_EDGE('',*,*,#15509,.T.); #19622=ORIENTED_EDGE('',*,*,#15511,.T.); #19623=ORIENTED_EDGE('',*,*,#15513,.T.); #19624=ORIENTED_EDGE('',*,*,#15515,.T.); #19625=ORIENTED_EDGE('',*,*,#15563,.T.); #19626=ORIENTED_EDGE('',*,*,#15562,.T.); #19627=ORIENTED_EDGE('',*,*,#15559,.T.); #19628=ORIENTED_EDGE('',*,*,#15553,.T.); #19629=ORIENTED_EDGE('',*,*,#15469,.T.); #19630=ORIENTED_EDGE('',*,*,#15471,.T.); #19631=ORIENTED_EDGE('',*,*,#15473,.T.); #19632=ORIENTED_EDGE('',*,*,#15475,.T.); #19633=ORIENTED_EDGE('',*,*,#15477,.T.); #19634=ORIENTED_EDGE('',*,*,#15479,.T.); #19635=ORIENTED_EDGE('',*,*,#15481,.T.); #19636=ORIENTED_EDGE('',*,*,#15483,.T.); #19637=ORIENTED_EDGE('',*,*,#15485,.T.); #19638=ORIENTED_EDGE('',*,*,#15487,.T.); #19639=ORIENTED_EDGE('',*,*,#15517,.T.); #19640=ORIENTED_EDGE('',*,*,#15519,.T.); #19641=ORIENTED_EDGE('',*,*,#15521,.T.); #19642=ORIENTED_EDGE('',*,*,#15523,.T.); #19643=ORIENTED_EDGE('',*,*,#15525,.T.); #19644=ORIENTED_EDGE('',*,*,#15527,.T.); #19645=ORIENTED_EDGE('',*,*,#15529,.T.); #19646=ORIENTED_EDGE('',*,*,#15531,.T.); #19647=ORIENTED_EDGE('',*,*,#15533,.T.); #19648=ORIENTED_EDGE('',*,*,#15535,.T.); #19649=ORIENTED_EDGE('',*,*,#15537,.T.); #19650=ORIENTED_EDGE('',*,*,#15539,.T.); #19651=ORIENTED_EDGE('',*,*,#15541,.T.); #19652=ORIENTED_EDGE('',*,*,#15543,.T.); #19653=ORIENTED_EDGE('',*,*,#15545,.T.); #19654=ORIENTED_EDGE('',*,*,#15547,.T.); #19655=ORIENTED_EDGE('',*,*,#15549,.T.); #19656=ORIENTED_EDGE('',*,*,#15551,.T.); #19657=ORIENTED_EDGE('',*,*,#15556,.F.); #19658=ORIENTED_EDGE('',*,*,#15561,.T.); #19659=ORIENTED_EDGE('',*,*,#15563,.F.); #19660=ORIENTED_EDGE('',*,*,#15552,.F.); #19661=ORIENTED_EDGE('',*,*,#15564,.F.); #19662=ORIENTED_EDGE('',*,*,#15565,.F.); #19663=ORIENTED_EDGE('',*,*,#15566,.F.); #19664=ORIENTED_EDGE('',*,*,#15567,.F.); #19665=ORIENTED_EDGE('',*,*,#15568,.F.); #19666=ORIENTED_EDGE('',*,*,#15569,.F.); #19667=ORIENTED_EDGE('',*,*,#15570,.F.); #19668=ORIENTED_EDGE('',*,*,#15571,.F.); #19669=ORIENTED_EDGE('',*,*,#15565,.T.); #19670=ORIENTED_EDGE('',*,*,#15572,.T.); #19671=ORIENTED_EDGE('',*,*,#15571,.T.); #19672=ORIENTED_EDGE('',*,*,#15573,.F.); #19673=ORIENTED_EDGE('',*,*,#15574,.T.); #19674=ORIENTED_EDGE('',*,*,#15575,.F.); #19675=ORIENTED_EDGE('',*,*,#15576,.F.); #19676=ORIENTED_EDGE('',*,*,#15577,.F.); #19677=ORIENTED_EDGE('',*,*,#15572,.F.); #19678=ORIENTED_EDGE('',*,*,#15564,.T.); #19679=ORIENTED_EDGE('',*,*,#15578,.F.); #19680=ORIENTED_EDGE('',*,*,#15568,.T.); #19681=ORIENTED_EDGE('',*,*,#15579,.F.); #19682=ORIENTED_EDGE('',*,*,#15580,.F.); #19683=ORIENTED_EDGE('',*,*,#15581,.F.); #19684=ORIENTED_EDGE('',*,*,#15582,.F.); #19685=ORIENTED_EDGE('',*,*,#15583,.F.); #19686=ORIENTED_EDGE('',*,*,#15584,.F.); #19687=ORIENTED_EDGE('',*,*,#15585,.F.); #19688=ORIENTED_EDGE('',*,*,#15570,.T.); #19689=ORIENTED_EDGE('',*,*,#15586,.T.); #19690=ORIENTED_EDGE('',*,*,#15587,.T.); #19691=ORIENTED_EDGE('',*,*,#15573,.T.); #19692=ORIENTED_EDGE('',*,*,#15588,.T.); #19693=ORIENTED_EDGE('',*,*,#15589,.T.); #19694=ORIENTED_EDGE('',*,*,#15590,.T.); #19695=ORIENTED_EDGE('',*,*,#15591,.T.); #19696=ORIENTED_EDGE('',*,*,#15592,.T.); #19697=ORIENTED_EDGE('',*,*,#15593,.T.); #19698=ORIENTED_EDGE('',*,*,#15566,.T.); #19699=ORIENTED_EDGE('',*,*,#15577,.T.); #19700=ORIENTED_EDGE('',*,*,#15594,.T.); #19701=ORIENTED_EDGE('',*,*,#15595,.F.); #19702=ORIENTED_EDGE('',*,*,#15596,.F.); #19703=ORIENTED_EDGE('',*,*,#15597,.T.); #19704=ORIENTED_EDGE('',*,*,#15598,.T.); #19705=ORIENTED_EDGE('',*,*,#15599,.T.); #19706=ORIENTED_EDGE('',*,*,#15600,.T.); #19707=ORIENTED_EDGE('',*,*,#15601,.T.); #19708=ORIENTED_EDGE('',*,*,#15602,.T.); #19709=ORIENTED_EDGE('',*,*,#15603,.T.); #19710=ORIENTED_EDGE('',*,*,#15604,.T.); #19711=ORIENTED_EDGE('',*,*,#15605,.T.); #19712=ORIENTED_EDGE('',*,*,#15606,.T.); #19713=ORIENTED_EDGE('',*,*,#15607,.T.); #19714=ORIENTED_EDGE('',*,*,#15588,.F.); #19715=ORIENTED_EDGE('',*,*,#15608,.F.); #19716=ORIENTED_EDGE('',*,*,#15589,.F.); #19717=ORIENTED_EDGE('',*,*,#15609,.F.); #19718=ORIENTED_EDGE('',*,*,#15590,.F.); #19719=ORIENTED_EDGE('',*,*,#15610,.F.); #19720=ORIENTED_EDGE('',*,*,#15591,.F.); #19721=ORIENTED_EDGE('',*,*,#15611,.F.); #19722=ORIENTED_EDGE('',*,*,#15592,.F.); #19723=ORIENTED_EDGE('',*,*,#15612,.F.); #19724=ORIENTED_EDGE('',*,*,#15593,.F.); #19725=ORIENTED_EDGE('',*,*,#15613,.F.); #19726=ORIENTED_EDGE('',*,*,#15587,.F.); #19727=ORIENTED_EDGE('',*,*,#15614,.F.); #19728=ORIENTED_EDGE('',*,*,#15615,.F.); #19729=ORIENTED_EDGE('',*,*,#15574,.F.); #19730=ORIENTED_EDGE('',*,*,#15615,.T.); #19731=ORIENTED_EDGE('',*,*,#15616,.T.); #19732=ORIENTED_EDGE('',*,*,#15617,.T.); #19733=ORIENTED_EDGE('',*,*,#15618,.T.); #19734=ORIENTED_EDGE('',*,*,#15619,.T.); #19735=ORIENTED_EDGE('',*,*,#15620,.T.); #19736=ORIENTED_EDGE('',*,*,#15621,.T.); #19737=ORIENTED_EDGE('',*,*,#15575,.T.); #19738=ORIENTED_EDGE('',*,*,#15608,.T.); #19739=ORIENTED_EDGE('',*,*,#15609,.T.); #19740=ORIENTED_EDGE('',*,*,#15610,.T.); #19741=ORIENTED_EDGE('',*,*,#15611,.T.); #19742=ORIENTED_EDGE('',*,*,#15612,.T.); #19743=ORIENTED_EDGE('',*,*,#15613,.T.); #19744=ORIENTED_EDGE('',*,*,#15622,.T.); #19745=ORIENTED_EDGE('',*,*,#15623,.T.); #19746=ORIENTED_EDGE('',*,*,#15624,.T.); #19747=ORIENTED_EDGE('',*,*,#15625,.T.); #19748=ORIENTED_EDGE('',*,*,#15626,.T.); #19749=ORIENTED_EDGE('',*,*,#15627,.T.); #19750=ORIENTED_EDGE('',*,*,#15628,.T.); #19751=ORIENTED_EDGE('',*,*,#15629,.T.); #19752=ORIENTED_EDGE('',*,*,#15630,.T.); #19753=ORIENTED_EDGE('',*,*,#15631,.T.); #19754=ORIENTED_EDGE('',*,*,#15632,.T.); #19755=ORIENTED_EDGE('',*,*,#15633,.T.); #19756=ORIENTED_EDGE('',*,*,#15634,.T.); #19757=ORIENTED_EDGE('',*,*,#15635,.T.); #19758=ORIENTED_EDGE('',*,*,#15636,.T.); #19759=ORIENTED_EDGE('',*,*,#15567,.T.); #19760=ORIENTED_EDGE('',*,*,#15599,.F.); #19761=ORIENTED_EDGE('',*,*,#15637,.T.); #19762=ORIENTED_EDGE('',*,*,#15616,.F.); #19763=ORIENTED_EDGE('',*,*,#15614,.T.); #19764=ORIENTED_EDGE('',*,*,#15586,.F.); #19765=ORIENTED_EDGE('',*,*,#15569,.T.); #19766=ORIENTED_EDGE('',*,*,#15578,.T.); #19767=ORIENTED_EDGE('',*,*,#15607,.F.); #19768=ORIENTED_EDGE('',*,*,#15636,.F.); #19769=ORIENTED_EDGE('',*,*,#15606,.F.); #19770=ORIENTED_EDGE('',*,*,#15635,.F.); #19771=ORIENTED_EDGE('',*,*,#15605,.F.); #19772=ORIENTED_EDGE('',*,*,#15634,.F.); #19773=ORIENTED_EDGE('',*,*,#15604,.F.); #19774=ORIENTED_EDGE('',*,*,#15633,.F.); #19775=ORIENTED_EDGE('',*,*,#15579,.T.); #19776=ORIENTED_EDGE('',*,*,#15632,.F.); #19777=ORIENTED_EDGE('',*,*,#15580,.T.); #19778=ORIENTED_EDGE('',*,*,#15631,.F.); #19779=ORIENTED_EDGE('',*,*,#15581,.T.); #19780=ORIENTED_EDGE('',*,*,#15630,.F.); #19781=ORIENTED_EDGE('',*,*,#15582,.T.); #19782=ORIENTED_EDGE('',*,*,#15629,.F.); #19783=ORIENTED_EDGE('',*,*,#15583,.T.); #19784=ORIENTED_EDGE('',*,*,#15628,.F.); #19785=ORIENTED_EDGE('',*,*,#15584,.T.); #19786=ORIENTED_EDGE('',*,*,#15627,.F.); #19787=ORIENTED_EDGE('',*,*,#15585,.T.); #19788=ORIENTED_EDGE('',*,*,#15626,.F.); #19789=ORIENTED_EDGE('',*,*,#15603,.F.); #19790=ORIENTED_EDGE('',*,*,#15625,.F.); #19791=ORIENTED_EDGE('',*,*,#15602,.F.); #19792=ORIENTED_EDGE('',*,*,#15624,.F.); #19793=ORIENTED_EDGE('',*,*,#15601,.F.); #19794=ORIENTED_EDGE('',*,*,#15623,.F.); #19795=ORIENTED_EDGE('',*,*,#15600,.F.); #19796=ORIENTED_EDGE('',*,*,#15622,.F.); #19797=ORIENTED_EDGE('',*,*,#15596,.T.); #19798=ORIENTED_EDGE('',*,*,#15638,.T.); #19799=ORIENTED_EDGE('',*,*,#15619,.F.); #19800=ORIENTED_EDGE('',*,*,#15639,.T.); #19801=ORIENTED_EDGE('',*,*,#15576,.T.); #19802=ORIENTED_EDGE('',*,*,#15621,.F.); #19803=ORIENTED_EDGE('',*,*,#15640,.T.); #19804=ORIENTED_EDGE('',*,*,#15594,.F.); #19805=ORIENTED_EDGE('',*,*,#15595,.T.); #19806=ORIENTED_EDGE('',*,*,#15640,.F.); #19807=ORIENTED_EDGE('',*,*,#15620,.F.); #19808=ORIENTED_EDGE('',*,*,#15638,.F.); #19809=ORIENTED_EDGE('',*,*,#15641,.T.); #19810=ORIENTED_EDGE('',*,*,#15597,.F.); #19811=ORIENTED_EDGE('',*,*,#15639,.F.); #19812=ORIENTED_EDGE('',*,*,#15618,.F.); #19813=ORIENTED_EDGE('',*,*,#15637,.F.); #19814=ORIENTED_EDGE('',*,*,#15598,.F.); #19815=ORIENTED_EDGE('',*,*,#15641,.F.); #19816=ORIENTED_EDGE('',*,*,#15617,.F.); #19817=ORIENTED_EDGE('',*,*,#15642,.F.); #19818=ORIENTED_EDGE('',*,*,#15643,.F.); #19819=ORIENTED_EDGE('',*,*,#15642,.T.); #19820=ORIENTED_EDGE('',*,*,#15644,.F.); #19821=ORIENTED_EDGE('',*,*,#15645,.F.); #19822=ORIENTED_EDGE('',*,*,#15644,.T.); #19823=ORIENTED_EDGE('',*,*,#15646,.F.); #19824=ORIENTED_EDGE('',*,*,#15647,.F.); #19825=ORIENTED_EDGE('',*,*,#15646,.T.); #19826=ORIENTED_EDGE('',*,*,#15648,.F.); #19827=ORIENTED_EDGE('',*,*,#15649,.F.); #19828=ORIENTED_EDGE('',*,*,#15648,.T.); #19829=ORIENTED_EDGE('',*,*,#15650,.F.); #19830=ORIENTED_EDGE('',*,*,#15651,.F.); #19831=ORIENTED_EDGE('',*,*,#15650,.T.); #19832=ORIENTED_EDGE('',*,*,#15652,.F.); #19833=ORIENTED_EDGE('',*,*,#15653,.F.); #19834=ORIENTED_EDGE('',*,*,#15652,.T.); #19835=ORIENTED_EDGE('',*,*,#15654,.F.); #19836=ORIENTED_EDGE('',*,*,#15655,.F.); #19837=ORIENTED_EDGE('',*,*,#15654,.T.); #19838=ORIENTED_EDGE('',*,*,#15656,.F.); #19839=ORIENTED_EDGE('',*,*,#15657,.F.); #19840=ORIENTED_EDGE('',*,*,#15656,.T.); #19841=ORIENTED_EDGE('',*,*,#15658,.F.); #19842=ORIENTED_EDGE('',*,*,#15659,.F.); #19843=ORIENTED_EDGE('',*,*,#15658,.T.); #19844=ORIENTED_EDGE('',*,*,#15660,.F.); #19845=ORIENTED_EDGE('',*,*,#15661,.F.); #19846=ORIENTED_EDGE('',*,*,#15660,.T.); #19847=ORIENTED_EDGE('',*,*,#15662,.F.); #19848=ORIENTED_EDGE('',*,*,#15663,.F.); #19849=ORIENTED_EDGE('',*,*,#15662,.T.); #19850=ORIENTED_EDGE('',*,*,#15664,.F.); #19851=ORIENTED_EDGE('',*,*,#15665,.F.); #19852=ORIENTED_EDGE('',*,*,#15664,.T.); #19853=ORIENTED_EDGE('',*,*,#15666,.F.); #19854=ORIENTED_EDGE('',*,*,#15667,.F.); #19855=ORIENTED_EDGE('',*,*,#15666,.T.); #19856=ORIENTED_EDGE('',*,*,#15668,.F.); #19857=ORIENTED_EDGE('',*,*,#15669,.F.); #19858=ORIENTED_EDGE('',*,*,#15668,.T.); #19859=ORIENTED_EDGE('',*,*,#15670,.F.); #19860=ORIENTED_EDGE('',*,*,#15671,.F.); #19861=ORIENTED_EDGE('',*,*,#15670,.T.); #19862=ORIENTED_EDGE('',*,*,#15672,.F.); #19863=ORIENTED_EDGE('',*,*,#15673,.F.); #19864=ORIENTED_EDGE('',*,*,#15672,.T.); #19865=ORIENTED_EDGE('',*,*,#15674,.F.); #19866=ORIENTED_EDGE('',*,*,#15675,.F.); #19867=ORIENTED_EDGE('',*,*,#15674,.T.); #19868=ORIENTED_EDGE('',*,*,#15676,.F.); #19869=ORIENTED_EDGE('',*,*,#15677,.F.); #19870=ORIENTED_EDGE('',*,*,#15676,.T.); #19871=ORIENTED_EDGE('',*,*,#15678,.T.); #19872=ORIENTED_EDGE('',*,*,#15679,.F.); #19873=ORIENTED_EDGE('',*,*,#15678,.F.); #19874=ORIENTED_EDGE('',*,*,#15680,.T.); #19875=ORIENTED_EDGE('',*,*,#15681,.F.); #19876=ORIENTED_EDGE('',*,*,#15680,.F.); #19877=ORIENTED_EDGE('',*,*,#15682,.T.); #19878=ORIENTED_EDGE('',*,*,#15683,.F.); #19879=ORIENTED_EDGE('',*,*,#15682,.F.); #19880=ORIENTED_EDGE('',*,*,#15684,.T.); #19881=ORIENTED_EDGE('',*,*,#15685,.F.); #19882=ORIENTED_EDGE('',*,*,#15684,.F.); #19883=ORIENTED_EDGE('',*,*,#15686,.T.); #19884=ORIENTED_EDGE('',*,*,#15687,.F.); #19885=ORIENTED_EDGE('',*,*,#15686,.F.); #19886=ORIENTED_EDGE('',*,*,#15688,.T.); #19887=ORIENTED_EDGE('',*,*,#15689,.F.); #19888=ORIENTED_EDGE('',*,*,#15688,.F.); #19889=ORIENTED_EDGE('',*,*,#15690,.T.); #19890=ORIENTED_EDGE('',*,*,#15691,.F.); #19891=ORIENTED_EDGE('',*,*,#15690,.F.); #19892=ORIENTED_EDGE('',*,*,#15692,.T.); #19893=ORIENTED_EDGE('',*,*,#15693,.F.); #19894=ORIENTED_EDGE('',*,*,#15692,.F.); #19895=ORIENTED_EDGE('',*,*,#15694,.T.); #19896=ORIENTED_EDGE('',*,*,#15695,.F.); #19897=ORIENTED_EDGE('',*,*,#15694,.F.); #19898=ORIENTED_EDGE('',*,*,#15696,.T.); #19899=ORIENTED_EDGE('',*,*,#15697,.F.); #19900=ORIENTED_EDGE('',*,*,#15696,.F.); #19901=ORIENTED_EDGE('',*,*,#15698,.T.); #19902=ORIENTED_EDGE('',*,*,#15699,.F.); #19903=ORIENTED_EDGE('',*,*,#15698,.F.); #19904=ORIENTED_EDGE('',*,*,#15700,.T.); #19905=ORIENTED_EDGE('',*,*,#15701,.F.); #19906=ORIENTED_EDGE('',*,*,#15700,.F.); #19907=ORIENTED_EDGE('',*,*,#15702,.T.); #19908=ORIENTED_EDGE('',*,*,#15703,.F.); #19909=ORIENTED_EDGE('',*,*,#15702,.F.); #19910=ORIENTED_EDGE('',*,*,#15704,.T.); #19911=ORIENTED_EDGE('',*,*,#15705,.F.); #19912=ORIENTED_EDGE('',*,*,#15704,.F.); #19913=ORIENTED_EDGE('',*,*,#15706,.T.); #19914=ORIENTED_EDGE('',*,*,#15707,.F.); #19915=ORIENTED_EDGE('',*,*,#15706,.F.); #19916=ORIENTED_EDGE('',*,*,#15708,.T.); #19917=ORIENTED_EDGE('',*,*,#15709,.F.); #19918=ORIENTED_EDGE('',*,*,#15708,.F.); #19919=ORIENTED_EDGE('',*,*,#15710,.T.); #19920=ORIENTED_EDGE('',*,*,#15711,.F.); #19921=ORIENTED_EDGE('',*,*,#15710,.F.); #19922=ORIENTED_EDGE('',*,*,#15712,.T.); #19923=ORIENTED_EDGE('',*,*,#15713,.F.); #19924=ORIENTED_EDGE('',*,*,#15712,.F.); #19925=ORIENTED_EDGE('',*,*,#15714,.T.); #19926=ORIENTED_EDGE('',*,*,#15715,.F.); #19927=ORIENTED_EDGE('',*,*,#15716,.F.); #19928=ORIENTED_EDGE('',*,*,#15717,.F.); #19929=ORIENTED_EDGE('',*,*,#15645,.T.); #19930=ORIENTED_EDGE('',*,*,#15647,.T.); #19931=ORIENTED_EDGE('',*,*,#15649,.T.); #19932=ORIENTED_EDGE('',*,*,#15651,.T.); #19933=ORIENTED_EDGE('',*,*,#15653,.T.); #19934=ORIENTED_EDGE('',*,*,#15655,.T.); #19935=ORIENTED_EDGE('',*,*,#15715,.T.); #19936=ORIENTED_EDGE('',*,*,#15718,.T.); #19937=ORIENTED_EDGE('',*,*,#15719,.F.); #19938=ORIENTED_EDGE('',*,*,#15720,.T.); #19939=ORIENTED_EDGE('',*,*,#15721,.F.); #19940=ORIENTED_EDGE('',*,*,#15643,.T.); #19941=ORIENTED_EDGE('',*,*,#15683,.T.); #19942=ORIENTED_EDGE('',*,*,#15722,.F.); #19943=ORIENTED_EDGE('',*,*,#15723,.F.); #19944=ORIENTED_EDGE('',*,*,#15718,.F.); #19945=ORIENTED_EDGE('',*,*,#15714,.F.); #19946=ORIENTED_EDGE('',*,*,#15703,.T.); #19947=ORIENTED_EDGE('',*,*,#15705,.T.); #19948=ORIENTED_EDGE('',*,*,#15707,.T.); #19949=ORIENTED_EDGE('',*,*,#15709,.T.); #19950=ORIENTED_EDGE('',*,*,#15711,.T.); #19951=ORIENTED_EDGE('',*,*,#15713,.T.); #19952=ORIENTED_EDGE('',*,*,#15723,.T.); #19953=ORIENTED_EDGE('',*,*,#15724,.T.); #19954=ORIENTED_EDGE('',*,*,#15725,.T.); #19955=ORIENTED_EDGE('',*,*,#15726,.T.); #19956=ORIENTED_EDGE('',*,*,#15727,.F.); #19957=ORIENTED_EDGE('',*,*,#15728,.T.); #19958=ORIENTED_EDGE('',*,*,#15729,.T.); #19959=ORIENTED_EDGE('',*,*,#15719,.T.); #19960=ORIENTED_EDGE('',*,*,#15722,.T.); #19961=ORIENTED_EDGE('',*,*,#15730,.T.); #19962=ORIENTED_EDGE('',*,*,#15731,.F.); #19963=ORIENTED_EDGE('',*,*,#15724,.F.); #19964=ORIENTED_EDGE('',*,*,#15685,.T.); #19965=ORIENTED_EDGE('',*,*,#15687,.T.); #19966=ORIENTED_EDGE('',*,*,#15689,.T.); #19967=ORIENTED_EDGE('',*,*,#15691,.T.); #19968=ORIENTED_EDGE('',*,*,#15693,.T.); #19969=ORIENTED_EDGE('',*,*,#15695,.T.); #19970=ORIENTED_EDGE('',*,*,#15697,.T.); #19971=ORIENTED_EDGE('',*,*,#15699,.T.); #19972=ORIENTED_EDGE('',*,*,#15701,.T.); #19973=ORIENTED_EDGE('',*,*,#15731,.T.); #19974=ORIENTED_EDGE('',*,*,#15732,.T.); #19975=ORIENTED_EDGE('',*,*,#15733,.F.); #19976=ORIENTED_EDGE('',*,*,#15734,.F.); #19977=ORIENTED_EDGE('',*,*,#15725,.F.); #19978=ORIENTED_EDGE('',*,*,#15675,.T.); #19979=ORIENTED_EDGE('',*,*,#15677,.T.); #19980=ORIENTED_EDGE('',*,*,#15679,.T.); #19981=ORIENTED_EDGE('',*,*,#15681,.T.); #19982=ORIENTED_EDGE('',*,*,#15717,.T.); #19983=ORIENTED_EDGE('',*,*,#15735,.F.); #19984=ORIENTED_EDGE('',*,*,#15732,.F.); #19985=ORIENTED_EDGE('',*,*,#15730,.F.); #19986=ORIENTED_EDGE('',*,*,#15657,.T.); #19987=ORIENTED_EDGE('',*,*,#15659,.T.); #19988=ORIENTED_EDGE('',*,*,#15661,.T.); #19989=ORIENTED_EDGE('',*,*,#15663,.T.); #19990=ORIENTED_EDGE('',*,*,#15665,.T.); #19991=ORIENTED_EDGE('',*,*,#15667,.T.); #19992=ORIENTED_EDGE('',*,*,#15669,.T.); #19993=ORIENTED_EDGE('',*,*,#15671,.T.); #19994=ORIENTED_EDGE('',*,*,#15673,.T.); #19995=ORIENTED_EDGE('',*,*,#15727,.T.); #19996=ORIENTED_EDGE('',*,*,#15736,.T.); #19997=ORIENTED_EDGE('',*,*,#15737,.T.); #19998=ORIENTED_EDGE('',*,*,#15738,.T.); #19999=ORIENTED_EDGE('',*,*,#15736,.F.); #20000=ORIENTED_EDGE('',*,*,#15726,.F.); #20001=ORIENTED_EDGE('',*,*,#15734,.T.); #20002=ORIENTED_EDGE('',*,*,#15739,.F.); #20003=ORIENTED_EDGE('',*,*,#15738,.F.); #20004=ORIENTED_EDGE('',*,*,#15740,.F.); #20005=ORIENTED_EDGE('',*,*,#15741,.T.); #20006=ORIENTED_EDGE('',*,*,#15728,.F.); #20007=ORIENTED_EDGE('',*,*,#15720,.F.); #20008=ORIENTED_EDGE('',*,*,#15729,.F.); #20009=ORIENTED_EDGE('',*,*,#15741,.F.); #20010=ORIENTED_EDGE('',*,*,#15742,.F.); #20011=ORIENTED_EDGE('',*,*,#15716,.T.); #20012=ORIENTED_EDGE('',*,*,#15721,.T.); #20013=ORIENTED_EDGE('',*,*,#15742,.T.); #20014=ORIENTED_EDGE('',*,*,#15740,.T.); #20015=ORIENTED_EDGE('',*,*,#15737,.F.); #20016=ORIENTED_EDGE('',*,*,#15739,.T.); #20017=ORIENTED_EDGE('',*,*,#15733,.T.); #20018=ORIENTED_EDGE('',*,*,#15735,.T.); #20019=ORIENTED_EDGE('',*,*,#15743,.T.); #20020=ORIENTED_EDGE('',*,*,#15744,.F.); #20021=ORIENTED_EDGE('',*,*,#15745,.F.); #20022=ORIENTED_EDGE('',*,*,#15746,.F.); #20023=ORIENTED_EDGE('',*,*,#15747,.T.); #20024=ORIENTED_EDGE('',*,*,#15748,.T.); #20025=ORIENTED_EDGE('',*,*,#15749,.T.); #20026=ORIENTED_EDGE('',*,*,#15750,.F.); #20027=ORIENTED_EDGE('',*,*,#15751,.T.); #20028=ORIENTED_EDGE('',*,*,#15752,.T.); #20029=ORIENTED_EDGE('',*,*,#15753,.T.); #20030=ORIENTED_EDGE('',*,*,#15754,.F.); #20031=ORIENTED_EDGE('',*,*,#15755,.T.); #20032=ORIENTED_EDGE('',*,*,#15743,.F.); #20033=ORIENTED_EDGE('',*,*,#15756,.T.); #20034=ORIENTED_EDGE('',*,*,#15757,.T.); #20035=ORIENTED_EDGE('',*,*,#15758,.T.); #20036=ORIENTED_EDGE('',*,*,#15759,.T.); #20037=ORIENTED_EDGE('',*,*,#15760,.T.); #20038=ORIENTED_EDGE('',*,*,#15761,.T.); #20039=ORIENTED_EDGE('',*,*,#15762,.T.); #20040=ORIENTED_EDGE('',*,*,#15763,.T.); #20041=ORIENTED_EDGE('',*,*,#15764,.T.); #20042=ORIENTED_EDGE('',*,*,#15765,.T.); #20043=ORIENTED_EDGE('',*,*,#15766,.T.); #20044=ORIENTED_EDGE('',*,*,#15767,.T.); #20045=ORIENTED_EDGE('',*,*,#15768,.T.); #20046=ORIENTED_EDGE('',*,*,#15769,.T.); #20047=ORIENTED_EDGE('',*,*,#15770,.T.); #20048=ORIENTED_EDGE('',*,*,#15771,.T.); #20049=ORIENTED_EDGE('',*,*,#15772,.T.); #20050=ORIENTED_EDGE('',*,*,#15773,.T.); #20051=ORIENTED_EDGE('',*,*,#15774,.T.); #20052=ORIENTED_EDGE('',*,*,#15775,.T.); #20053=ORIENTED_EDGE('',*,*,#15776,.T.); #20054=ORIENTED_EDGE('',*,*,#15746,.T.); #20055=ORIENTED_EDGE('',*,*,#15777,.F.); #20056=ORIENTED_EDGE('',*,*,#15778,.T.); #20057=ORIENTED_EDGE('',*,*,#15747,.F.); #20058=ORIENTED_EDGE('',*,*,#15748,.F.); #20059=ORIENTED_EDGE('',*,*,#15778,.F.); #20060=ORIENTED_EDGE('',*,*,#15779,.F.); #20061=ORIENTED_EDGE('',*,*,#15780,.F.); #20062=ORIENTED_EDGE('',*,*,#15780,.T.); #20063=ORIENTED_EDGE('',*,*,#15781,.F.); #20064=ORIENTED_EDGE('',*,*,#15782,.F.); #20065=ORIENTED_EDGE('',*,*,#15749,.F.); #20066=ORIENTED_EDGE('',*,*,#15745,.T.); #20067=ORIENTED_EDGE('',*,*,#15783,.T.); #20068=ORIENTED_EDGE('',*,*,#15784,.T.); #20069=ORIENTED_EDGE('',*,*,#15785,.T.); #20070=ORIENTED_EDGE('',*,*,#15786,.T.); #20071=ORIENTED_EDGE('',*,*,#15781,.T.); #20072=ORIENTED_EDGE('',*,*,#15779,.T.); #20073=ORIENTED_EDGE('',*,*,#15777,.T.); #20074=ORIENTED_EDGE('',*,*,#15787,.T.); #20075=ORIENTED_EDGE('',*,*,#15788,.T.); #20076=ORIENTED_EDGE('',*,*,#15789,.T.); #20077=ORIENTED_EDGE('',*,*,#15790,.T.); #20078=ORIENTED_EDGE('',*,*,#15791,.T.); #20079=ORIENTED_EDGE('',*,*,#15792,.T.); #20080=ORIENTED_EDGE('',*,*,#15793,.T.); #20081=ORIENTED_EDGE('',*,*,#15794,.T.); #20082=ORIENTED_EDGE('',*,*,#15795,.T.); #20083=ORIENTED_EDGE('',*,*,#15796,.T.); #20084=ORIENTED_EDGE('',*,*,#15797,.T.); #20085=ORIENTED_EDGE('',*,*,#15798,.T.); #20086=ORIENTED_EDGE('',*,*,#15751,.F.); #20087=ORIENTED_EDGE('',*,*,#15799,.F.); #20088=ORIENTED_EDGE('',*,*,#15800,.F.); #20089=ORIENTED_EDGE('',*,*,#15801,.T.); #20090=ORIENTED_EDGE('',*,*,#15752,.F.); #20091=ORIENTED_EDGE('',*,*,#15801,.F.); #20092=ORIENTED_EDGE('',*,*,#15802,.F.); #20093=ORIENTED_EDGE('',*,*,#15803,.T.); #20094=ORIENTED_EDGE('',*,*,#15753,.F.); #20095=ORIENTED_EDGE('',*,*,#15803,.F.); #20096=ORIENTED_EDGE('',*,*,#15804,.F.); #20097=ORIENTED_EDGE('',*,*,#15805,.F.); #20098=ORIENTED_EDGE('',*,*,#15744,.T.); #20099=ORIENTED_EDGE('',*,*,#15755,.F.); #20100=ORIENTED_EDGE('',*,*,#15806,.F.); #20101=ORIENTED_EDGE('',*,*,#15783,.F.); #20102=ORIENTED_EDGE('',*,*,#15804,.T.); #20103=ORIENTED_EDGE('',*,*,#15802,.T.); #20104=ORIENTED_EDGE('',*,*,#15800,.T.); #20105=ORIENTED_EDGE('',*,*,#15807,.T.); #20106=ORIENTED_EDGE('',*,*,#15808,.T.); #20107=ORIENTED_EDGE('',*,*,#15809,.T.); #20108=ORIENTED_EDGE('',*,*,#15810,.T.); #20109=ORIENTED_EDGE('',*,*,#15811,.T.); #20110=ORIENTED_EDGE('',*,*,#15812,.T.); #20111=ORIENTED_EDGE('',*,*,#15813,.T.); #20112=ORIENTED_EDGE('',*,*,#15814,.T.); #20113=ORIENTED_EDGE('',*,*,#15815,.T.); #20114=ORIENTED_EDGE('',*,*,#15816,.T.); #20115=ORIENTED_EDGE('',*,*,#15817,.T.); #20116=ORIENTED_EDGE('',*,*,#15818,.T.); #20117=ORIENTED_EDGE('',*,*,#15819,.T.); #20118=ORIENTED_EDGE('',*,*,#15820,.T.); #20119=ORIENTED_EDGE('',*,*,#15821,.T.); #20120=ORIENTED_EDGE('',*,*,#15799,.T.); #20121=ORIENTED_EDGE('',*,*,#15750,.T.); #20122=ORIENTED_EDGE('',*,*,#15782,.T.); #20123=ORIENTED_EDGE('',*,*,#15786,.F.); #20124=ORIENTED_EDGE('',*,*,#15822,.T.); #20125=ORIENTED_EDGE('',*,*,#15823,.F.); #20126=ORIENTED_EDGE('',*,*,#15824,.T.); #20127=ORIENTED_EDGE('',*,*,#15807,.F.); #20128=ORIENTED_EDGE('',*,*,#15787,.F.); #20129=ORIENTED_EDGE('',*,*,#15825,.F.); #20130=ORIENTED_EDGE('',*,*,#15788,.F.); #20131=ORIENTED_EDGE('',*,*,#15826,.F.); #20132=ORIENTED_EDGE('',*,*,#15789,.F.); #20133=ORIENTED_EDGE('',*,*,#15827,.F.); #20134=ORIENTED_EDGE('',*,*,#15790,.F.); #20135=ORIENTED_EDGE('',*,*,#15828,.F.); #20136=ORIENTED_EDGE('',*,*,#15791,.F.); #20137=ORIENTED_EDGE('',*,*,#15829,.F.); #20138=ORIENTED_EDGE('',*,*,#15792,.F.); #20139=ORIENTED_EDGE('',*,*,#15830,.F.); #20140=ORIENTED_EDGE('',*,*,#15793,.F.); #20141=ORIENTED_EDGE('',*,*,#15831,.F.); #20142=ORIENTED_EDGE('',*,*,#15794,.F.); #20143=ORIENTED_EDGE('',*,*,#15832,.F.); #20144=ORIENTED_EDGE('',*,*,#15795,.F.); #20145=ORIENTED_EDGE('',*,*,#15833,.F.); #20146=ORIENTED_EDGE('',*,*,#15796,.F.); #20147=ORIENTED_EDGE('',*,*,#15834,.F.); #20148=ORIENTED_EDGE('',*,*,#15797,.F.); #20149=ORIENTED_EDGE('',*,*,#15835,.F.); #20150=ORIENTED_EDGE('',*,*,#15798,.F.); #20151=ORIENTED_EDGE('',*,*,#15836,.F.); #20152=ORIENTED_EDGE('',*,*,#15785,.F.); #20153=ORIENTED_EDGE('',*,*,#15837,.F.); #20154=ORIENTED_EDGE('',*,*,#15838,.F.); #20155=ORIENTED_EDGE('',*,*,#15822,.F.); #20156=ORIENTED_EDGE('',*,*,#15838,.T.); #20157=ORIENTED_EDGE('',*,*,#15839,.T.); #20158=ORIENTED_EDGE('',*,*,#15840,.T.); #20159=ORIENTED_EDGE('',*,*,#15841,.T.); #20160=ORIENTED_EDGE('',*,*,#15842,.T.); #20161=ORIENTED_EDGE('',*,*,#15843,.T.); #20162=ORIENTED_EDGE('',*,*,#15844,.T.); #20163=ORIENTED_EDGE('',*,*,#15823,.T.); #20164=ORIENTED_EDGE('',*,*,#15825,.T.); #20165=ORIENTED_EDGE('',*,*,#15826,.T.); #20166=ORIENTED_EDGE('',*,*,#15827,.T.); #20167=ORIENTED_EDGE('',*,*,#15828,.T.); #20168=ORIENTED_EDGE('',*,*,#15829,.T.); #20169=ORIENTED_EDGE('',*,*,#15830,.T.); #20170=ORIENTED_EDGE('',*,*,#15831,.T.); #20171=ORIENTED_EDGE('',*,*,#15832,.T.); #20172=ORIENTED_EDGE('',*,*,#15833,.T.); #20173=ORIENTED_EDGE('',*,*,#15834,.T.); #20174=ORIENTED_EDGE('',*,*,#15835,.T.); #20175=ORIENTED_EDGE('',*,*,#15836,.T.); #20176=ORIENTED_EDGE('',*,*,#15845,.T.); #20177=ORIENTED_EDGE('',*,*,#15846,.T.); #20178=ORIENTED_EDGE('',*,*,#15847,.T.); #20179=ORIENTED_EDGE('',*,*,#15848,.T.); #20180=ORIENTED_EDGE('',*,*,#15849,.T.); #20181=ORIENTED_EDGE('',*,*,#15850,.T.); #20182=ORIENTED_EDGE('',*,*,#15851,.T.); #20183=ORIENTED_EDGE('',*,*,#15852,.T.); #20184=ORIENTED_EDGE('',*,*,#15853,.T.); #20185=ORIENTED_EDGE('',*,*,#15854,.T.); #20186=ORIENTED_EDGE('',*,*,#15855,.T.); #20187=ORIENTED_EDGE('',*,*,#15856,.T.); #20188=ORIENTED_EDGE('',*,*,#15857,.T.); #20189=ORIENTED_EDGE('',*,*,#15858,.T.); #20190=ORIENTED_EDGE('',*,*,#15859,.T.); #20191=ORIENTED_EDGE('',*,*,#15860,.T.); #20192=ORIENTED_EDGE('',*,*,#15861,.T.); #20193=ORIENTED_EDGE('',*,*,#15862,.T.); #20194=ORIENTED_EDGE('',*,*,#15863,.T.); #20195=ORIENTED_EDGE('',*,*,#15864,.T.); #20196=ORIENTED_EDGE('',*,*,#15865,.T.); #20197=ORIENTED_EDGE('',*,*,#15866,.T.); #20198=ORIENTED_EDGE('',*,*,#15867,.T.); #20199=ORIENTED_EDGE('',*,*,#15868,.T.); #20200=ORIENTED_EDGE('',*,*,#15869,.T.); #20201=ORIENTED_EDGE('',*,*,#15870,.T.); #20202=ORIENTED_EDGE('',*,*,#15871,.T.); #20203=ORIENTED_EDGE('',*,*,#15872,.T.); #20204=ORIENTED_EDGE('',*,*,#15873,.T.); #20205=ORIENTED_EDGE('',*,*,#15821,.F.); #20206=ORIENTED_EDGE('',*,*,#15873,.F.); #20207=ORIENTED_EDGE('',*,*,#15820,.F.); #20208=ORIENTED_EDGE('',*,*,#15872,.F.); #20209=ORIENTED_EDGE('',*,*,#15819,.F.); #20210=ORIENTED_EDGE('',*,*,#15871,.F.); #20211=ORIENTED_EDGE('',*,*,#15818,.F.); #20212=ORIENTED_EDGE('',*,*,#15870,.F.); #20213=ORIENTED_EDGE('',*,*,#15776,.F.); #20214=ORIENTED_EDGE('',*,*,#15869,.F.); #20215=ORIENTED_EDGE('',*,*,#15775,.F.); #20216=ORIENTED_EDGE('',*,*,#15868,.F.); #20217=ORIENTED_EDGE('',*,*,#15774,.F.); #20218=ORIENTED_EDGE('',*,*,#15867,.F.); #20219=ORIENTED_EDGE('',*,*,#15773,.F.); #20220=ORIENTED_EDGE('',*,*,#15866,.F.); #20221=ORIENTED_EDGE('',*,*,#15772,.F.); #20222=ORIENTED_EDGE('',*,*,#15865,.F.); #20223=ORIENTED_EDGE('',*,*,#15771,.F.); #20224=ORIENTED_EDGE('',*,*,#15864,.F.); #20225=ORIENTED_EDGE('',*,*,#15770,.F.); #20226=ORIENTED_EDGE('',*,*,#15863,.F.); #20227=ORIENTED_EDGE('',*,*,#15769,.F.); #20228=ORIENTED_EDGE('',*,*,#15862,.F.); #20229=ORIENTED_EDGE('',*,*,#15768,.F.); #20230=ORIENTED_EDGE('',*,*,#15861,.F.); #20231=ORIENTED_EDGE('',*,*,#15767,.F.); #20232=ORIENTED_EDGE('',*,*,#15860,.F.); #20233=ORIENTED_EDGE('',*,*,#15766,.F.); #20234=ORIENTED_EDGE('',*,*,#15859,.F.); #20235=ORIENTED_EDGE('',*,*,#15765,.F.); #20236=ORIENTED_EDGE('',*,*,#15858,.F.); #20237=ORIENTED_EDGE('',*,*,#15764,.F.); #20238=ORIENTED_EDGE('',*,*,#15857,.F.); #20239=ORIENTED_EDGE('',*,*,#15763,.F.); #20240=ORIENTED_EDGE('',*,*,#15856,.F.); #20241=ORIENTED_EDGE('',*,*,#15762,.F.); #20242=ORIENTED_EDGE('',*,*,#15855,.F.); #20243=ORIENTED_EDGE('',*,*,#15761,.F.); #20244=ORIENTED_EDGE('',*,*,#15854,.F.); #20245=ORIENTED_EDGE('',*,*,#15760,.F.); #20246=ORIENTED_EDGE('',*,*,#15853,.F.); #20247=ORIENTED_EDGE('',*,*,#15759,.F.); #20248=ORIENTED_EDGE('',*,*,#15852,.F.); #20249=ORIENTED_EDGE('',*,*,#15758,.F.); #20250=ORIENTED_EDGE('',*,*,#15851,.F.); #20251=ORIENTED_EDGE('',*,*,#15757,.F.); #20252=ORIENTED_EDGE('',*,*,#15850,.F.); #20253=ORIENTED_EDGE('',*,*,#15756,.F.); #20254=ORIENTED_EDGE('',*,*,#15849,.F.); #20255=ORIENTED_EDGE('',*,*,#15817,.F.); #20256=ORIENTED_EDGE('',*,*,#15848,.F.); #20257=ORIENTED_EDGE('',*,*,#15816,.F.); #20258=ORIENTED_EDGE('',*,*,#15847,.F.); #20259=ORIENTED_EDGE('',*,*,#15815,.F.); #20260=ORIENTED_EDGE('',*,*,#15846,.F.); #20261=ORIENTED_EDGE('',*,*,#15814,.F.); #20262=ORIENTED_EDGE('',*,*,#15845,.F.); #20263=ORIENTED_EDGE('',*,*,#15805,.T.); #20264=ORIENTED_EDGE('',*,*,#15813,.F.); #20265=ORIENTED_EDGE('',*,*,#15874,.F.); #20266=ORIENTED_EDGE('',*,*,#15839,.F.); #20267=ORIENTED_EDGE('',*,*,#15837,.T.); #20268=ORIENTED_EDGE('',*,*,#15784,.F.); #20269=ORIENTED_EDGE('',*,*,#15806,.T.); #20270=ORIENTED_EDGE('',*,*,#15754,.T.); #20271=ORIENTED_EDGE('',*,*,#15842,.F.); #20272=ORIENTED_EDGE('',*,*,#15875,.T.); #20273=ORIENTED_EDGE('',*,*,#15810,.F.); #20274=ORIENTED_EDGE('',*,*,#15876,.T.); #20275=ORIENTED_EDGE('',*,*,#15841,.F.); #20276=ORIENTED_EDGE('',*,*,#15877,.T.); #20277=ORIENTED_EDGE('',*,*,#15811,.F.); #20278=ORIENTED_EDGE('',*,*,#15875,.F.); #20279=ORIENTED_EDGE('',*,*,#15840,.F.); #20280=ORIENTED_EDGE('',*,*,#15874,.T.); #20281=ORIENTED_EDGE('',*,*,#15812,.F.); #20282=ORIENTED_EDGE('',*,*,#15877,.F.); #20283=ORIENTED_EDGE('',*,*,#15878,.T.); #20284=ORIENTED_EDGE('',*,*,#15843,.F.); #20285=ORIENTED_EDGE('',*,*,#15876,.F.); #20286=ORIENTED_EDGE('',*,*,#15809,.F.); #20287=ORIENTED_EDGE('',*,*,#15844,.F.); #20288=ORIENTED_EDGE('',*,*,#15878,.F.); #20289=ORIENTED_EDGE('',*,*,#15808,.F.); #20290=ORIENTED_EDGE('',*,*,#15824,.F.); #20291=ORIENTED_EDGE('',*,*,#15879,.F.); #20292=ORIENTED_EDGE('',*,*,#15880,.F.); #20293=ORIENTED_EDGE('',*,*,#15881,.F.); #20294=ORIENTED_EDGE('',*,*,#15882,.F.); #20295=ORIENTED_EDGE('',*,*,#15883,.F.); #20296=ORIENTED_EDGE('',*,*,#15884,.F.); #20297=ORIENTED_EDGE('',*,*,#15885,.F.); #20298=ORIENTED_EDGE('',*,*,#15886,.F.); #20299=ORIENTED_EDGE('',*,*,#15887,.T.); #20300=ORIENTED_EDGE('',*,*,#15888,.F.); #20301=ORIENTED_EDGE('',*,*,#15887,.F.); #20302=ORIENTED_EDGE('',*,*,#15889,.T.); #20303=ORIENTED_EDGE('',*,*,#15890,.F.); #20304=ORIENTED_EDGE('',*,*,#15889,.F.); #20305=ORIENTED_EDGE('',*,*,#15891,.T.); #20306=ORIENTED_EDGE('',*,*,#15892,.F.); #20307=ORIENTED_EDGE('',*,*,#15891,.F.); #20308=ORIENTED_EDGE('',*,*,#15893,.F.); #20309=ORIENTED_EDGE('',*,*,#15894,.F.); #20310=ORIENTED_EDGE('',*,*,#15895,.F.); #20311=ORIENTED_EDGE('',*,*,#15896,.F.); #20312=ORIENTED_EDGE('',*,*,#15897,.F.); #20313=ORIENTED_EDGE('',*,*,#15898,.F.); #20314=ORIENTED_EDGE('',*,*,#15899,.F.); #20315=ORIENTED_EDGE('',*,*,#15900,.F.); #20316=ORIENTED_EDGE('',*,*,#15901,.F.); #20317=ORIENTED_EDGE('',*,*,#15895,.T.); #20318=ORIENTED_EDGE('',*,*,#15902,.T.); #20319=ORIENTED_EDGE('',*,*,#15903,.T.); #20320=ORIENTED_EDGE('',*,*,#15904,.T.); #20321=ORIENTED_EDGE('',*,*,#15880,.T.); #20322=ORIENTED_EDGE('',*,*,#15882,.T.); #20323=ORIENTED_EDGE('',*,*,#15884,.T.); #20324=ORIENTED_EDGE('',*,*,#15886,.T.); #20325=ORIENTED_EDGE('',*,*,#15897,.T.); #20326=ORIENTED_EDGE('',*,*,#15905,.F.); #20327=ORIENTED_EDGE('',*,*,#15898,.T.); #20328=ORIENTED_EDGE('',*,*,#15906,.F.); #20329=ORIENTED_EDGE('',*,*,#15899,.T.); #20330=ORIENTED_EDGE('',*,*,#15907,.F.); #20331=ORIENTED_EDGE('',*,*,#15900,.T.); #20332=ORIENTED_EDGE('',*,*,#15908,.F.); #20333=ORIENTED_EDGE('',*,*,#15901,.T.); #20334=ORIENTED_EDGE('',*,*,#15909,.F.); #20335=ORIENTED_EDGE('',*,*,#15894,.T.); #20336=ORIENTED_EDGE('',*,*,#15910,.F.); #20337=ORIENTED_EDGE('',*,*,#15911,.T.); #20338=ORIENTED_EDGE('',*,*,#15902,.F.); #20339=ORIENTED_EDGE('',*,*,#15912,.F.); #20340=ORIENTED_EDGE('',*,*,#15913,.F.); #20341=ORIENTED_EDGE('',*,*,#15914,.F.); #20342=ORIENTED_EDGE('',*,*,#15893,.T.); #20343=ORIENTED_EDGE('',*,*,#15915,.T.); #20344=ORIENTED_EDGE('',*,*,#15916,.T.); #20345=ORIENTED_EDGE('',*,*,#15910,.T.); #20346=ORIENTED_EDGE('',*,*,#15879,.T.); #20347=ORIENTED_EDGE('',*,*,#15881,.T.); #20348=ORIENTED_EDGE('',*,*,#15883,.T.); #20349=ORIENTED_EDGE('',*,*,#15885,.T.); #20350=ORIENTED_EDGE('',*,*,#15917,.T.); #20351=ORIENTED_EDGE('',*,*,#15912,.T.); #20352=ORIENTED_EDGE('',*,*,#15917,.F.); #20353=ORIENTED_EDGE('',*,*,#15918,.T.); #20354=ORIENTED_EDGE('',*,*,#15913,.T.); #20355=ORIENTED_EDGE('',*,*,#15918,.F.); #20356=ORIENTED_EDGE('',*,*,#15914,.T.); #20357=ORIENTED_EDGE('',*,*,#15919,.F.); #20358=ORIENTED_EDGE('',*,*,#15920,.F.); #20359=ORIENTED_EDGE('',*,*,#15921,.F.); #20360=ORIENTED_EDGE('',*,*,#15920,.T.); #20361=ORIENTED_EDGE('',*,*,#15896,.T.); #20362=ORIENTED_EDGE('',*,*,#15904,.F.); #20363=ORIENTED_EDGE('',*,*,#15922,.F.); #20364=ORIENTED_EDGE('',*,*,#15915,.F.); #20365=ORIENTED_EDGE('',*,*,#15888,.T.); #20366=ORIENTED_EDGE('',*,*,#15890,.T.); #20367=ORIENTED_EDGE('',*,*,#15892,.T.); #20368=ORIENTED_EDGE('',*,*,#15916,.F.); #20369=ORIENTED_EDGE('',*,*,#15922,.T.); #20370=ORIENTED_EDGE('',*,*,#15903,.F.); #20371=ORIENTED_EDGE('',*,*,#15911,.F.); #20372=ORIENTED_EDGE('',*,*,#15905,.T.); #20373=ORIENTED_EDGE('',*,*,#15906,.T.); #20374=ORIENTED_EDGE('',*,*,#15907,.T.); #20375=ORIENTED_EDGE('',*,*,#15908,.T.); #20376=ORIENTED_EDGE('',*,*,#15909,.T.); #20377=ORIENTED_EDGE('',*,*,#15921,.T.); #20378=ORIENTED_EDGE('',*,*,#15919,.T.); #20379=ORIENTED_EDGE('',*,*,#15923,.T.); #20380=ORIENTED_EDGE('',*,*,#15924,.T.); #20381=ORIENTED_EDGE('',*,*,#15925,.T.); #20382=ORIENTED_EDGE('',*,*,#15926,.T.); #20383=ORIENTED_EDGE('',*,*,#15927,.T.); #20384=ORIENTED_EDGE('',*,*,#15928,.F.); #20385=ORIENTED_EDGE('',*,*,#15929,.F.); #20386=ORIENTED_EDGE('',*,*,#15927,.F.); #20387=ORIENTED_EDGE('',*,*,#15928,.T.); #20388=ORIENTED_EDGE('',*,*,#15930,.F.); #20389=ORIENTED_EDGE('',*,*,#15931,.T.); #20390=ORIENTED_EDGE('',*,*,#15932,.F.); #20391=ORIENTED_EDGE('',*,*,#15933,.F.); #20392=ORIENTED_EDGE('',*,*,#15931,.F.); #20393=ORIENTED_EDGE('',*,*,#15932,.T.); #20394=ORIENTED_EDGE('',*,*,#15934,.F.); #20395=ORIENTED_EDGE('',*,*,#15935,.T.); #20396=ORIENTED_EDGE('',*,*,#15936,.F.); #20397=ORIENTED_EDGE('',*,*,#15937,.F.); #20398=ORIENTED_EDGE('',*,*,#15935,.F.); #20399=ORIENTED_EDGE('',*,*,#15936,.T.); #20400=ORIENTED_EDGE('',*,*,#15938,.F.); #20401=ORIENTED_EDGE('',*,*,#15939,.T.); #20402=ORIENTED_EDGE('',*,*,#15940,.F.); #20403=ORIENTED_EDGE('',*,*,#15941,.F.); #20404=ORIENTED_EDGE('',*,*,#15939,.F.); #20405=ORIENTED_EDGE('',*,*,#15940,.T.); #20406=ORIENTED_EDGE('',*,*,#15942,.F.); #20407=ORIENTED_EDGE('',*,*,#15943,.T.); #20408=ORIENTED_EDGE('',*,*,#15944,.F.); #20409=ORIENTED_EDGE('',*,*,#15945,.F.); #20410=ORIENTED_EDGE('',*,*,#15943,.F.); #20411=ORIENTED_EDGE('',*,*,#15944,.T.); #20412=ORIENTED_EDGE('',*,*,#15946,.F.); #20413=ORIENTED_EDGE('',*,*,#15947,.T.); #20414=ORIENTED_EDGE('',*,*,#15948,.F.); #20415=ORIENTED_EDGE('',*,*,#15949,.F.); #20416=ORIENTED_EDGE('',*,*,#15947,.F.); #20417=ORIENTED_EDGE('',*,*,#15948,.T.); #20418=ORIENTED_EDGE('',*,*,#15950,.F.); #20419=ORIENTED_EDGE('',*,*,#15951,.T.); #20420=ORIENTED_EDGE('',*,*,#15952,.F.); #20421=ORIENTED_EDGE('',*,*,#15953,.F.); #20422=ORIENTED_EDGE('',*,*,#15951,.F.); #20423=ORIENTED_EDGE('',*,*,#15952,.T.); #20424=ORIENTED_EDGE('',*,*,#15954,.F.); #20425=ORIENTED_EDGE('',*,*,#15955,.T.); #20426=ORIENTED_EDGE('',*,*,#15956,.F.); #20427=ORIENTED_EDGE('',*,*,#15957,.F.); #20428=ORIENTED_EDGE('',*,*,#15955,.F.); #20429=ORIENTED_EDGE('',*,*,#15956,.T.); #20430=ORIENTED_EDGE('',*,*,#15958,.F.); #20431=ORIENTED_EDGE('',*,*,#15959,.T.); #20432=ORIENTED_EDGE('',*,*,#15960,.F.); #20433=ORIENTED_EDGE('',*,*,#15961,.F.); #20434=ORIENTED_EDGE('',*,*,#15959,.F.); #20435=ORIENTED_EDGE('',*,*,#15960,.T.); #20436=ORIENTED_EDGE('',*,*,#15962,.F.); #20437=ORIENTED_EDGE('',*,*,#15963,.T.); #20438=ORIENTED_EDGE('',*,*,#15964,.F.); #20439=ORIENTED_EDGE('',*,*,#15965,.F.); #20440=ORIENTED_EDGE('',*,*,#15963,.F.); #20441=ORIENTED_EDGE('',*,*,#15964,.T.); #20442=ORIENTED_EDGE('',*,*,#15966,.F.); #20443=ORIENTED_EDGE('',*,*,#15967,.T.); #20444=ORIENTED_EDGE('',*,*,#15968,.T.); #20445=ORIENTED_EDGE('',*,*,#15969,.F.); #20446=ORIENTED_EDGE('',*,*,#15970,.F.); #20447=ORIENTED_EDGE('',*,*,#15971,.F.); #20448=ORIENTED_EDGE('',*,*,#15970,.T.); #20449=ORIENTED_EDGE('',*,*,#15972,.T.); #20450=ORIENTED_EDGE('',*,*,#15924,.F.); #20451=ORIENTED_EDGE('',*,*,#15973,.T.); #20452=ORIENTED_EDGE('',*,*,#15926,.F.); #20453=ORIENTED_EDGE('',*,*,#15974,.F.); #20454=ORIENTED_EDGE('',*,*,#15968,.F.); #20455=ORIENTED_EDGE('',*,*,#15974,.T.); #20456=ORIENTED_EDGE('',*,*,#15925,.F.); #20457=ORIENTED_EDGE('',*,*,#15972,.F.); #20458=ORIENTED_EDGE('',*,*,#15969,.T.); #20459=ORIENTED_EDGE('',*,*,#15929,.T.); #20460=ORIENTED_EDGE('',*,*,#15933,.T.); #20461=ORIENTED_EDGE('',*,*,#15937,.T.); #20462=ORIENTED_EDGE('',*,*,#15941,.T.); #20463=ORIENTED_EDGE('',*,*,#15945,.T.); #20464=ORIENTED_EDGE('',*,*,#15949,.T.); #20465=ORIENTED_EDGE('',*,*,#15953,.T.); #20466=ORIENTED_EDGE('',*,*,#15957,.T.); #20467=ORIENTED_EDGE('',*,*,#15961,.T.); #20468=ORIENTED_EDGE('',*,*,#15965,.T.); #20469=ORIENTED_EDGE('',*,*,#15973,.F.); #20470=ORIENTED_EDGE('',*,*,#15967,.F.); #20471=ORIENTED_EDGE('',*,*,#15971,.T.); #20472=ORIENTED_EDGE('',*,*,#15923,.F.); #20473=ORIENTED_EDGE('',*,*,#15930,.T.); #20474=ORIENTED_EDGE('',*,*,#15934,.T.); #20475=ORIENTED_EDGE('',*,*,#15938,.T.); #20476=ORIENTED_EDGE('',*,*,#15942,.T.); #20477=ORIENTED_EDGE('',*,*,#15946,.T.); #20478=ORIENTED_EDGE('',*,*,#15950,.T.); #20479=ORIENTED_EDGE('',*,*,#15954,.T.); #20480=ORIENTED_EDGE('',*,*,#15958,.T.); #20481=ORIENTED_EDGE('',*,*,#15962,.T.); #20482=ORIENTED_EDGE('',*,*,#15966,.T.); #20483=ORIENTED_EDGE('',*,*,#15975,.F.); #20484=ORIENTED_EDGE('',*,*,#15976,.F.); #20485=ORIENTED_EDGE('',*,*,#15977,.F.); #20486=ORIENTED_EDGE('',*,*,#15978,.F.); #20487=ORIENTED_EDGE('',*,*,#15979,.F.); #20488=ORIENTED_EDGE('',*,*,#15980,.F.); #20489=ORIENTED_EDGE('',*,*,#15981,.F.); #20490=ORIENTED_EDGE('',*,*,#15982,.F.); #20491=ORIENTED_EDGE('',*,*,#15983,.F.); #20492=ORIENTED_EDGE('',*,*,#15984,.F.); #20493=ORIENTED_EDGE('',*,*,#15985,.F.); #20494=ORIENTED_EDGE('',*,*,#15986,.F.); #20495=ORIENTED_EDGE('',*,*,#15987,.F.); #20496=ORIENTED_EDGE('',*,*,#15988,.F.); #20497=ORIENTED_EDGE('',*,*,#15989,.F.); #20498=ORIENTED_EDGE('',*,*,#15990,.F.); #20499=ORIENTED_EDGE('',*,*,#15991,.F.); #20500=ORIENTED_EDGE('',*,*,#15992,.F.); #20501=ORIENTED_EDGE('',*,*,#15993,.F.); #20502=ORIENTED_EDGE('',*,*,#15994,.F.); #20503=ORIENTED_EDGE('',*,*,#15995,.F.); #20504=ORIENTED_EDGE('',*,*,#15996,.F.); #20505=ORIENTED_EDGE('',*,*,#15997,.F.); #20506=ORIENTED_EDGE('',*,*,#15998,.F.); #20507=ORIENTED_EDGE('',*,*,#15999,.F.); #20508=ORIENTED_EDGE('',*,*,#16000,.F.); #20509=ORIENTED_EDGE('',*,*,#16001,.F.); #20510=ORIENTED_EDGE('',*,*,#16002,.F.); #20511=ORIENTED_EDGE('',*,*,#16003,.F.); #20512=ORIENTED_EDGE('',*,*,#16004,.F.); #20513=ORIENTED_EDGE('',*,*,#16005,.F.); #20514=ORIENTED_EDGE('',*,*,#16006,.F.); #20515=ORIENTED_EDGE('',*,*,#16007,.F.); #20516=ORIENTED_EDGE('',*,*,#16008,.F.); #20517=ORIENTED_EDGE('',*,*,#16009,.F.); #20518=ORIENTED_EDGE('',*,*,#16010,.F.); #20519=ORIENTED_EDGE('',*,*,#16011,.F.); #20520=ORIENTED_EDGE('',*,*,#16012,.F.); #20521=ORIENTED_EDGE('',*,*,#16013,.F.); #20522=ORIENTED_EDGE('',*,*,#16014,.F.); #20523=ORIENTED_EDGE('',*,*,#16015,.F.); #20524=ORIENTED_EDGE('',*,*,#16016,.F.); #20525=ORIENTED_EDGE('',*,*,#16017,.F.); #20526=ORIENTED_EDGE('',*,*,#16018,.F.); #20527=ORIENTED_EDGE('',*,*,#16019,.F.); #20528=ORIENTED_EDGE('',*,*,#16020,.F.); #20529=ORIENTED_EDGE('',*,*,#16021,.F.); #20530=ORIENTED_EDGE('',*,*,#16022,.F.); #20531=ORIENTED_EDGE('',*,*,#16023,.F.); #20532=ORIENTED_EDGE('',*,*,#16024,.F.); #20533=ORIENTED_EDGE('',*,*,#16025,.F.); #20534=ORIENTED_EDGE('',*,*,#16026,.F.); #20535=ORIENTED_EDGE('',*,*,#16027,.F.); #20536=ORIENTED_EDGE('',*,*,#16028,.F.); #20537=ORIENTED_EDGE('',*,*,#16029,.F.); #20538=ORIENTED_EDGE('',*,*,#16030,.F.); #20539=ORIENTED_EDGE('',*,*,#16031,.F.); #20540=ORIENTED_EDGE('',*,*,#16032,.F.); #20541=ORIENTED_EDGE('',*,*,#16033,.F.); #20542=ORIENTED_EDGE('',*,*,#16034,.F.); #20543=ORIENTED_EDGE('',*,*,#16035,.F.); #20544=ORIENTED_EDGE('',*,*,#16036,.F.); #20545=ORIENTED_EDGE('',*,*,#16037,.F.); #20546=ORIENTED_EDGE('',*,*,#16038,.F.); #20547=ORIENTED_EDGE('',*,*,#16039,.F.); #20548=ORIENTED_EDGE('',*,*,#16040,.F.); #20549=ORIENTED_EDGE('',*,*,#16041,.F.); #20550=ORIENTED_EDGE('',*,*,#16042,.F.); #20551=ORIENTED_EDGE('',*,*,#16043,.F.); #20552=ORIENTED_EDGE('',*,*,#16044,.F.); #20553=ORIENTED_EDGE('',*,*,#16045,.F.); #20554=ORIENTED_EDGE('',*,*,#16046,.F.); #20555=ORIENTED_EDGE('',*,*,#16047,.F.); #20556=ORIENTED_EDGE('',*,*,#16048,.F.); #20557=ORIENTED_EDGE('',*,*,#16049,.F.); #20558=ORIENTED_EDGE('',*,*,#16050,.F.); #20559=ORIENTED_EDGE('',*,*,#16051,.F.); #20560=ORIENTED_EDGE('',*,*,#16052,.F.); #20561=ORIENTED_EDGE('',*,*,#16053,.F.); #20562=ORIENTED_EDGE('',*,*,#16054,.F.); #20563=ORIENTED_EDGE('',*,*,#16055,.F.); #20564=ORIENTED_EDGE('',*,*,#16056,.F.); #20565=ORIENTED_EDGE('',*,*,#16057,.F.); #20566=ORIENTED_EDGE('',*,*,#16058,.F.); #20567=ORIENTED_EDGE('',*,*,#16059,.F.); #20568=ORIENTED_EDGE('',*,*,#16060,.F.); #20569=ORIENTED_EDGE('',*,*,#16061,.F.); #20570=ORIENTED_EDGE('',*,*,#16062,.F.); #20571=ORIENTED_EDGE('',*,*,#16063,.F.); #20572=ORIENTED_EDGE('',*,*,#16064,.F.); #20573=ORIENTED_EDGE('',*,*,#16065,.F.); #20574=ORIENTED_EDGE('',*,*,#16066,.F.); #20575=ORIENTED_EDGE('',*,*,#16067,.F.); #20576=ORIENTED_EDGE('',*,*,#16068,.F.); #20577=ORIENTED_EDGE('',*,*,#16069,.F.); #20578=ORIENTED_EDGE('',*,*,#16070,.F.); #20579=ORIENTED_EDGE('',*,*,#16071,.F.); #20580=ORIENTED_EDGE('',*,*,#16072,.F.); #20581=ORIENTED_EDGE('',*,*,#16073,.F.); #20582=ORIENTED_EDGE('',*,*,#16074,.F.); #20583=ORIENTED_EDGE('',*,*,#16075,.F.); #20584=ORIENTED_EDGE('',*,*,#16076,.F.); #20585=ORIENTED_EDGE('',*,*,#16077,.F.); #20586=ORIENTED_EDGE('',*,*,#16078,.F.); #20587=ORIENTED_EDGE('',*,*,#16079,.F.); #20588=ORIENTED_EDGE('',*,*,#16080,.F.); #20589=ORIENTED_EDGE('',*,*,#16081,.F.); #20590=ORIENTED_EDGE('',*,*,#16082,.F.); #20591=ORIENTED_EDGE('',*,*,#16083,.F.); #20592=ORIENTED_EDGE('',*,*,#16084,.F.); #20593=ORIENTED_EDGE('',*,*,#16085,.F.); #20594=ORIENTED_EDGE('',*,*,#16086,.F.); #20595=ORIENTED_EDGE('',*,*,#16087,.F.); #20596=ORIENTED_EDGE('',*,*,#16088,.F.); #20597=ORIENTED_EDGE('',*,*,#16089,.F.); #20598=ORIENTED_EDGE('',*,*,#16090,.F.); #20599=ORIENTED_EDGE('',*,*,#16091,.F.); #20600=ORIENTED_EDGE('',*,*,#16092,.F.); #20601=ORIENTED_EDGE('',*,*,#16093,.F.); #20602=ORIENTED_EDGE('',*,*,#16094,.F.); #20603=ORIENTED_EDGE('',*,*,#16095,.F.); #20604=ORIENTED_EDGE('',*,*,#16096,.F.); #20605=ORIENTED_EDGE('',*,*,#16097,.F.); #20606=ORIENTED_EDGE('',*,*,#16098,.F.); #20607=ORIENTED_EDGE('',*,*,#16099,.F.); #20608=ORIENTED_EDGE('',*,*,#16100,.F.); #20609=ORIENTED_EDGE('',*,*,#16101,.F.); #20610=ORIENTED_EDGE('',*,*,#16102,.F.); #20611=ORIENTED_EDGE('',*,*,#16103,.F.); #20612=ORIENTED_EDGE('',*,*,#16104,.F.); #20613=ORIENTED_EDGE('',*,*,#16105,.F.); #20614=ORIENTED_EDGE('',*,*,#16106,.F.); #20615=ORIENTED_EDGE('',*,*,#16107,.F.); #20616=ORIENTED_EDGE('',*,*,#16108,.F.); #20617=ORIENTED_EDGE('',*,*,#16109,.F.); #20618=ORIENTED_EDGE('',*,*,#16110,.F.); #20619=ORIENTED_EDGE('',*,*,#16111,.F.); #20620=ORIENTED_EDGE('',*,*,#16112,.F.); #20621=ORIENTED_EDGE('',*,*,#16113,.F.); #20622=ORIENTED_EDGE('',*,*,#16114,.F.); #20623=ORIENTED_EDGE('',*,*,#16115,.F.); #20624=ORIENTED_EDGE('',*,*,#16116,.F.); #20625=ORIENTED_EDGE('',*,*,#16117,.F.); #20626=ORIENTED_EDGE('',*,*,#16118,.F.); #20627=ORIENTED_EDGE('',*,*,#16119,.F.); #20628=ORIENTED_EDGE('',*,*,#16120,.F.); #20629=ORIENTED_EDGE('',*,*,#16121,.F.); #20630=ORIENTED_EDGE('',*,*,#16122,.F.); #20631=ORIENTED_EDGE('',*,*,#16123,.F.); #20632=ORIENTED_EDGE('',*,*,#16124,.F.); #20633=ORIENTED_EDGE('',*,*,#16125,.F.); #20634=ORIENTED_EDGE('',*,*,#16126,.F.); #20635=ORIENTED_EDGE('',*,*,#16127,.F.); #20636=ORIENTED_EDGE('',*,*,#16128,.F.); #20637=ORIENTED_EDGE('',*,*,#16129,.F.); #20638=ORIENTED_EDGE('',*,*,#16130,.F.); #20639=ORIENTED_EDGE('',*,*,#16131,.F.); #20640=ORIENTED_EDGE('',*,*,#16132,.F.); #20641=ORIENTED_EDGE('',*,*,#16133,.F.); #20642=ORIENTED_EDGE('',*,*,#16134,.F.); #20643=ORIENTED_EDGE('',*,*,#16135,.F.); #20644=ORIENTED_EDGE('',*,*,#16136,.F.); #20645=ORIENTED_EDGE('',*,*,#16137,.F.); #20646=ORIENTED_EDGE('',*,*,#16138,.F.); #20647=ORIENTED_EDGE('',*,*,#16139,.F.); #20648=ORIENTED_EDGE('',*,*,#16140,.F.); #20649=ORIENTED_EDGE('',*,*,#16141,.F.); #20650=ORIENTED_EDGE('',*,*,#16142,.F.); #20651=ORIENTED_EDGE('',*,*,#16143,.F.); #20652=ORIENTED_EDGE('',*,*,#16144,.F.); #20653=ORIENTED_EDGE('',*,*,#16145,.F.); #20654=ORIENTED_EDGE('',*,*,#16146,.F.); #20655=ORIENTED_EDGE('',*,*,#16147,.F.); #20656=ORIENTED_EDGE('',*,*,#16148,.F.); #20657=ORIENTED_EDGE('',*,*,#16149,.F.); #20658=ORIENTED_EDGE('',*,*,#16150,.F.); #20659=ORIENTED_EDGE('',*,*,#16151,.F.); #20660=ORIENTED_EDGE('',*,*,#16152,.F.); #20661=ORIENTED_EDGE('',*,*,#16153,.F.); #20662=ORIENTED_EDGE('',*,*,#16154,.F.); #20663=ORIENTED_EDGE('',*,*,#16155,.F.); #20664=ORIENTED_EDGE('',*,*,#16156,.F.); #20665=ORIENTED_EDGE('',*,*,#16157,.F.); #20666=ORIENTED_EDGE('',*,*,#16158,.F.); #20667=ORIENTED_EDGE('',*,*,#16159,.F.); #20668=ORIENTED_EDGE('',*,*,#16160,.F.); #20669=ORIENTED_EDGE('',*,*,#16161,.F.); #20670=ORIENTED_EDGE('',*,*,#16162,.F.); #20671=ORIENTED_EDGE('',*,*,#16163,.F.); #20672=ORIENTED_EDGE('',*,*,#16164,.F.); #20673=ORIENTED_EDGE('',*,*,#16165,.F.); #20674=ORIENTED_EDGE('',*,*,#16166,.F.); #20675=ORIENTED_EDGE('',*,*,#16167,.T.); #20676=ORIENTED_EDGE('',*,*,#16168,.T.); #20677=ORIENTED_EDGE('',*,*,#16169,.T.); #20678=ORIENTED_EDGE('',*,*,#16170,.T.); #20679=ORIENTED_EDGE('',*,*,#16171,.T.); #20680=ORIENTED_EDGE('',*,*,#16172,.T.); #20681=ORIENTED_EDGE('',*,*,#16169,.F.); #20682=ORIENTED_EDGE('',*,*,#16173,.T.); #20683=ORIENTED_EDGE('',*,*,#16174,.T.); #20684=ORIENTED_EDGE('',*,*,#16175,.T.); #20685=ORIENTED_EDGE('',*,*,#16171,.F.); #20686=ORIENTED_EDGE('',*,*,#16176,.T.); #20687=ORIENTED_EDGE('',*,*,#16177,.T.); #20688=ORIENTED_EDGE('',*,*,#16178,.T.); #20689=ORIENTED_EDGE('',*,*,#16174,.F.); #20690=ORIENTED_EDGE('',*,*,#16179,.T.); #20691=ORIENTED_EDGE('',*,*,#16180,.T.); #20692=ORIENTED_EDGE('',*,*,#16181,.T.); #20693=ORIENTED_EDGE('',*,*,#16177,.F.); #20694=ORIENTED_EDGE('',*,*,#16182,.T.); #20695=ORIENTED_EDGE('',*,*,#16183,.T.); #20696=ORIENTED_EDGE('',*,*,#16184,.T.); #20697=ORIENTED_EDGE('',*,*,#16180,.F.); #20698=ORIENTED_EDGE('',*,*,#16185,.T.); #20699=ORIENTED_EDGE('',*,*,#16186,.T.); #20700=ORIENTED_EDGE('',*,*,#16187,.T.); #20701=ORIENTED_EDGE('',*,*,#16183,.F.); #20702=ORIENTED_EDGE('',*,*,#16188,.T.); #20703=ORIENTED_EDGE('',*,*,#16167,.F.); #20704=ORIENTED_EDGE('',*,*,#16189,.T.); #20705=ORIENTED_EDGE('',*,*,#16186,.F.); #20706=ORIENTED_EDGE('',*,*,#16190,.T.); #20707=ORIENTED_EDGE('',*,*,#16179,.F.); #20708=ORIENTED_EDGE('',*,*,#16191,.F.); #20709=ORIENTED_EDGE('',*,*,#16192,.F.); #20710=ORIENTED_EDGE('',*,*,#16193,.T.); #20711=ORIENTED_EDGE('',*,*,#16176,.F.); #20712=ORIENTED_EDGE('',*,*,#16194,.F.); #20713=ORIENTED_EDGE('',*,*,#16195,.F.); #20714=ORIENTED_EDGE('',*,*,#16191,.T.); #20715=ORIENTED_EDGE('',*,*,#16173,.F.); #20716=ORIENTED_EDGE('',*,*,#16196,.F.); #20717=ORIENTED_EDGE('',*,*,#16197,.F.); #20718=ORIENTED_EDGE('',*,*,#16194,.T.); #20719=ORIENTED_EDGE('',*,*,#16168,.F.); #20720=ORIENTED_EDGE('',*,*,#16198,.F.); #20721=ORIENTED_EDGE('',*,*,#16199,.F.); #20722=ORIENTED_EDGE('',*,*,#16196,.T.); #20723=ORIENTED_EDGE('',*,*,#16200,.F.); #20724=ORIENTED_EDGE('',*,*,#16201,.F.); #20725=ORIENTED_EDGE('',*,*,#16202,.F.); #20726=ORIENTED_EDGE('',*,*,#16203,.F.); #20727=ORIENTED_EDGE('',*,*,#15975,.T.); #20728=ORIENTED_EDGE('',*,*,#15977,.T.); #20729=ORIENTED_EDGE('',*,*,#15979,.T.); #20730=ORIENTED_EDGE('',*,*,#15981,.T.); #20731=ORIENTED_EDGE('',*,*,#15983,.T.); #20732=ORIENTED_EDGE('',*,*,#15985,.T.); #20733=ORIENTED_EDGE('',*,*,#15987,.T.); #20734=ORIENTED_EDGE('',*,*,#15989,.T.); #20735=ORIENTED_EDGE('',*,*,#15991,.T.); #20736=ORIENTED_EDGE('',*,*,#15993,.T.); #20737=ORIENTED_EDGE('',*,*,#15995,.T.); #20738=ORIENTED_EDGE('',*,*,#15997,.T.); #20739=ORIENTED_EDGE('',*,*,#15999,.T.); #20740=ORIENTED_EDGE('',*,*,#16001,.T.); #20741=ORIENTED_EDGE('',*,*,#16003,.T.); #20742=ORIENTED_EDGE('',*,*,#16005,.T.); #20743=ORIENTED_EDGE('',*,*,#16007,.T.); #20744=ORIENTED_EDGE('',*,*,#16009,.T.); #20745=ORIENTED_EDGE('',*,*,#16011,.T.); #20746=ORIENTED_EDGE('',*,*,#16013,.T.); #20747=ORIENTED_EDGE('',*,*,#16015,.T.); #20748=ORIENTED_EDGE('',*,*,#16017,.T.); #20749=ORIENTED_EDGE('',*,*,#16019,.T.); #20750=ORIENTED_EDGE('',*,*,#16021,.T.); #20751=ORIENTED_EDGE('',*,*,#16023,.T.); #20752=ORIENTED_EDGE('',*,*,#16025,.T.); #20753=ORIENTED_EDGE('',*,*,#16027,.T.); #20754=ORIENTED_EDGE('',*,*,#16029,.T.); #20755=ORIENTED_EDGE('',*,*,#16031,.T.); #20756=ORIENTED_EDGE('',*,*,#16033,.T.); #20757=ORIENTED_EDGE('',*,*,#16035,.T.); #20758=ORIENTED_EDGE('',*,*,#16037,.T.); #20759=ORIENTED_EDGE('',*,*,#16039,.T.); #20760=ORIENTED_EDGE('',*,*,#16041,.T.); #20761=ORIENTED_EDGE('',*,*,#16043,.T.); #20762=ORIENTED_EDGE('',*,*,#16045,.T.); #20763=ORIENTED_EDGE('',*,*,#16047,.T.); #20764=ORIENTED_EDGE('',*,*,#16049,.T.); #20765=ORIENTED_EDGE('',*,*,#16051,.T.); #20766=ORIENTED_EDGE('',*,*,#16053,.T.); #20767=ORIENTED_EDGE('',*,*,#16055,.T.); #20768=ORIENTED_EDGE('',*,*,#16057,.T.); #20769=ORIENTED_EDGE('',*,*,#16059,.T.); #20770=ORIENTED_EDGE('',*,*,#16061,.T.); #20771=ORIENTED_EDGE('',*,*,#16063,.T.); #20772=ORIENTED_EDGE('',*,*,#16065,.T.); #20773=ORIENTED_EDGE('',*,*,#16067,.T.); #20774=ORIENTED_EDGE('',*,*,#16069,.T.); #20775=ORIENTED_EDGE('',*,*,#16071,.T.); #20776=ORIENTED_EDGE('',*,*,#16073,.T.); #20777=ORIENTED_EDGE('',*,*,#16075,.T.); #20778=ORIENTED_EDGE('',*,*,#16077,.T.); #20779=ORIENTED_EDGE('',*,*,#16079,.T.); #20780=ORIENTED_EDGE('',*,*,#16081,.T.); #20781=ORIENTED_EDGE('',*,*,#16083,.T.); #20782=ORIENTED_EDGE('',*,*,#16085,.T.); #20783=ORIENTED_EDGE('',*,*,#16087,.T.); #20784=ORIENTED_EDGE('',*,*,#16089,.T.); #20785=ORIENTED_EDGE('',*,*,#16091,.T.); #20786=ORIENTED_EDGE('',*,*,#16093,.T.); #20787=ORIENTED_EDGE('',*,*,#16095,.T.); #20788=ORIENTED_EDGE('',*,*,#16097,.T.); #20789=ORIENTED_EDGE('',*,*,#16099,.T.); #20790=ORIENTED_EDGE('',*,*,#16101,.T.); #20791=ORIENTED_EDGE('',*,*,#16103,.T.); #20792=ORIENTED_EDGE('',*,*,#16105,.T.); #20793=ORIENTED_EDGE('',*,*,#16107,.T.); #20794=ORIENTED_EDGE('',*,*,#16109,.T.); #20795=ORIENTED_EDGE('',*,*,#16111,.T.); #20796=ORIENTED_EDGE('',*,*,#16113,.T.); #20797=ORIENTED_EDGE('',*,*,#16115,.T.); #20798=ORIENTED_EDGE('',*,*,#16117,.T.); #20799=ORIENTED_EDGE('',*,*,#16119,.T.); #20800=ORIENTED_EDGE('',*,*,#16121,.T.); #20801=ORIENTED_EDGE('',*,*,#16123,.T.); #20802=ORIENTED_EDGE('',*,*,#16125,.T.); #20803=ORIENTED_EDGE('',*,*,#16127,.T.); #20804=ORIENTED_EDGE('',*,*,#16129,.T.); #20805=ORIENTED_EDGE('',*,*,#16131,.T.); #20806=ORIENTED_EDGE('',*,*,#16133,.T.); #20807=ORIENTED_EDGE('',*,*,#16135,.T.); #20808=ORIENTED_EDGE('',*,*,#16137,.T.); #20809=ORIENTED_EDGE('',*,*,#16139,.T.); #20810=ORIENTED_EDGE('',*,*,#16141,.T.); #20811=ORIENTED_EDGE('',*,*,#16143,.T.); #20812=ORIENTED_EDGE('',*,*,#16145,.T.); #20813=ORIENTED_EDGE('',*,*,#16147,.T.); #20814=ORIENTED_EDGE('',*,*,#16149,.T.); #20815=ORIENTED_EDGE('',*,*,#16151,.T.); #20816=ORIENTED_EDGE('',*,*,#16153,.T.); #20817=ORIENTED_EDGE('',*,*,#16155,.T.); #20818=ORIENTED_EDGE('',*,*,#16157,.T.); #20819=ORIENTED_EDGE('',*,*,#16159,.T.); #20820=ORIENTED_EDGE('',*,*,#16161,.T.); #20821=ORIENTED_EDGE('',*,*,#16163,.T.); #20822=ORIENTED_EDGE('',*,*,#16165,.T.); #20823=ORIENTED_EDGE('',*,*,#16170,.F.); #20824=ORIENTED_EDGE('',*,*,#16172,.F.); #20825=ORIENTED_EDGE('',*,*,#16175,.F.); #20826=ORIENTED_EDGE('',*,*,#16178,.F.); #20827=ORIENTED_EDGE('',*,*,#16181,.F.); #20828=ORIENTED_EDGE('',*,*,#16184,.F.); #20829=ORIENTED_EDGE('',*,*,#16187,.F.); #20830=ORIENTED_EDGE('',*,*,#16189,.F.); #20831=ORIENTED_EDGE('',*,*,#16190,.F.); #20832=ORIENTED_EDGE('',*,*,#16204,.F.); #20833=ORIENTED_EDGE('',*,*,#16205,.F.); #20834=ORIENTED_EDGE('',*,*,#16198,.T.); #20835=ORIENTED_EDGE('',*,*,#16188,.F.); #20836=ORIENTED_EDGE('',*,*,#16206,.F.); #20837=ORIENTED_EDGE('',*,*,#16207,.F.); #20838=ORIENTED_EDGE('',*,*,#16204,.T.); #20839=ORIENTED_EDGE('',*,*,#16185,.F.); #20840=ORIENTED_EDGE('',*,*,#16208,.F.); #20841=ORIENTED_EDGE('',*,*,#16209,.F.); #20842=ORIENTED_EDGE('',*,*,#16206,.T.); #20843=ORIENTED_EDGE('',*,*,#16182,.F.); #20844=ORIENTED_EDGE('',*,*,#16193,.F.); #20845=ORIENTED_EDGE('',*,*,#16210,.F.); #20846=ORIENTED_EDGE('',*,*,#16208,.T.); #20847=ORIENTED_EDGE('',*,*,#16201,.T.); #20848=ORIENTED_EDGE('',*,*,#16211,.T.); #20849=ORIENTED_EDGE('',*,*,#16212,.F.); #20850=ORIENTED_EDGE('',*,*,#16213,.F.); #20851=ORIENTED_EDGE('',*,*,#16202,.T.); #20852=ORIENTED_EDGE('',*,*,#16213,.T.); #20853=ORIENTED_EDGE('',*,*,#16214,.F.); #20854=ORIENTED_EDGE('',*,*,#16215,.F.); #20855=ORIENTED_EDGE('',*,*,#16203,.T.); #20856=ORIENTED_EDGE('',*,*,#16215,.T.); #20857=ORIENTED_EDGE('',*,*,#16216,.F.); #20858=ORIENTED_EDGE('',*,*,#16217,.F.); #20859=ORIENTED_EDGE('',*,*,#16200,.T.); #20860=ORIENTED_EDGE('',*,*,#16217,.T.); #20861=ORIENTED_EDGE('',*,*,#16218,.F.); #20862=ORIENTED_EDGE('',*,*,#16211,.F.); #20863=ORIENTED_EDGE('',*,*,#16218,.T.); #20864=ORIENTED_EDGE('',*,*,#16216,.T.); #20865=ORIENTED_EDGE('',*,*,#16214,.T.); #20866=ORIENTED_EDGE('',*,*,#16212,.T.); #20867=ORIENTED_EDGE('',*,*,#15976,.T.); #20868=ORIENTED_EDGE('',*,*,#15978,.T.); #20869=ORIENTED_EDGE('',*,*,#15980,.T.); #20870=ORIENTED_EDGE('',*,*,#15982,.T.); #20871=ORIENTED_EDGE('',*,*,#15984,.T.); #20872=ORIENTED_EDGE('',*,*,#15986,.T.); #20873=ORIENTED_EDGE('',*,*,#15988,.T.); #20874=ORIENTED_EDGE('',*,*,#15990,.T.); #20875=ORIENTED_EDGE('',*,*,#15992,.T.); #20876=ORIENTED_EDGE('',*,*,#15994,.T.); #20877=ORIENTED_EDGE('',*,*,#15996,.T.); #20878=ORIENTED_EDGE('',*,*,#15998,.T.); #20879=ORIENTED_EDGE('',*,*,#16000,.T.); #20880=ORIENTED_EDGE('',*,*,#16002,.T.); #20881=ORIENTED_EDGE('',*,*,#16004,.T.); #20882=ORIENTED_EDGE('',*,*,#16006,.T.); #20883=ORIENTED_EDGE('',*,*,#16008,.T.); #20884=ORIENTED_EDGE('',*,*,#16010,.T.); #20885=ORIENTED_EDGE('',*,*,#16012,.T.); #20886=ORIENTED_EDGE('',*,*,#16014,.T.); #20887=ORIENTED_EDGE('',*,*,#16016,.T.); #20888=ORIENTED_EDGE('',*,*,#16018,.T.); #20889=ORIENTED_EDGE('',*,*,#16020,.T.); #20890=ORIENTED_EDGE('',*,*,#16022,.T.); #20891=ORIENTED_EDGE('',*,*,#16024,.T.); #20892=ORIENTED_EDGE('',*,*,#16026,.T.); #20893=ORIENTED_EDGE('',*,*,#16028,.T.); #20894=ORIENTED_EDGE('',*,*,#16030,.T.); #20895=ORIENTED_EDGE('',*,*,#16032,.T.); #20896=ORIENTED_EDGE('',*,*,#16034,.T.); #20897=ORIENTED_EDGE('',*,*,#16036,.T.); #20898=ORIENTED_EDGE('',*,*,#16038,.T.); #20899=ORIENTED_EDGE('',*,*,#16040,.T.); #20900=ORIENTED_EDGE('',*,*,#16042,.T.); #20901=ORIENTED_EDGE('',*,*,#16044,.T.); #20902=ORIENTED_EDGE('',*,*,#16046,.T.); #20903=ORIENTED_EDGE('',*,*,#16048,.T.); #20904=ORIENTED_EDGE('',*,*,#16050,.T.); #20905=ORIENTED_EDGE('',*,*,#16052,.T.); #20906=ORIENTED_EDGE('',*,*,#16054,.T.); #20907=ORIENTED_EDGE('',*,*,#16056,.T.); #20908=ORIENTED_EDGE('',*,*,#16058,.T.); #20909=ORIENTED_EDGE('',*,*,#16060,.T.); #20910=ORIENTED_EDGE('',*,*,#16062,.T.); #20911=ORIENTED_EDGE('',*,*,#16064,.T.); #20912=ORIENTED_EDGE('',*,*,#16066,.T.); #20913=ORIENTED_EDGE('',*,*,#16068,.T.); #20914=ORIENTED_EDGE('',*,*,#16070,.T.); #20915=ORIENTED_EDGE('',*,*,#16072,.T.); #20916=ORIENTED_EDGE('',*,*,#16074,.T.); #20917=ORIENTED_EDGE('',*,*,#16076,.T.); #20918=ORIENTED_EDGE('',*,*,#16078,.T.); #20919=ORIENTED_EDGE('',*,*,#16080,.T.); #20920=ORIENTED_EDGE('',*,*,#16082,.T.); #20921=ORIENTED_EDGE('',*,*,#16084,.T.); #20922=ORIENTED_EDGE('',*,*,#16086,.T.); #20923=ORIENTED_EDGE('',*,*,#16088,.T.); #20924=ORIENTED_EDGE('',*,*,#16090,.T.); #20925=ORIENTED_EDGE('',*,*,#16092,.T.); #20926=ORIENTED_EDGE('',*,*,#16094,.T.); #20927=ORIENTED_EDGE('',*,*,#16096,.T.); #20928=ORIENTED_EDGE('',*,*,#16098,.T.); #20929=ORIENTED_EDGE('',*,*,#16100,.T.); #20930=ORIENTED_EDGE('',*,*,#16102,.T.); #20931=ORIENTED_EDGE('',*,*,#16104,.T.); #20932=ORIENTED_EDGE('',*,*,#16106,.T.); #20933=ORIENTED_EDGE('',*,*,#16108,.T.); #20934=ORIENTED_EDGE('',*,*,#16110,.T.); #20935=ORIENTED_EDGE('',*,*,#16112,.T.); #20936=ORIENTED_EDGE('',*,*,#16114,.T.); #20937=ORIENTED_EDGE('',*,*,#16116,.T.); #20938=ORIENTED_EDGE('',*,*,#16118,.T.); #20939=ORIENTED_EDGE('',*,*,#16120,.T.); #20940=ORIENTED_EDGE('',*,*,#16122,.T.); #20941=ORIENTED_EDGE('',*,*,#16124,.T.); #20942=ORIENTED_EDGE('',*,*,#16126,.T.); #20943=ORIENTED_EDGE('',*,*,#16128,.T.); #20944=ORIENTED_EDGE('',*,*,#16130,.T.); #20945=ORIENTED_EDGE('',*,*,#16132,.T.); #20946=ORIENTED_EDGE('',*,*,#16134,.T.); #20947=ORIENTED_EDGE('',*,*,#16136,.T.); #20948=ORIENTED_EDGE('',*,*,#16138,.T.); #20949=ORIENTED_EDGE('',*,*,#16140,.T.); #20950=ORIENTED_EDGE('',*,*,#16142,.T.); #20951=ORIENTED_EDGE('',*,*,#16144,.T.); #20952=ORIENTED_EDGE('',*,*,#16146,.T.); #20953=ORIENTED_EDGE('',*,*,#16148,.T.); #20954=ORIENTED_EDGE('',*,*,#16150,.T.); #20955=ORIENTED_EDGE('',*,*,#16152,.T.); #20956=ORIENTED_EDGE('',*,*,#16154,.T.); #20957=ORIENTED_EDGE('',*,*,#16156,.T.); #20958=ORIENTED_EDGE('',*,*,#16158,.T.); #20959=ORIENTED_EDGE('',*,*,#16160,.T.); #20960=ORIENTED_EDGE('',*,*,#16162,.T.); #20961=ORIENTED_EDGE('',*,*,#16164,.T.); #20962=ORIENTED_EDGE('',*,*,#16166,.T.); #20963=ORIENTED_EDGE('',*,*,#16210,.T.); #20964=ORIENTED_EDGE('',*,*,#16192,.T.); #20965=ORIENTED_EDGE('',*,*,#16195,.T.); #20966=ORIENTED_EDGE('',*,*,#16197,.T.); #20967=ORIENTED_EDGE('',*,*,#16199,.T.); #20968=ORIENTED_EDGE('',*,*,#16205,.T.); #20969=ORIENTED_EDGE('',*,*,#16207,.T.); #20970=ORIENTED_EDGE('',*,*,#16209,.T.); #20971=CYLINDRICAL_SURFACE('',#23748,0.166); #20972=CYLINDRICAL_SURFACE('',#23751,0.166); #20973=CYLINDRICAL_SURFACE('',#23754,0.166); #20974=CYLINDRICAL_SURFACE('',#23757,0.166); #20975=CYLINDRICAL_SURFACE('',#23763,0.219); #20976=CYLINDRICAL_SURFACE('',#23765,0.133); #20977=CYLINDRICAL_SURFACE('',#23770,0.219); #20978=CYLINDRICAL_SURFACE('',#23772,0.133); #20979=CYLINDRICAL_SURFACE('',#23774,0.133); #20980=CYLINDRICAL_SURFACE('',#23777,0.219); #20981=CYLINDRICAL_SURFACE('',#23784,0.219); #20982=CYLINDRICAL_SURFACE('',#23786,0.133); #20983=CYLINDRICAL_SURFACE('',#23788,0.133); #20984=CYLINDRICAL_SURFACE('',#23791,0.219); #20985=CYLINDRICAL_SURFACE('',#23798,0.219); #20986=CYLINDRICAL_SURFACE('',#23800,0.133); #20987=CYLINDRICAL_SURFACE('',#23802,0.133); #20988=CYLINDRICAL_SURFACE('',#23805,0.219); #20989=CYLINDRICAL_SURFACE('',#23812,0.219); #20990=CYLINDRICAL_SURFACE('',#23814,0.133); #20991=CYLINDRICAL_SURFACE('',#23816,0.133); #20992=CYLINDRICAL_SURFACE('',#23819,0.219); #20993=CYLINDRICAL_SURFACE('',#23826,0.219); #20994=CYLINDRICAL_SURFACE('',#23828,0.133); #20995=CYLINDRICAL_SURFACE('',#23830,0.133); #20996=CYLINDRICAL_SURFACE('',#23833,0.219); #20997=CYLINDRICAL_SURFACE('',#23840,0.219); #20998=CYLINDRICAL_SURFACE('',#23842,0.133); #20999=CYLINDRICAL_SURFACE('',#23844,0.133); #21000=CYLINDRICAL_SURFACE('',#23847,0.219); #21001=CYLINDRICAL_SURFACE('',#23854,0.219); #21002=CYLINDRICAL_SURFACE('',#23856,0.133); #21003=CYLINDRICAL_SURFACE('',#23858,0.133); #21004=CYLINDRICAL_SURFACE('',#23861,0.219); #21005=CYLINDRICAL_SURFACE('',#23868,0.219); #21006=CYLINDRICAL_SURFACE('',#23870,0.133); #21007=CYLINDRICAL_SURFACE('',#23875,0.219); #21008=CYLINDRICAL_SURFACE('',#23877,0.133); #21009=CYLINDRICAL_SURFACE('',#23882,0.219); #21010=CYLINDRICAL_SURFACE('',#23884,0.133); #21011=CYLINDRICAL_SURFACE('',#23889,0.219); #21012=CYLINDRICAL_SURFACE('',#23891,0.133); #21013=CYLINDRICAL_SURFACE('',#23896,0.219); #21014=CYLINDRICAL_SURFACE('',#23898,0.133); #21015=CYLINDRICAL_SURFACE('',#23903,0.219); #21016=CYLINDRICAL_SURFACE('',#23905,0.133); #21017=CYLINDRICAL_SURFACE('',#23910,0.219); #21018=CYLINDRICAL_SURFACE('',#23912,0.133); #21019=CYLINDRICAL_SURFACE('',#23917,0.219); #21020=CYLINDRICAL_SURFACE('',#23919,0.133); #21021=CYLINDRICAL_SURFACE('',#23924,0.219); #21022=CYLINDRICAL_SURFACE('',#23926,0.133); #21023=CYLINDRICAL_SURFACE('',#23931,0.219); #21024=CYLINDRICAL_SURFACE('',#23933,0.133); #21025=CYLINDRICAL_SURFACE('',#23938,0.219); #21026=CYLINDRICAL_SURFACE('',#23940,0.133); #21027=CYLINDRICAL_SURFACE('',#23945,0.219); #21028=CYLINDRICAL_SURFACE('',#23947,0.133); #21029=CYLINDRICAL_SURFACE('',#23952,0.219); #21030=CYLINDRICAL_SURFACE('',#23954,0.133); #21031=CYLINDRICAL_SURFACE('',#23959,0.219); #21032=CYLINDRICAL_SURFACE('',#23961,0.133); #21033=CYLINDRICAL_SURFACE('',#23966,0.219); #21034=CYLINDRICAL_SURFACE('',#23968,0.133); #21035=CYLINDRICAL_SURFACE('',#23970,0.166); #21036=CYLINDRICAL_SURFACE('',#23973,0.166); #21037=CYLINDRICAL_SURFACE('',#23978,0.1335); #21038=CYLINDRICAL_SURFACE('',#23982,0.1335); #21039=CYLINDRICAL_SURFACE('',#23986,0.1335); #21040=CYLINDRICAL_SURFACE('',#23990,0.1335); #21041=CYLINDRICAL_SURFACE('',#23994,0.1335); #21042=CYLINDRICAL_SURFACE('',#23998,0.1335); #21043=CYLINDRICAL_SURFACE('',#24002,0.1335); #21044=CYLINDRICAL_SURFACE('',#24006,0.1335); #21045=CYLINDRICAL_SURFACE('',#24010,0.1335); #21046=CYLINDRICAL_SURFACE('',#24014,0.1335); #21047=CYLINDRICAL_SURFACE('',#24018,0.1335); #21048=CYLINDRICAL_SURFACE('',#24022,0.1335); #21049=CYLINDRICAL_SURFACE('',#24026,0.1335); #21050=CYLINDRICAL_SURFACE('',#24030,0.1335); #21051=CYLINDRICAL_SURFACE('',#24034,0.1335); #21052=CYLINDRICAL_SURFACE('',#24038,0.1335); #21053=CYLINDRICAL_SURFACE('',#24042,0.1335); #21054=CYLINDRICAL_SURFACE('',#24046,0.1335); #21055=CYLINDRICAL_SURFACE('',#24050,0.1335); #21056=CYLINDRICAL_SURFACE('',#24054,0.1335); #21057=CYLINDRICAL_SURFACE('',#24058,0.1335); #21058=CYLINDRICAL_SURFACE('',#24060,0.166); #21059=CYLINDRICAL_SURFACE('',#24063,0.166); #21060=CYLINDRICAL_SURFACE('',#24066,0.166); #21061=CYLINDRICAL_SURFACE('',#24069,0.166); #21062=CYLINDRICAL_SURFACE('',#24072,0.166); #21063=CYLINDRICAL_SURFACE('',#24075,0.166); #21064=CYLINDRICAL_SURFACE('',#24078,0.166); #21065=CYLINDRICAL_SURFACE('',#24081,0.166); #21066=CYLINDRICAL_SURFACE('',#24084,0.166); #21067=CYLINDRICAL_SURFACE('',#24087,0.166); #21068=CYLINDRICAL_SURFACE('',#24095,0.125); #21069=CYLINDRICAL_SURFACE('',#24109,0.125); #21070=CYLINDRICAL_SURFACE('',#24118,32.2847734880977); #21071=CYLINDRICAL_SURFACE('',#24120,2.96999999999987); #21072=CYLINDRICAL_SURFACE('',#24123,2.96999999999987); #21073=CYLINDRICAL_SURFACE('',#24132,2.96999999999987); #21074=CYLINDRICAL_SURFACE('',#24135,2.96999999999987); #21075=CYLINDRICAL_SURFACE('',#24137,69.5519999999999); #21076=CYLINDRICAL_SURFACE('',#24139,0.166); #21077=CYLINDRICAL_SURFACE('',#24142,0.166); #21078=CYLINDRICAL_SURFACE('',#24145,0.166); #21079=CYLINDRICAL_SURFACE('',#24148,0.166); #21080=CYLINDRICAL_SURFACE('',#24151,0.166); #21081=CYLINDRICAL_SURFACE('',#24154,0.166); #21082=CYLINDRICAL_SURFACE('',#24157,0.166); #21083=CYLINDRICAL_SURFACE('',#24160,0.166); #21084=CYLINDRICAL_SURFACE('',#24163,0.166); #21085=CYLINDRICAL_SURFACE('',#24166,0.166); #21086=CYLINDRICAL_SURFACE('',#24169,0.166); #21087=CYLINDRICAL_SURFACE('',#24172,0.166); #21088=CYLINDRICAL_SURFACE('',#24175,0.166); #21089=CYLINDRICAL_SURFACE('',#24178,0.166); #21090=CYLINDRICAL_SURFACE('',#24181,0.166); #21091=CYLINDRICAL_SURFACE('',#24184,0.166); #21092=CYLINDRICAL_SURFACE('',#24187,0.166); #21093=CYLINDRICAL_SURFACE('',#24190,0.166); #21094=CYLINDRICAL_SURFACE('',#24193,0.166); #21095=CYLINDRICAL_SURFACE('',#24196,0.166); #21096=CYLINDRICAL_SURFACE('',#24199,0.166); #21097=CYLINDRICAL_SURFACE('',#24202,0.166); #21098=CYLINDRICAL_SURFACE('',#24205,0.166); #21099=CYLINDRICAL_SURFACE('',#24208,0.166); #21100=CYLINDRICAL_SURFACE('',#24211,0.166); #21101=CYLINDRICAL_SURFACE('',#24214,0.166); #21102=CYLINDRICAL_SURFACE('',#24217,0.166); #21103=CYLINDRICAL_SURFACE('',#24220,0.166); #21104=CYLINDRICAL_SURFACE('',#24223,0.166); #21105=CYLINDRICAL_SURFACE('',#24226,0.166); #21106=CYLINDRICAL_SURFACE('',#24229,0.166); #21107=CYLINDRICAL_SURFACE('',#24232,0.166); #21108=CYLINDRICAL_SURFACE('',#24235,0.166); #21109=CYLINDRICAL_SURFACE('',#24238,0.166); #21110=CYLINDRICAL_SURFACE('',#24241,0.166); #21111=CYLINDRICAL_SURFACE('',#24244,0.166); #21112=CYLINDRICAL_SURFACE('',#24247,0.166); #21113=CYLINDRICAL_SURFACE('',#24250,0.166); #21114=CYLINDRICAL_SURFACE('',#24253,0.166); #21115=CYLINDRICAL_SURFACE('',#24256,0.166); #21116=CYLINDRICAL_SURFACE('',#24259,0.166); #21117=CYLINDRICAL_SURFACE('',#24262,0.166); #21118=CYLINDRICAL_SURFACE('',#24265,0.166); #21119=CYLINDRICAL_SURFACE('',#24268,0.166); #21120=CYLINDRICAL_SURFACE('',#24271,0.166); #21121=CYLINDRICAL_SURFACE('',#24274,0.166); #21122=CYLINDRICAL_SURFACE('',#24277,0.166); #21123=CYLINDRICAL_SURFACE('',#24280,0.166); #21124=CYLINDRICAL_SURFACE('',#24283,0.166); #21125=CYLINDRICAL_SURFACE('',#24286,0.166); #21126=CYLINDRICAL_SURFACE('',#24289,0.166); #21127=CYLINDRICAL_SURFACE('',#24292,0.166); #21128=CYLINDRICAL_SURFACE('',#24295,0.166); #21129=CYLINDRICAL_SURFACE('',#24298,0.166); #21130=CYLINDRICAL_SURFACE('',#24301,0.166); #21131=CYLINDRICAL_SURFACE('',#24304,3.60999999999994); #21132=CYLINDRICAL_SURFACE('',#24307,70.192); #21133=CYLINDRICAL_SURFACE('',#24310,3.60999999999948); #21134=CYLINDRICAL_SURFACE('',#24314,3.44999999999996); #21135=CYLINDRICAL_SURFACE('',#24317,3.44999999999997); #21136=CYLINDRICAL_SURFACE('',#24319,31.8047734880976); #21137=CYLINDRICAL_SURFACE('',#24321,3.60999999999955); #21138=CYLINDRICAL_SURFACE('',#24324,3.44999999999956); #21139=CYLINDRICAL_SURFACE('',#24327,3.44999999999949); #21140=CYLINDRICAL_SURFACE('',#24329,70.032); #21141=CYLINDRICAL_SURFACE('',#24335,3.60999999999996); #21142=CYLINDRICAL_SURFACE('',#24337,31.6447734880976); #21143=CYLINDRICAL_SURFACE('',#24341,0.126); #21144=CYLINDRICAL_SURFACE('',#24345,0.126); #21145=CYLINDRICAL_SURFACE('',#24349,0.126); #21146=CYLINDRICAL_SURFACE('',#24353,0.126); #21147=CYLINDRICAL_SURFACE('',#24357,0.126); #21148=CYLINDRICAL_SURFACE('',#24361,0.126); #21149=CYLINDRICAL_SURFACE('',#24365,0.126); #21150=CYLINDRICAL_SURFACE('',#24369,0.126); #21151=CYLINDRICAL_SURFACE('',#24373,0.126); #21152=CYLINDRICAL_SURFACE('',#24377,0.126); #21153=CYLINDRICAL_SURFACE('',#24381,0.126); #21154=CYLINDRICAL_SURFACE('',#24385,0.126); #21155=CYLINDRICAL_SURFACE('',#24389,0.126); #21156=CYLINDRICAL_SURFACE('',#24393,0.126); #21157=CYLINDRICAL_SURFACE('',#24397,0.126); #21158=CYLINDRICAL_SURFACE('',#24401,0.126); #21159=CYLINDRICAL_SURFACE('',#24405,0.126); #21160=CYLINDRICAL_SURFACE('',#24409,0.126); #21161=CYLINDRICAL_SURFACE('',#24413,0.126); #21162=CYLINDRICAL_SURFACE('',#24417,0.126); #21163=CYLINDRICAL_SURFACE('',#24421,0.126); #21164=CYLINDRICAL_SURFACE('',#24425,0.126); #21165=CYLINDRICAL_SURFACE('',#24429,0.126); #21166=CYLINDRICAL_SURFACE('',#24433,0.126); #21167=CYLINDRICAL_SURFACE('',#24437,0.126); #21168=CYLINDRICAL_SURFACE('',#24441,0.126); #21169=CYLINDRICAL_SURFACE('',#24445,0.126); #21170=CYLINDRICAL_SURFACE('',#24449,0.126); #21171=CYLINDRICAL_SURFACE('',#24453,0.126); #21172=CYLINDRICAL_SURFACE('',#24457,0.126); #21173=CYLINDRICAL_SURFACE('',#24461,0.126); #21174=CYLINDRICAL_SURFACE('',#24465,0.126); #21175=CYLINDRICAL_SURFACE('',#24469,0.126); #21176=CYLINDRICAL_SURFACE('',#24473,0.126); #21177=CYLINDRICAL_SURFACE('',#24477,0.126); #21178=CYLINDRICAL_SURFACE('',#24487,30.7847734880976); #21179=CYLINDRICAL_SURFACE('',#24492,0.098); #21180=CYLINDRICAL_SURFACE('',#24496,0.098); #21181=CYLINDRICAL_SURFACE('',#24500,0.1335); #21182=CYLINDRICAL_SURFACE('',#24504,0.1335); #21183=CYLINDRICAL_SURFACE('',#24508,0.1335); #21184=CYLINDRICAL_SURFACE('',#24512,0.1335); #21185=CYLINDRICAL_SURFACE('',#24516,0.1335); #21186=CYLINDRICAL_SURFACE('',#24518,0.1335); #21187=CYLINDRICAL_SURFACE('',#24521,0.1335); #21188=CYLINDRICAL_SURFACE('',#24524,0.1335); #21189=CYLINDRICAL_SURFACE('',#24527,0.1335); #21190=CYLINDRICAL_SURFACE('',#24530,0.1335); #21191=CYLINDRICAL_SURFACE('',#24533,0.1335); #21192=CYLINDRICAL_SURFACE('',#24536,0.1335); #21193=CYLINDRICAL_SURFACE('',#24539,0.1335); #21194=CYLINDRICAL_SURFACE('',#24542,0.1335); #21195=CYLINDRICAL_SURFACE('',#24545,0.1335); #21196=CYLINDRICAL_SURFACE('',#24548,0.1335); #21197=CYLINDRICAL_SURFACE('',#24551,0.1335); #21198=CYLINDRICAL_SURFACE('',#24554,0.1335); #21199=CYLINDRICAL_SURFACE('',#24557,0.1335); #21200=CYLINDRICAL_SURFACE('',#24560,0.1335); #21201=CYLINDRICAL_SURFACE('',#24563,0.1335); #21202=CYLINDRICAL_SURFACE('',#24571,86.0550815662621); #21203=CYLINDRICAL_SURFACE('',#24589,0.098); #21204=CYLINDRICAL_SURFACE('',#24593,0.098); #21205=CYLINDRICAL_SURFACE('',#24597,0.1335); #21206=CYLINDRICAL_SURFACE('',#24601,0.1335); #21207=CYLINDRICAL_SURFACE('',#24605,0.1335); #21208=CYLINDRICAL_SURFACE('',#24607,0.166); #21209=CYLINDRICAL_SURFACE('',#24610,0.166); #21210=CYLINDRICAL_SURFACE('',#24613,0.166); #21211=CYLINDRICAL_SURFACE('',#24616,0.166); #21212=CYLINDRICAL_SURFACE('',#24619,0.166); #21213=CYLINDRICAL_SURFACE('',#24622,0.166); #21214=CYLINDRICAL_SURFACE('',#24625,0.166); #21215=CYLINDRICAL_SURFACE('',#24628,0.166); #21216=CYLINDRICAL_SURFACE('',#24631,0.166); #21217=CYLINDRICAL_SURFACE('',#24634,0.166); #21218=CYLINDRICAL_SURFACE('',#24637,0.166); #21219=CYLINDRICAL_SURFACE('',#24640,0.166); #21220=CYLINDRICAL_SURFACE('',#24643,0.166); #21221=CYLINDRICAL_SURFACE('',#24646,0.166); #21222=CYLINDRICAL_SURFACE('',#24649,0.166); #21223=CYLINDRICAL_SURFACE('',#24652,0.166); #21224=CYLINDRICAL_SURFACE('',#24655,0.166); #21225=CYLINDRICAL_SURFACE('',#24667,86.0550815662622); #21226=CYLINDRICAL_SURFACE('',#24675,0.098); #21227=CYLINDRICAL_SURFACE('',#24679,0.098); #21228=CYLINDRICAL_SURFACE('',#24683,0.1335); #21229=CYLINDRICAL_SURFACE('',#24687,0.1335); #21230=CYLINDRICAL_SURFACE('',#24691,0.1335); #21231=CYLINDRICAL_SURFACE('',#24693,0.166); #21232=CYLINDRICAL_SURFACE('',#24696,0.166); #21233=CYLINDRICAL_SURFACE('',#24699,0.166); #21234=CYLINDRICAL_SURFACE('',#24702,0.166); #21235=CYLINDRICAL_SURFACE('',#24705,0.166); #21236=CYLINDRICAL_SURFACE('',#24708,0.166); #21237=CYLINDRICAL_SURFACE('',#24711,0.166); #21238=CYLINDRICAL_SURFACE('',#24714,0.166); #21239=CYLINDRICAL_SURFACE('',#24717,0.166); #21240=CYLINDRICAL_SURFACE('',#24720,0.166); #21241=CYLINDRICAL_SURFACE('',#24723,0.166); #21242=CYLINDRICAL_SURFACE('',#24726,0.166); #21243=CYLINDRICAL_SURFACE('',#24729,0.166); #21244=CYLINDRICAL_SURFACE('',#24732,0.166); #21245=CYLINDRICAL_SURFACE('',#24735,0.166); #21246=CYLINDRICAL_SURFACE('',#24738,0.166); #21247=CYLINDRICAL_SURFACE('',#24741,0.166); #21248=CYLINDRICAL_SURFACE('',#24749,86.0550815662623); #21249=CYLINDRICAL_SURFACE('',#24761,0.098); #21250=CYLINDRICAL_SURFACE('',#24765,0.098); #21251=CYLINDRICAL_SURFACE('',#24769,0.098); #21252=CYLINDRICAL_SURFACE('',#24773,0.098); #21253=CYLINDRICAL_SURFACE('',#24777,0.098); #21254=CYLINDRICAL_SURFACE('',#24781,0.098); #21255=CYLINDRICAL_SURFACE('',#24785,0.098); #21256=CYLINDRICAL_SURFACE('',#24789,0.098); #21257=CYLINDRICAL_SURFACE('',#24793,0.098); #21258=CYLINDRICAL_SURFACE('',#24797,0.098); #21259=CYLINDRICAL_SURFACE('',#24801,0.098); #21260=CYLINDRICAL_SURFACE('',#24805,0.098); #21261=CYLINDRICAL_SURFACE('',#24807,0.1335); #21262=CYLINDRICAL_SURFACE('',#24812,0.1335); #21263=CYLINDRICAL_SURFACE('',#24816,0.1335); #21264=CYLINDRICAL_SURFACE('',#24820,0.1335); #21265=CYLINDRICAL_SURFACE('',#24824,0.1335); #21266=CYLINDRICAL_SURFACE('',#24826,0.25); #21267=CYLINDRICAL_SURFACE('',#24841,0.25); #21268=CYLINDRICAL_SURFACE('',#24847,0.25); #21269=CYLINDRICAL_SURFACE('',#24853,0.25); #21270=CYLINDRICAL_SURFACE('',#24859,0.25); #21271=CYLINDRICAL_SURFACE('',#24865,0.25); #21272=CYLINDRICAL_SURFACE('',#24866,0.75); #21273=CYLINDRICAL_SURFACE('',#24869,0.75); #21274=CYLINDRICAL_SURFACE('',#24872,0.75); #21275=CYLINDRICAL_SURFACE('',#24879,0.1335); #21276=CYLINDRICAL_SURFACE('',#24883,0.1335); #21277=CYLINDRICAL_SURFACE('',#24887,0.1335); #21278=CYLINDRICAL_SURFACE('',#24891,0.1335); #21279=CYLINDRICAL_SURFACE('',#24896,0.1335); #21280=CYLINDRICAL_SURFACE('',#24900,0.1335); #21281=CYLINDRICAL_SURFACE('',#24904,0.1335); #21282=CYLINDRICAL_SURFACE('',#24908,0.1335); #21283=CYLINDRICAL_SURFACE('',#24912,0.1335); #21284=CYLINDRICAL_SURFACE('',#24916,0.1335); #21285=CYLINDRICAL_SURFACE('',#24920,0.1335); #21286=CYLINDRICAL_SURFACE('',#24924,0.1335); #21287=CYLINDRICAL_SURFACE('',#24928,0.1335); #21288=CYLINDRICAL_SURFACE('',#24932,0.1335); #21289=CYLINDRICAL_SURFACE('',#24936,0.1335); #21290=CYLINDRICAL_SURFACE('',#24940,0.1335); #21291=CYLINDRICAL_SURFACE('',#24944,0.1335); #21292=CYLINDRICAL_SURFACE('',#24948,0.1335); #21293=CYLINDRICAL_SURFACE('',#24952,0.1335); #21294=CYLINDRICAL_SURFACE('',#24956,0.1335); #21295=CYLINDRICAL_SURFACE('',#24960,0.1335); #21296=CYLINDRICAL_SURFACE('',#24964,0.1335); #21297=CYLINDRICAL_SURFACE('',#24968,0.1335); #21298=CYLINDRICAL_SURFACE('',#24972,0.1335); #21299=CYLINDRICAL_SURFACE('',#24976,0.1335); #21300=CYLINDRICAL_SURFACE('',#24980,0.1335); #21301=CYLINDRICAL_SURFACE('',#24984,0.1335); #21302=CYLINDRICAL_SURFACE('',#24988,0.1335); #21303=CYLINDRICAL_SURFACE('',#24992,0.1335); #21304=CYLINDRICAL_SURFACE('',#24996,0.1335); #21305=CYLINDRICAL_SURFACE('',#25000,0.1335); #21306=CYLINDRICAL_SURFACE('',#25004,0.1335); #21307=CYLINDRICAL_SURFACE('',#25008,0.1335); #21308=CYLINDRICAL_SURFACE('',#25012,0.1335); #21309=CYLINDRICAL_SURFACE('',#25016,0.1335); #21310=CYLINDRICAL_SURFACE('',#25020,0.1335); #21311=CYLINDRICAL_SURFACE('',#25024,0.1335); #21312=CYLINDRICAL_SURFACE('',#25028,0.1335); #21313=CYLINDRICAL_SURFACE('',#25032,0.1335); #21314=CYLINDRICAL_SURFACE('',#25036,0.1335); #21315=CYLINDRICAL_SURFACE('',#25040,0.1335); #21316=CYLINDRICAL_SURFACE('',#25044,0.1335); #21317=CYLINDRICAL_SURFACE('',#25048,0.1335); #21318=CYLINDRICAL_SURFACE('',#25052,0.1335); #21319=CYLINDRICAL_SURFACE('',#25056,0.1335); #21320=CYLINDRICAL_SURFACE('',#25060,0.1335); #21321=CYLINDRICAL_SURFACE('',#25064,0.1335); #21322=CYLINDRICAL_SURFACE('',#25068,0.1335); #21323=CYLINDRICAL_SURFACE('',#25072,0.1335); #21324=CYLINDRICAL_SURFACE('',#25076,0.1335); #21325=CYLINDRICAL_SURFACE('',#25080,0.1335); #21326=CYLINDRICAL_SURFACE('',#25088,86.0550815662622); #21327=CYLINDRICAL_SURFACE('',#25101,0.75); #21328=CYLINDRICAL_SURFACE('',#25103,0.75); #21329=CYLINDRICAL_SURFACE('',#25104,98.5); #21330=CYLINDRICAL_SURFACE('',#25106,0.75); #21331=CYLINDRICAL_SURFACE('',#25110,0.1335); #21332=CYLINDRICAL_SURFACE('',#25114,0.1335); #21333=CYLINDRICAL_SURFACE('',#25118,0.1335); #21334=CYLINDRICAL_SURFACE('',#25122,0.1335); #21335=CYLINDRICAL_SURFACE('',#25124,0.166); #21336=CYLINDRICAL_SURFACE('',#25127,0.166); #21337=CYLINDRICAL_SURFACE('',#25130,0.166); #21338=CYLINDRICAL_SURFACE('',#25133,0.166); #21339=CYLINDRICAL_SURFACE('',#25136,0.166); #21340=CYLINDRICAL_SURFACE('',#25139,0.166); #21341=CYLINDRICAL_SURFACE('',#25142,0.166); #21342=CYLINDRICAL_SURFACE('',#25145,0.166); #21343=CYLINDRICAL_SURFACE('',#25148,0.166); #21344=CYLINDRICAL_SURFACE('',#25151,0.166); #21345=CYLINDRICAL_SURFACE('',#25154,0.166); #21346=CYLINDRICAL_SURFACE('',#25157,0.166); #21347=CYLINDRICAL_SURFACE('',#25160,0.166); #21348=CYLINDRICAL_SURFACE('',#25163,0.166); #21349=CYLINDRICAL_SURFACE('',#25166,0.166); #21350=CYLINDRICAL_SURFACE('',#25169,0.166); #21351=CYLINDRICAL_SURFACE('',#25172,0.166); #21352=CYLINDRICAL_SURFACE('',#25175,0.166); #21353=CYLINDRICAL_SURFACE('',#25178,93.5603742569483); #21354=CYLINDRICAL_SURFACE('',#25199,0.1335); #21355=CYLINDRICAL_SURFACE('',#25203,0.1335); #21356=CYLINDRICAL_SURFACE('',#25207,0.1335); #21357=CYLINDRICAL_SURFACE('',#25211,0.1335); #21358=CYLINDRICAL_SURFACE('',#25215,0.1335); #21359=CYLINDRICAL_SURFACE('',#25219,0.1335); #21360=CYLINDRICAL_SURFACE('',#25223,0.1335); #21361=CYLINDRICAL_SURFACE('',#25227,0.1335); #21362=CYLINDRICAL_SURFACE('',#25229,0.1335); #21363=CYLINDRICAL_SURFACE('',#25237,0.1335); #21364=CYLINDRICAL_SURFACE('',#25241,0.1335); #21365=CYLINDRICAL_SURFACE('',#25245,0.1335); #21366=CYLINDRICAL_SURFACE('',#25249,0.1335); #21367=CYLINDRICAL_SURFACE('',#25253,0.1335); #21368=CYLINDRICAL_SURFACE('',#25257,0.1335); #21369=CYLINDRICAL_SURFACE('',#25261,0.1335); #21370=CYLINDRICAL_SURFACE('',#25265,0.1335); #21371=CYLINDRICAL_SURFACE('',#25269,0.1335); #21372=CYLINDRICAL_SURFACE('',#25275,0.098); #21373=CYLINDRICAL_SURFACE('',#25279,0.098); #21374=CYLINDRICAL_SURFACE('',#25283,0.098); #21375=CYLINDRICAL_SURFACE('',#25287,0.098); #21376=CYLINDRICAL_SURFACE('',#25291,0.098); #21377=CYLINDRICAL_SURFACE('',#25295,0.098); #21378=CYLINDRICAL_SURFACE('',#25299,0.098); #21379=CYLINDRICAL_SURFACE('',#25303,0.098); #21380=CYLINDRICAL_SURFACE('',#25307,0.098); #21381=CYLINDRICAL_SURFACE('',#25311,0.098); #21382=CYLINDRICAL_SURFACE('',#25315,0.098); #21383=CYLINDRICAL_SURFACE('',#25317,0.098); #21384=CYLINDRICAL_SURFACE('',#25321,0.1335); #21385=CYLINDRICAL_SURFACE('',#25325,0.1335); #21386=CYLINDRICAL_SURFACE('',#25329,0.1335); #21387=CYLINDRICAL_SURFACE('',#25333,0.1335); #21388=CYLINDRICAL_SURFACE('',#25337,0.1335); #21389=CYLINDRICAL_SURFACE('',#25341,0.1335); #21390=CYLINDRICAL_SURFACE('',#25345,0.1335); #21391=CYLINDRICAL_SURFACE('',#25349,0.1335); #21392=CYLINDRICAL_SURFACE('',#25353,0.1335); #21393=CYLINDRICAL_SURFACE('',#25357,0.1335); #21394=CYLINDRICAL_SURFACE('',#25361,0.1335); #21395=CYLINDRICAL_SURFACE('',#25365,0.1335); #21396=CYLINDRICAL_SURFACE('',#25369,0.1335); #21397=CYLINDRICAL_SURFACE('',#25373,0.1335); #21398=CYLINDRICAL_SURFACE('',#25377,0.1335); #21399=CYLINDRICAL_SURFACE('',#25381,0.1335); #21400=CYLINDRICAL_SURFACE('',#25385,0.1335); #21401=CYLINDRICAL_SURFACE('',#25389,0.1335); #21402=CYLINDRICAL_SURFACE('',#25393,0.1335); #21403=CYLINDRICAL_SURFACE('',#25397,0.1335); #21404=CYLINDRICAL_SURFACE('',#25401,0.1335); #21405=CYLINDRICAL_SURFACE('',#25405,0.1335); #21406=CYLINDRICAL_SURFACE('',#25409,0.1335); #21407=CYLINDRICAL_SURFACE('',#25413,0.1335); #21408=CYLINDRICAL_SURFACE('',#25417,0.1335); #21409=CYLINDRICAL_SURFACE('',#25421,0.1335); #21410=CYLINDRICAL_SURFACE('',#25425,0.1335); #21411=CYLINDRICAL_SURFACE('',#25429,0.1335); #21412=CYLINDRICAL_SURFACE('',#25433,0.1335); #21413=CYLINDRICAL_SURFACE('',#25437,0.1335); #21414=CYLINDRICAL_SURFACE('',#25441,0.1335); #21415=CYLINDRICAL_SURFACE('',#25445,0.1335); #21416=CYLINDRICAL_SURFACE('',#25449,0.1335); #21417=CYLINDRICAL_SURFACE('',#25453,0.1335); #21418=CYLINDRICAL_SURFACE('',#25457,0.1335); #21419=CYLINDRICAL_SURFACE('',#25461,0.1335); #21420=CYLINDRICAL_SURFACE('',#25465,0.1335); #21421=CYLINDRICAL_SURFACE('',#25469,0.1335); #21422=CYLINDRICAL_SURFACE('',#25473,0.1335); #21423=CYLINDRICAL_SURFACE('',#25477,0.1335); #21424=CYLINDRICAL_SURFACE('',#25481,0.1335); #21425=CYLINDRICAL_SURFACE('',#25485,0.1335); #21426=CYLINDRICAL_SURFACE('',#25489,0.1335); #21427=CYLINDRICAL_SURFACE('',#25493,0.1335); #21428=CYLINDRICAL_SURFACE('',#25497,0.1335); #21429=CYLINDRICAL_SURFACE('',#25501,0.1335); #21430=CYLINDRICAL_SURFACE('',#25505,0.1335); #21431=CYLINDRICAL_SURFACE('',#25509,0.1335); #21432=CYLINDRICAL_SURFACE('',#25513,0.1335); #21433=CYLINDRICAL_SURFACE('',#25517,0.1335); #21434=CYLINDRICAL_SURFACE('',#25521,0.1335); #21435=CYLINDRICAL_SURFACE('',#25525,0.1335); #21436=CYLINDRICAL_SURFACE('',#25529,0.1335); #21437=CYLINDRICAL_SURFACE('',#25533,0.1335); #21438=CYLINDRICAL_SURFACE('',#25537,0.1335); #21439=CYLINDRICAL_SURFACE('',#25541,0.1335); #21440=CYLINDRICAL_SURFACE('',#25545,0.1335); #21441=CYLINDRICAL_SURFACE('',#25549,0.1335); #21442=CYLINDRICAL_SURFACE('',#25553,0.1335); #21443=CYLINDRICAL_SURFACE('',#25556,0.1335); #21444=CYLINDRICAL_SURFACE('',#25559,0.1335); #21445=CYLINDRICAL_SURFACE('',#25562,0.1335); #21446=CYLINDRICAL_SURFACE('',#25565,0.1335); #21447=CYLINDRICAL_SURFACE('',#25568,0.1335); #21448=CYLINDRICAL_SURFACE('',#25571,0.1335); #21449=CYLINDRICAL_SURFACE('',#25574,0.1335); #21450=CYLINDRICAL_SURFACE('',#25577,0.1335); #21451=CYLINDRICAL_SURFACE('',#25581,0.1335); #21452=CYLINDRICAL_SURFACE('',#25585,0.1335); #21453=CYLINDRICAL_SURFACE('',#25589,0.1335); #21454=CYLINDRICAL_SURFACE('',#25593,0.1335); #21455=CYLINDRICAL_SURFACE('',#25597,0.1335); #21456=CYLINDRICAL_SURFACE('',#25601,0.1335); #21457=CYLINDRICAL_SURFACE('',#25605,0.1335); #21458=CYLINDRICAL_SURFACE('',#25609,0.1335); #21459=CYLINDRICAL_SURFACE('',#25613,0.1335); #21460=CYLINDRICAL_SURFACE('',#25617,0.1335); #21461=CYLINDRICAL_SURFACE('',#25621,0.1335); #21462=CYLINDRICAL_SURFACE('',#25625,0.1335); #21463=CYLINDRICAL_SURFACE('',#25629,0.1335); #21464=CYLINDRICAL_SURFACE('',#25633,0.1335); #21465=CYLINDRICAL_SURFACE('',#25635,0.1335); #21466=CYLINDRICAL_SURFACE('',#25660,5.); #21467=CYLINDRICAL_SURFACE('',#25662,5.); #21468=CYLINDRICAL_SURFACE('',#25664,2.5); #21469=CYLINDRICAL_SURFACE('',#25665,2.5); #21470=CYLINDRICAL_SURFACE('',#25666,5.); #21471=CYLINDRICAL_SURFACE('',#25667,2.5); #21472=CYLINDRICAL_SURFACE('',#25673,93.5603742569475); #21473=CYLINDRICAL_SURFACE('',#25678,86.0550815662616); #21474=CYLINDRICAL_SURFACE('',#25681,98.5); #21475=CYLINDRICAL_SURFACE('',#25683,0.25); #21476=CYLINDRICAL_SURFACE('',#25698,0.25); #21477=CYLINDRICAL_SURFACE('',#25704,0.25); #21478=CYLINDRICAL_SURFACE('',#25710,0.25); #21479=CYLINDRICAL_SURFACE('',#25716,0.25); #21480=CYLINDRICAL_SURFACE('',#25722,0.25); #21481=CYLINDRICAL_SURFACE('',#25724,0.75); #21482=CYLINDRICAL_SURFACE('',#25727,0.75); #21483=CYLINDRICAL_SURFACE('',#25729,98.5); #21484=CYLINDRICAL_SURFACE('',#25731,0.75); #21485=CYLINDRICAL_SURFACE('',#25737,0.1335); #21486=CYLINDRICAL_SURFACE('',#25741,0.1335); #21487=CYLINDRICAL_SURFACE('',#25745,0.1335); #21488=CYLINDRICAL_SURFACE('',#25749,0.1335); #21489=CYLINDRICAL_SURFACE('',#25753,0.1335); #21490=CYLINDRICAL_SURFACE('',#25757,0.1335); #21491=CYLINDRICAL_SURFACE('',#25761,0.1335); #21492=CYLINDRICAL_SURFACE('',#25765,0.1335); #21493=CYLINDRICAL_SURFACE('',#25769,0.1335); #21494=CYLINDRICAL_SURFACE('',#25773,0.1335); #21495=CYLINDRICAL_SURFACE('',#25777,0.1335); #21496=CYLINDRICAL_SURFACE('',#25781,0.1335); #21497=CYLINDRICAL_SURFACE('',#25783,0.1335); #21498=CYLINDRICAL_SURFACE('',#25791,0.1335); #21499=CYLINDRICAL_SURFACE('',#25795,0.1335); #21500=CYLINDRICAL_SURFACE('',#25799,0.1335); #21501=CYLINDRICAL_SURFACE('',#25803,0.1335); #21502=CYLINDRICAL_SURFACE('',#25807,0.1335); #21503=CYLINDRICAL_SURFACE('',#25811,0.1335); #21504=CYLINDRICAL_SURFACE('',#25815,0.1335); #21505=CYLINDRICAL_SURFACE('',#25819,0.1335); #21506=CYLINDRICAL_SURFACE('',#25823,0.1335); #21507=CYLINDRICAL_SURFACE('',#25827,0.1335); #21508=CYLINDRICAL_SURFACE('',#25831,0.1335); #21509=CYLINDRICAL_SURFACE('',#25835,0.1335); #21510=CYLINDRICAL_SURFACE('',#25839,0.1335); #21511=CYLINDRICAL_SURFACE('',#25843,0.1335); #21512=CYLINDRICAL_SURFACE('',#25847,0.1335); #21513=CYLINDRICAL_SURFACE('',#25851,0.1335); #21514=CYLINDRICAL_SURFACE('',#25855,0.1335); #21515=CYLINDRICAL_SURFACE('',#25859,0.1335); #21516=CYLINDRICAL_SURFACE('',#25863,0.1335); #21517=CYLINDRICAL_SURFACE('',#25867,0.1335); #21518=CYLINDRICAL_SURFACE('',#25871,0.1335); #21519=CYLINDRICAL_SURFACE('',#25875,0.1335); #21520=CYLINDRICAL_SURFACE('',#25879,0.1335); #21521=CYLINDRICAL_SURFACE('',#25883,0.1335); #21522=CYLINDRICAL_SURFACE('',#25887,0.1335); #21523=CYLINDRICAL_SURFACE('',#25891,0.1335); #21524=CYLINDRICAL_SURFACE('',#25895,0.1335); #21525=CYLINDRICAL_SURFACE('',#25899,0.1335); #21526=CYLINDRICAL_SURFACE('',#25903,0.1335); #21527=CYLINDRICAL_SURFACE('',#25907,0.1335); #21528=CYLINDRICAL_SURFACE('',#25909,0.1335); #21529=CYLINDRICAL_SURFACE('',#25926,93.5603742569482); #21530=CYLINDRICAL_SURFACE('',#25927,0.75); #21531=CYLINDRICAL_SURFACE('',#25928,0.75); #21532=CYLINDRICAL_SURFACE('',#25930,0.75); #21533=CYLINDRICAL_SURFACE('',#25973,0.166); #21534=CYLINDRICAL_SURFACE('',#25975,0.166); #21535=CYLINDRICAL_SURFACE('',#25977,0.166); #21536=CYLINDRICAL_SURFACE('',#25979,0.166); #21537=CYLINDRICAL_SURFACE('',#25981,0.166); #21538=CYLINDRICAL_SURFACE('',#25983,0.166); #21539=CYLINDRICAL_SURFACE('',#25985,0.166); #21540=CYLINDRICAL_SURFACE('',#25987,0.166); #21541=CYLINDRICAL_SURFACE('',#25989,0.166); #21542=CYLINDRICAL_SURFACE('',#25991,0.166); #21543=CYLINDRICAL_SURFACE('',#25993,0.166); #21544=CYLINDRICAL_SURFACE('',#25995,0.166); #21545=CYLINDRICAL_SURFACE('',#25997,0.166); #21546=CYLINDRICAL_SURFACE('',#25999,0.166); #21547=CYLINDRICAL_SURFACE('',#26001,0.166); #21548=CYLINDRICAL_SURFACE('',#26003,0.166); #21549=CYLINDRICAL_SURFACE('',#26005,0.166); #21550=CYLINDRICAL_SURFACE('',#26007,0.166); #21551=CYLINDRICAL_SURFACE('',#26009,0.166); #21552=CYLINDRICAL_SURFACE('',#26011,0.166); #21553=CYLINDRICAL_SURFACE('',#26013,0.166); #21554=CYLINDRICAL_SURFACE('',#26015,0.166); #21555=CYLINDRICAL_SURFACE('',#26017,0.166); #21556=CYLINDRICAL_SURFACE('',#26019,0.166); #21557=CYLINDRICAL_SURFACE('',#26021,0.166); #21558=CYLINDRICAL_SURFACE('',#26023,0.166); #21559=CYLINDRICAL_SURFACE('',#26025,0.166); #21560=CYLINDRICAL_SURFACE('',#26027,0.166); #21561=CYLINDRICAL_SURFACE('',#26029,0.166); #21562=CYLINDRICAL_SURFACE('',#26031,0.166); #21563=CYLINDRICAL_SURFACE('',#26033,0.166); #21564=CYLINDRICAL_SURFACE('',#26035,0.166); #21565=CYLINDRICAL_SURFACE('',#26037,0.166); #21566=CYLINDRICAL_SURFACE('',#26039,0.166); #21567=CYLINDRICAL_SURFACE('',#26041,0.166); #21568=CYLINDRICAL_SURFACE('',#26043,0.166); #21569=CYLINDRICAL_SURFACE('',#26047,0.1335); #21570=CYLINDRICAL_SURFACE('',#26051,0.1335); #21571=CYLINDRICAL_SURFACE('',#26055,0.1335); #21572=CYLINDRICAL_SURFACE('',#26059,0.1335); #21573=CYLINDRICAL_SURFACE('',#26063,0.1335); #21574=CYLINDRICAL_SURFACE('',#26067,0.1335); #21575=CYLINDRICAL_SURFACE('',#26071,0.1335); #21576=CYLINDRICAL_SURFACE('',#26077,0.166); #21577=CYLINDRICAL_SURFACE('',#26080,0.166); #21578=CYLINDRICAL_SURFACE('',#26083,0.166); #21579=CYLINDRICAL_SURFACE('',#26086,0.166); #21580=CYLINDRICAL_SURFACE('',#26089,0.166); #21581=CYLINDRICAL_SURFACE('',#26092,0.166); #21582=CYLINDRICAL_SURFACE('',#26095,0.166); #21583=CYLINDRICAL_SURFACE('',#26098,0.166); #21584=CYLINDRICAL_SURFACE('',#26101,0.166); #21585=CYLINDRICAL_SURFACE('',#26104,0.166); #21586=CYLINDRICAL_SURFACE('',#26107,0.166); #21587=CYLINDRICAL_SURFACE('',#26110,0.166); #21588=CYLINDRICAL_SURFACE('',#26113,0.166); #21589=CYLINDRICAL_SURFACE('',#26116,0.166); #21590=CYLINDRICAL_SURFACE('',#26119,0.166); #21591=CYLINDRICAL_SURFACE('',#26122,0.166); #21592=CYLINDRICAL_SURFACE('',#26125,0.166); #21593=CYLINDRICAL_SURFACE('',#26128,0.166); #21594=CYLINDRICAL_SURFACE('',#26131,0.166); #21595=CYLINDRICAL_SURFACE('',#26134,0.166); #21596=CYLINDRICAL_SURFACE('',#26137,0.166); #21597=CYLINDRICAL_SURFACE('',#26140,0.166); #21598=CYLINDRICAL_SURFACE('',#26143,0.166); #21599=CYLINDRICAL_SURFACE('',#26146,0.166); #21600=CYLINDRICAL_SURFACE('',#26149,0.166); #21601=CYLINDRICAL_SURFACE('',#26152,0.166); #21602=CYLINDRICAL_SURFACE('',#26155,0.166); #21603=CYLINDRICAL_SURFACE('',#26158,0.166); #21604=CYLINDRICAL_SURFACE('',#26161,0.166); #21605=CYLINDRICAL_SURFACE('',#26164,0.166); #21606=CYLINDRICAL_SURFACE('',#26167,0.166); #21607=CYLINDRICAL_SURFACE('',#26170,0.166); #21608=CYLINDRICAL_SURFACE('',#26182,0.1535); #21609=CYLINDRICAL_SURFACE('',#26186,0.1535); #21610=CYLINDRICAL_SURFACE('',#26190,0.1535); #21611=CYLINDRICAL_SURFACE('',#26194,0.1535); #21612=CYLINDRICAL_SURFACE('',#26198,0.1535); #21613=CYLINDRICAL_SURFACE('',#26202,0.1535); #21614=CYLINDRICAL_SURFACE('',#26206,0.1535); #21615=CYLINDRICAL_SURFACE('',#26210,0.1535); #21616=CYLINDRICAL_SURFACE('',#26214,0.1535); #21617=CYLINDRICAL_SURFACE('',#26218,0.1535); #21618=CYLINDRICAL_SURFACE('',#26222,0.1335); #21619=CYLINDRICAL_SURFACE('',#26226,0.1335); #21620=CYLINDRICAL_SURFACE('',#26230,0.1335); #21621=CYLINDRICAL_SURFACE('',#26234,0.1335); #21622=CYLINDRICAL_SURFACE('',#26238,0.1335); #21623=CYLINDRICAL_SURFACE('',#26242,0.1335); #21624=CYLINDRICAL_SURFACE('',#26246,0.1335); #21625=CYLINDRICAL_SURFACE('',#26250,0.1335); #21626=CYLINDRICAL_SURFACE('',#26254,0.1335); #21627=CYLINDRICAL_SURFACE('',#26258,0.1335); #21628=CYLINDRICAL_SURFACE('',#26262,0.1335); #21629=CYLINDRICAL_SURFACE('',#26266,0.1335); #21630=CYLINDRICAL_SURFACE('',#26270,0.1335); #21631=CYLINDRICAL_SURFACE('',#26274,0.1335); #21632=CYLINDRICAL_SURFACE('',#26276,0.166); #21633=CYLINDRICAL_SURFACE('',#26279,0.166); #21634=CYLINDRICAL_SURFACE('',#26282,0.166); #21635=CYLINDRICAL_SURFACE('',#26285,0.166); #21636=CYLINDRICAL_SURFACE('',#26288,0.166); #21637=CYLINDRICAL_SURFACE('',#26291,0.166); #21638=CYLINDRICAL_SURFACE('',#26294,0.166); #21639=CYLINDRICAL_SURFACE('',#26297,0.166); #21640=CYLINDRICAL_SURFACE('',#26300,0.166); #21641=CYLINDRICAL_SURFACE('',#26303,0.166); #21642=CYLINDRICAL_SURFACE('',#26306,0.166); #21643=CYLINDRICAL_SURFACE('',#26309,0.166); #21644=CYLINDRICAL_SURFACE('',#26312,0.166); #21645=CYLINDRICAL_SURFACE('',#26315,0.166); #21646=CYLINDRICAL_SURFACE('',#26318,0.166); #21647=CYLINDRICAL_SURFACE('',#26321,0.166); #21648=CYLINDRICAL_SURFACE('',#26324,0.166); #21649=CYLINDRICAL_SURFACE('',#26327,0.166); #21650=CYLINDRICAL_SURFACE('',#26366,0.166); #21651=CYLINDRICAL_SURFACE('',#26368,0.166); #21652=CYLINDRICAL_SURFACE('',#26370,0.166); #21653=CYLINDRICAL_SURFACE('',#26372,0.166); #21654=CYLINDRICAL_SURFACE('',#26374,0.166); #21655=CYLINDRICAL_SURFACE('',#26376,0.166); #21656=CYLINDRICAL_SURFACE('',#26378,97.928); #21657=CYLINDRICAL_SURFACE('',#26398,0.166); #21658=CYLINDRICAL_SURFACE('',#26399,0.166); #21659=CYLINDRICAL_SURFACE('',#26400,0.166); #21660=CYLINDRICAL_SURFACE('',#26401,0.166); #21661=CYLINDRICAL_SURFACE('',#26402,0.166); #21662=CYLINDRICAL_SURFACE('',#26403,0.166); #21663=CYLINDRICAL_SURFACE('',#26404,0.166); #21664=CYLINDRICAL_SURFACE('',#26405,0.166); #21665=CYLINDRICAL_SURFACE('',#26406,0.166); #21666=CYLINDRICAL_SURFACE('',#26407,0.166); #21667=CYLINDRICAL_SURFACE('',#26408,0.166); #21668=CYLINDRICAL_SURFACE('',#26409,0.166); #21669=CYLINDRICAL_SURFACE('',#26410,0.166); #21670=CYLINDRICAL_SURFACE('',#26411,0.166); #21671=CYLINDRICAL_SURFACE('',#26412,0.166); #21672=CYLINDRICAL_SURFACE('',#26413,80.318); #21673=CYLINDRICAL_SURFACE('',#26421,0.1335); #21674=CYLINDRICAL_SURFACE('',#26425,0.1335); #21675=CYLINDRICAL_SURFACE('',#26429,0.1335); #21676=CYLINDRICAL_SURFACE('',#26433,0.1335); #21677=CYLINDRICAL_SURFACE('',#26437,0.1335); #21678=CYLINDRICAL_SURFACE('',#26441,0.1335); #21679=CYLINDRICAL_SURFACE('',#26445,0.1335); #21680=CYLINDRICAL_SURFACE('',#26449,0.1335); #21681=CYLINDRICAL_SURFACE('',#26453,0.1335); #21682=CYLINDRICAL_SURFACE('',#26457,0.1335); #21683=CYLINDRICAL_SURFACE('',#26461,0.1335); #21684=CYLINDRICAL_SURFACE('',#26465,0.1335); #21685=CYLINDRICAL_SURFACE('',#26469,0.1335); #21686=CYLINDRICAL_SURFACE('',#26473,0.1335); #21687=CYLINDRICAL_SURFACE('',#26477,0.1335); #21688=CYLINDRICAL_SURFACE('',#26481,0.1335); #21689=CYLINDRICAL_SURFACE('',#26485,0.1335); #21690=CYLINDRICAL_SURFACE('',#26489,0.1335); #21691=CYLINDRICAL_SURFACE('',#26493,0.1335); #21692=CYLINDRICAL_SURFACE('',#26497,0.1335); #21693=CYLINDRICAL_SURFACE('',#26501,0.1335); #21694=CYLINDRICAL_SURFACE('',#26505,0.1335); #21695=CYLINDRICAL_SURFACE('',#26509,0.1335); #21696=CYLINDRICAL_SURFACE('',#26513,0.1335); #21697=CYLINDRICAL_SURFACE('',#26517,0.1335); #21698=CYLINDRICAL_SURFACE('',#26521,0.1335); #21699=CYLINDRICAL_SURFACE('',#26525,0.1335); #21700=CYLINDRICAL_SURFACE('',#26529,0.1335); #21701=CYLINDRICAL_SURFACE('',#26533,0.1335); #21702=CYLINDRICAL_SURFACE('',#26537,0.1335); #21703=CYLINDRICAL_SURFACE('',#26541,0.1335); #21704=CYLINDRICAL_SURFACE('',#26545,0.1335); #21705=CYLINDRICAL_SURFACE('',#26549,0.1335); #21706=CYLINDRICAL_SURFACE('',#26553,0.1335); #21707=CYLINDRICAL_SURFACE('',#26557,0.1335); #21708=CYLINDRICAL_SURFACE('',#26561,0.1335); #21709=CYLINDRICAL_SURFACE('',#26571,0.5); #21710=CYLINDRICAL_SURFACE('',#26578,0.5); #21711=CYLINDRICAL_SURFACE('',#26605,0.5); #21712=CYLINDRICAL_SURFACE('',#26637,0.166); #21713=CYLINDRICAL_SURFACE('',#26639,0.166); #21714=CYLINDRICAL_SURFACE('',#26641,0.166); #21715=CYLINDRICAL_SURFACE('',#26643,0.166); #21716=CYLINDRICAL_SURFACE('',#26645,0.166); #21717=CYLINDRICAL_SURFACE('',#26647,0.166); #21718=CYLINDRICAL_SURFACE('',#26649,0.166); #21719=CYLINDRICAL_SURFACE('',#26651,0.166); #21720=CYLINDRICAL_SURFACE('',#26653,0.166); #21721=CYLINDRICAL_SURFACE('',#26655,0.166); #21722=CYLINDRICAL_SURFACE('',#26657,0.166); #21723=CYLINDRICAL_SURFACE('',#26659,0.166); #21724=CYLINDRICAL_SURFACE('',#26661,97.927805574297); #21725=CYLINDRICAL_SURFACE('',#26694,0.166); #21726=CYLINDRICAL_SURFACE('',#26695,0.166); #21727=CYLINDRICAL_SURFACE('',#26696,0.166); #21728=CYLINDRICAL_SURFACE('',#26697,0.166); #21729=CYLINDRICAL_SURFACE('',#26698,0.166); #21730=CYLINDRICAL_SURFACE('',#26699,0.166); #21731=CYLINDRICAL_SURFACE('',#26700,0.166); #21732=CYLINDRICAL_SURFACE('',#26701,0.166); #21733=CYLINDRICAL_SURFACE('',#26702,0.166); #21734=CYLINDRICAL_SURFACE('',#26703,0.166); #21735=CYLINDRICAL_SURFACE('',#26704,0.166); #21736=CYLINDRICAL_SURFACE('',#26705,0.166); #21737=CYLINDRICAL_SURFACE('',#26706,0.166); #21738=CYLINDRICAL_SURFACE('',#26707,0.166); #21739=CYLINDRICAL_SURFACE('',#26708,0.166); #21740=CYLINDRICAL_SURFACE('',#26709,0.166); #21741=CYLINDRICAL_SURFACE('',#26710,0.166); #21742=CYLINDRICAL_SURFACE('',#26711,0.166); #21743=CYLINDRICAL_SURFACE('',#26712,0.166); #21744=CYLINDRICAL_SURFACE('',#26713,0.166); #21745=CYLINDRICAL_SURFACE('',#26714,0.166); #21746=CYLINDRICAL_SURFACE('',#26715,0.166); #21747=CYLINDRICAL_SURFACE('',#26716,0.166); #21748=CYLINDRICAL_SURFACE('',#26717,0.166); #21749=CYLINDRICAL_SURFACE('',#26718,0.166); #21750=CYLINDRICAL_SURFACE('',#26719,0.166); #21751=CYLINDRICAL_SURFACE('',#26720,0.166); #21752=CYLINDRICAL_SURFACE('',#26721,0.166); #21753=CYLINDRICAL_SURFACE('',#26722,0.166); #21754=CYLINDRICAL_SURFACE('',#26724,80.3181714265491); #21755=CYLINDRICAL_SURFACE('',#26730,1.); #21756=CYLINDRICAL_SURFACE('',#26733,1.); #21757=CYLINDRICAL_SURFACE('',#26736,1.); #21758=CYLINDRICAL_SURFACE('',#26739,1.); #21759=CYLINDRICAL_SURFACE('',#26744,0.1335); #21760=CYLINDRICAL_SURFACE('',#26748,0.1335); #21761=CYLINDRICAL_SURFACE('',#26752,0.1335); #21762=CYLINDRICAL_SURFACE('',#26761,0.1985); #21763=CYLINDRICAL_SURFACE('',#26763,0.1985); #21764=CYLINDRICAL_SURFACE('',#26765,0.1985); #21765=CYLINDRICAL_SURFACE('',#26767,0.1985); #21766=CYLINDRICAL_SURFACE('',#26769,0.1985); #21767=CYLINDRICAL_SURFACE('',#26778,0.1535); #21768=CYLINDRICAL_SURFACE('',#26781,0.1535); #21769=CYLINDRICAL_SURFACE('',#26782,0.1535); #21770=CYLINDRICAL_SURFACE('',#26793,0.3125); #21771=CYLINDRICAL_SURFACE('',#26795,0.1985); #21772=CYLINDRICAL_SURFACE('',#26800,0.3125); #21773=CYLINDRICAL_SURFACE('',#26802,0.1985); #21774=CYLINDRICAL_SURFACE('',#26807,0.3125); #21775=CYLINDRICAL_SURFACE('',#26809,0.1985); #21776=CYLINDRICAL_SURFACE('',#26814,0.3125); #21777=CYLINDRICAL_SURFACE('',#26816,0.1985); #21778=CYLINDRICAL_SURFACE('',#26821,0.3125); #21779=CYLINDRICAL_SURFACE('',#26823,0.1985); #21780=CYLINDRICAL_SURFACE('',#26828,0.3125); #21781=CYLINDRICAL_SURFACE('',#26830,0.1985); #21782=CYLINDRICAL_SURFACE('',#26835,0.3125); #21783=CYLINDRICAL_SURFACE('',#26837,0.1985); #21784=CYLINDRICAL_SURFACE('',#26842,0.3125); #21785=CYLINDRICAL_SURFACE('',#26844,0.1985); #21786=CYLINDRICAL_SURFACE('',#26849,0.3125); #21787=CYLINDRICAL_SURFACE('',#26851,0.1985); #21788=CYLINDRICAL_SURFACE('',#26856,0.3125); #21789=CYLINDRICAL_SURFACE('',#26858,0.1985); #21790=CYLINDRICAL_SURFACE('',#26866,0.166); #21791=CYLINDRICAL_SURFACE('',#26869,0.166); #21792=CYLINDRICAL_SURFACE('',#26872,0.166); #21793=CYLINDRICAL_SURFACE('',#26875,0.166); #21794=CYLINDRICAL_SURFACE('',#26878,0.166); #21795=CYLINDRICAL_SURFACE('',#26881,0.166); #21796=CYLINDRICAL_SURFACE('',#26884,0.166); #21797=CYLINDRICAL_SURFACE('',#26887,0.166); #21798=CYLINDRICAL_SURFACE('',#26890,0.166); #21799=CYLINDRICAL_SURFACE('',#26893,0.166); #21800=CYLINDRICAL_SURFACE('',#26896,0.166); #21801=CYLINDRICAL_SURFACE('',#26899,0.166); #21802=CYLINDRICAL_SURFACE('',#26902,0.166); #21803=CYLINDRICAL_SURFACE('',#26905,0.166); #21804=CYLINDRICAL_SURFACE('',#26908,0.166); #21805=CYLINDRICAL_SURFACE('',#26911,0.166); #21806=CYLINDRICAL_SURFACE('',#26914,0.166); #21807=CYLINDRICAL_SURFACE('',#26917,0.166); #21808=CYLINDRICAL_SURFACE('',#26920,0.166); #21809=CYLINDRICAL_SURFACE('',#26923,0.166); #21810=CYLINDRICAL_SURFACE('',#26926,0.166); #21811=CYLINDRICAL_SURFACE('',#26929,0.166); #21812=CYLINDRICAL_SURFACE('',#26932,0.166); #21813=CYLINDRICAL_SURFACE('',#26935,0.166); #21814=CYLINDRICAL_SURFACE('',#26938,0.166); #21815=CYLINDRICAL_SURFACE('',#26941,0.166); #21816=CYLINDRICAL_SURFACE('',#26944,0.166); #21817=CYLINDRICAL_SURFACE('',#26947,0.166); #21818=CYLINDRICAL_SURFACE('',#26950,0.166); #21819=CYLINDRICAL_SURFACE('',#26953,0.166); #21820=CYLINDRICAL_SURFACE('',#26956,0.166); #21821=CYLINDRICAL_SURFACE('',#26959,0.166); #21822=CYLINDRICAL_SURFACE('',#26962,0.166); #21823=CYLINDRICAL_SURFACE('',#26965,0.166); #21824=CYLINDRICAL_SURFACE('',#26968,0.166); #21825=CYLINDRICAL_SURFACE('',#26971,0.166); #21826=CYLINDRICAL_SURFACE('',#26974,0.166); #21827=CYLINDRICAL_SURFACE('',#26977,0.166); #21828=CYLINDRICAL_SURFACE('',#26980,0.166); #21829=CYLINDRICAL_SURFACE('',#26983,0.166); #21830=CYLINDRICAL_SURFACE('',#26986,0.166); #21831=CYLINDRICAL_SURFACE('',#26989,0.166); #21832=CYLINDRICAL_SURFACE('',#26992,0.166); #21833=CYLINDRICAL_SURFACE('',#26995,0.166); #21834=CYLINDRICAL_SURFACE('',#26998,0.166); #21835=CYLINDRICAL_SURFACE('',#27001,0.166); #21836=CYLINDRICAL_SURFACE('',#27004,0.166); #21837=CYLINDRICAL_SURFACE('',#27007,0.166); #21838=CYLINDRICAL_SURFACE('',#27010,0.166); #21839=CYLINDRICAL_SURFACE('',#27013,0.166); #21840=CYLINDRICAL_SURFACE('',#27016,0.166); #21841=CYLINDRICAL_SURFACE('',#27019,0.166); #21842=CYLINDRICAL_SURFACE('',#27022,0.166); #21843=CYLINDRICAL_SURFACE('',#27025,0.166); #21844=CYLINDRICAL_SURFACE('',#27028,0.166); #21845=CYLINDRICAL_SURFACE('',#27031,0.166); #21846=CYLINDRICAL_SURFACE('',#27034,0.166); #21847=CYLINDRICAL_SURFACE('',#27037,0.166); #21848=CYLINDRICAL_SURFACE('',#27040,0.166); #21849=CYLINDRICAL_SURFACE('',#27043,0.166); #21850=CYLINDRICAL_SURFACE('',#27046,0.166); #21851=CYLINDRICAL_SURFACE('',#27049,0.166); #21852=CYLINDRICAL_SURFACE('',#27052,0.166); #21853=CYLINDRICAL_SURFACE('',#27055,0.166); #21854=CYLINDRICAL_SURFACE('',#27058,0.166); #21855=CYLINDRICAL_SURFACE('',#27061,0.166); #21856=CYLINDRICAL_SURFACE('',#27064,0.166); #21857=CYLINDRICAL_SURFACE('',#27067,0.166); #21858=CYLINDRICAL_SURFACE('',#27070,0.166); #21859=CYLINDRICAL_SURFACE('',#27073,0.166); #21860=CYLINDRICAL_SURFACE('',#27076,0.166); #21861=CYLINDRICAL_SURFACE('',#27079,0.166); #21862=CYLINDRICAL_SURFACE('',#27082,0.166); #21863=CYLINDRICAL_SURFACE('',#27085,0.166); #21864=CYLINDRICAL_SURFACE('',#27088,0.166); #21865=CYLINDRICAL_SURFACE('',#27091,0.166); #21866=CYLINDRICAL_SURFACE('',#27094,0.166); #21867=CYLINDRICAL_SURFACE('',#27097,0.166); #21868=CYLINDRICAL_SURFACE('',#27100,0.166); #21869=CYLINDRICAL_SURFACE('',#27103,0.166); #21870=CYLINDRICAL_SURFACE('',#27106,0.166); #21871=CYLINDRICAL_SURFACE('',#27109,0.166); #21872=CYLINDRICAL_SURFACE('',#27112,0.166); #21873=CYLINDRICAL_SURFACE('',#27115,0.166); #21874=CYLINDRICAL_SURFACE('',#27118,0.166); #21875=CYLINDRICAL_SURFACE('',#27121,0.166); #21876=CYLINDRICAL_SURFACE('',#27124,0.166); #21877=CYLINDRICAL_SURFACE('',#27127,0.166); #21878=CYLINDRICAL_SURFACE('',#27130,0.166); #21879=CYLINDRICAL_SURFACE('',#27133,0.166); #21880=CYLINDRICAL_SURFACE('',#27136,0.166); #21881=CYLINDRICAL_SURFACE('',#27139,0.166); #21882=CYLINDRICAL_SURFACE('',#27142,0.166); #21883=CYLINDRICAL_SURFACE('',#27145,0.166); #21884=CYLINDRICAL_SURFACE('',#27148,0.166); #21885=CYLINDRICAL_SURFACE('',#27151,0.166); #21886=CYLINDRICAL_SURFACE('',#27167,0.375); #21887=CYLINDRICAL_SURFACE('',#27181,0.375); #21888=CYLINDRICAL_SURFACE('',#27183,2.94499999999999); #21889=CYLINDRICAL_SURFACE('',#27185,69.527); #21890=CYLINDRICAL_SURFACE('',#27187,2.94499999999999); #21891=CYLINDRICAL_SURFACE('',#27193,2.94499999999999); #21892=CYLINDRICAL_SURFACE('',#27195,32.3097734880976); #21893=CYLINDRICAL_SURFACE('',#27197,2.94499999999999); #21894=CYLINDRICAL_SURFACE('',#27200,71.882); #21895=CYLINDRICAL_SURFACE('',#27203,30.7847734880976); #21896=ADVANCED_FACE('',(#4629,#2486),#20971,.F.); #21897=ADVANCED_FACE('',(#4630,#2487),#20972,.F.); #21898=ADVANCED_FACE('',(#4631,#2488),#20973,.F.); #21899=ADVANCED_FACE('',(#4632,#2489),#20974,.F.); #21900=ADVANCED_FACE('',(#4633,#2490),#2136,.F.); #21901=ADVANCED_FACE('',(#4634,#2491),#20975,.F.); #21902=ADVANCED_FACE('',(#4635,#2492),#20976,.F.); #21903=ADVANCED_FACE('',(#4636,#2493),#2137,.F.); #21904=ADVANCED_FACE('',(#4637,#2494),#20977,.F.); #21905=ADVANCED_FACE('',(#4638,#2495),#20978,.F.); #21906=ADVANCED_FACE('',(#4639,#2496),#20979,.F.); #21907=ADVANCED_FACE('',(#4640,#2497),#20980,.F.); #21908=ADVANCED_FACE('',(#4641,#2498),#2138,.F.); #21909=ADVANCED_FACE('',(#4642,#2499),#2139,.F.); #21910=ADVANCED_FACE('',(#4643,#2500),#20981,.F.); #21911=ADVANCED_FACE('',(#4644,#2501),#20982,.F.); #21912=ADVANCED_FACE('',(#4645,#2502),#20983,.F.); #21913=ADVANCED_FACE('',(#4646,#2503),#20984,.F.); #21914=ADVANCED_FACE('',(#4647,#2504),#2140,.F.); #21915=ADVANCED_FACE('',(#4648,#2505),#2141,.F.); #21916=ADVANCED_FACE('',(#4649,#2506),#20985,.F.); #21917=ADVANCED_FACE('',(#4650,#2507),#20986,.F.); #21918=ADVANCED_FACE('',(#4651,#2508),#20987,.F.); #21919=ADVANCED_FACE('',(#4652,#2509),#20988,.F.); #21920=ADVANCED_FACE('',(#4653,#2510),#2142,.F.); #21921=ADVANCED_FACE('',(#4654,#2511),#2143,.F.); #21922=ADVANCED_FACE('',(#4655,#2512),#20989,.F.); #21923=ADVANCED_FACE('',(#4656,#2513),#20990,.F.); #21924=ADVANCED_FACE('',(#4657,#2514),#20991,.F.); #21925=ADVANCED_FACE('',(#4658,#2515),#20992,.F.); #21926=ADVANCED_FACE('',(#4659,#2516),#2144,.F.); #21927=ADVANCED_FACE('',(#4660,#2517),#2145,.F.); #21928=ADVANCED_FACE('',(#4661,#2518),#20993,.F.); #21929=ADVANCED_FACE('',(#4662,#2519),#20994,.F.); #21930=ADVANCED_FACE('',(#4663,#2520),#20995,.F.); #21931=ADVANCED_FACE('',(#4664,#2521),#20996,.F.); #21932=ADVANCED_FACE('',(#4665,#2522),#2146,.F.); #21933=ADVANCED_FACE('',(#4666,#2523),#2147,.F.); #21934=ADVANCED_FACE('',(#4667,#2524),#20997,.F.); #21935=ADVANCED_FACE('',(#4668,#2525),#20998,.F.); #21936=ADVANCED_FACE('',(#4669,#2526),#20999,.F.); #21937=ADVANCED_FACE('',(#4670,#2527),#21000,.F.); #21938=ADVANCED_FACE('',(#4671,#2528),#2148,.F.); #21939=ADVANCED_FACE('',(#4672,#2529),#2149,.F.); #21940=ADVANCED_FACE('',(#4673,#2530),#21001,.F.); #21941=ADVANCED_FACE('',(#4674,#2531),#21002,.F.); #21942=ADVANCED_FACE('',(#4675,#2532),#21003,.F.); #21943=ADVANCED_FACE('',(#4676,#2533),#21004,.F.); #21944=ADVANCED_FACE('',(#4677,#2534),#2150,.F.); #21945=ADVANCED_FACE('',(#4678,#2535),#2151,.T.); #21946=ADVANCED_FACE('',(#4679,#2536),#21005,.F.); #21947=ADVANCED_FACE('',(#4680,#2537),#21006,.F.); #21948=ADVANCED_FACE('',(#4681,#2538),#2152,.T.); #21949=ADVANCED_FACE('',(#4682,#2539),#21007,.F.); #21950=ADVANCED_FACE('',(#4683,#2540),#21008,.F.); #21951=ADVANCED_FACE('',(#4684,#2541),#2153,.T.); #21952=ADVANCED_FACE('',(#4685,#2542),#21009,.F.); #21953=ADVANCED_FACE('',(#4686,#2543),#21010,.F.); #21954=ADVANCED_FACE('',(#4687,#2544),#2154,.T.); #21955=ADVANCED_FACE('',(#4688,#2545),#21011,.F.); #21956=ADVANCED_FACE('',(#4689,#2546),#21012,.F.); #21957=ADVANCED_FACE('',(#4690,#2547),#2155,.T.); #21958=ADVANCED_FACE('',(#4691,#2548),#21013,.F.); #21959=ADVANCED_FACE('',(#4692,#2549),#21014,.F.); #21960=ADVANCED_FACE('',(#4693,#2550),#2156,.T.); #21961=ADVANCED_FACE('',(#4694,#2551),#21015,.F.); #21962=ADVANCED_FACE('',(#4695,#2552),#21016,.F.); #21963=ADVANCED_FACE('',(#4696,#2553),#2157,.T.); #21964=ADVANCED_FACE('',(#4697,#2554),#21017,.F.); #21965=ADVANCED_FACE('',(#4698,#2555),#21018,.F.); #21966=ADVANCED_FACE('',(#4699,#2556),#2158,.T.); #21967=ADVANCED_FACE('',(#4700,#2557),#21019,.F.); #21968=ADVANCED_FACE('',(#4701,#2558),#21020,.F.); #21969=ADVANCED_FACE('',(#4702,#2559),#2159,.T.); #21970=ADVANCED_FACE('',(#4703,#2560),#21021,.F.); #21971=ADVANCED_FACE('',(#4704,#2561),#21022,.F.); #21972=ADVANCED_FACE('',(#4705,#2562),#2160,.T.); #21973=ADVANCED_FACE('',(#4706,#2563),#21023,.F.); #21974=ADVANCED_FACE('',(#4707,#2564),#21024,.F.); #21975=ADVANCED_FACE('',(#4708,#2565),#2161,.T.); #21976=ADVANCED_FACE('',(#4709,#2566),#21025,.F.); #21977=ADVANCED_FACE('',(#4710,#2567),#21026,.F.); #21978=ADVANCED_FACE('',(#4711,#2568),#2162,.T.); #21979=ADVANCED_FACE('',(#4712,#2569),#21027,.F.); #21980=ADVANCED_FACE('',(#4713,#2570),#21028,.F.); #21981=ADVANCED_FACE('',(#4714,#2571),#2163,.T.); #21982=ADVANCED_FACE('',(#4715,#2572),#21029,.F.); #21983=ADVANCED_FACE('',(#4716,#2573),#21030,.F.); #21984=ADVANCED_FACE('',(#4717,#2574),#2164,.T.); #21985=ADVANCED_FACE('',(#4718,#2575),#21031,.F.); #21986=ADVANCED_FACE('',(#4719,#2576),#21032,.F.); #21987=ADVANCED_FACE('',(#4720,#2577),#2165,.T.); #21988=ADVANCED_FACE('',(#4721,#2578),#21033,.F.); #21989=ADVANCED_FACE('',(#4722,#2579),#21034,.F.); #21990=ADVANCED_FACE('',(#4723,#2580),#21035,.F.); #21991=ADVANCED_FACE('',(#4724,#2581),#21036,.F.); #21992=ADVANCED_FACE('',(#4725),#1762,.F.); #21993=ADVANCED_FACE('',(#4726,#2582),#21037,.F.); #21994=ADVANCED_FACE('',(#4727),#1763,.F.); #21995=ADVANCED_FACE('',(#4728,#2583),#21038,.F.); #21996=ADVANCED_FACE('',(#4729),#1764,.F.); #21997=ADVANCED_FACE('',(#4730,#2584),#21039,.F.); #21998=ADVANCED_FACE('',(#4731),#1765,.F.); #21999=ADVANCED_FACE('',(#4732,#2585),#21040,.F.); #22000=ADVANCED_FACE('',(#4733),#1766,.F.); #22001=ADVANCED_FACE('',(#4734,#2586),#21041,.F.); #22002=ADVANCED_FACE('',(#4735),#1767,.F.); #22003=ADVANCED_FACE('',(#4736,#2587),#21042,.F.); #22004=ADVANCED_FACE('',(#4737),#1768,.F.); #22005=ADVANCED_FACE('',(#4738,#2588),#21043,.F.); #22006=ADVANCED_FACE('',(#4739),#1769,.F.); #22007=ADVANCED_FACE('',(#4740,#2589),#21044,.F.); #22008=ADVANCED_FACE('',(#4741),#1770,.F.); #22009=ADVANCED_FACE('',(#4742,#2590),#21045,.F.); #22010=ADVANCED_FACE('',(#4743),#1771,.F.); #22011=ADVANCED_FACE('',(#4744,#2591),#21046,.F.); #22012=ADVANCED_FACE('',(#4745),#1772,.F.); #22013=ADVANCED_FACE('',(#4746,#2592),#21047,.F.); #22014=ADVANCED_FACE('',(#4747),#1773,.F.); #22015=ADVANCED_FACE('',(#4748,#2593),#21048,.F.); #22016=ADVANCED_FACE('',(#4749),#1774,.F.); #22017=ADVANCED_FACE('',(#4750,#2594),#21049,.F.); #22018=ADVANCED_FACE('',(#4751),#1775,.F.); #22019=ADVANCED_FACE('',(#4752,#2595),#21050,.F.); #22020=ADVANCED_FACE('',(#4753),#1776,.F.); #22021=ADVANCED_FACE('',(#4754,#2596),#21051,.F.); #22022=ADVANCED_FACE('',(#4755),#1777,.F.); #22023=ADVANCED_FACE('',(#4756,#2597),#21052,.F.); #22024=ADVANCED_FACE('',(#4757),#1778,.F.); #22025=ADVANCED_FACE('',(#4758,#2598),#21053,.F.); #22026=ADVANCED_FACE('',(#4759),#1779,.F.); #22027=ADVANCED_FACE('',(#4760,#2599),#21054,.F.); #22028=ADVANCED_FACE('',(#4761),#1780,.F.); #22029=ADVANCED_FACE('',(#4762,#2600),#21055,.F.); #22030=ADVANCED_FACE('',(#4763),#1781,.F.); #22031=ADVANCED_FACE('',(#4764,#2601),#21056,.F.); #22032=ADVANCED_FACE('',(#4765),#1782,.F.); #22033=ADVANCED_FACE('',(#4766,#2602),#21057,.F.); #22034=ADVANCED_FACE('',(#4767,#2603),#21058,.F.); #22035=ADVANCED_FACE('',(#4768,#2604),#21059,.F.); #22036=ADVANCED_FACE('',(#4769,#2605),#21060,.F.); #22037=ADVANCED_FACE('',(#4770,#2606),#21061,.F.); #22038=ADVANCED_FACE('',(#4771,#2607),#21062,.F.); #22039=ADVANCED_FACE('',(#4772,#2608),#21063,.F.); #22040=ADVANCED_FACE('',(#4773,#2609),#21064,.F.); #22041=ADVANCED_FACE('',(#4774,#2610),#21065,.F.); #22042=ADVANCED_FACE('',(#4775,#2611),#21066,.F.); #22043=ADVANCED_FACE('',(#4776,#2612),#21067,.F.); #22044=ADVANCED_FACE('',(#4777),#1736,.T.); #22045=ADVANCED_FACE('',(#4778),#21068,.T.); #22046=ADVANCED_FACE('',(#4779),#1737,.T.); #22047=ADVANCED_FACE('',(#4780),#1738,.T.); #22048=ADVANCED_FACE('',(#4781),#1739,.T.); #22049=ADVANCED_FACE('',(#4782),#21069,.T.); #22050=ADVANCED_FACE('',(#4783),#1740,.T.); #22051=ADVANCED_FACE('',(#4784),#1741,.T.); #22052=ADVANCED_FACE('',(#4785),#21070,.T.); #22053=ADVANCED_FACE('',(#4786),#21071,.F.); #22054=ADVANCED_FACE('',(#4787),#2166,.F.); #22055=ADVANCED_FACE('',(#4788),#21072,.F.); #22056=ADVANCED_FACE('',(#4789,#2613),#2167,.T.); #22057=ADVANCED_FACE('',(#4790),#21073,.F.); #22058=ADVANCED_FACE('',(#4791),#2168,.F.); #22059=ADVANCED_FACE('',(#4792),#21074,.F.); #22060=ADVANCED_FACE('',(#4793),#21075,.F.); #22061=ADVANCED_FACE('',(#4794,#2614),#21076,.F.); #22062=ADVANCED_FACE('',(#4795,#2615),#21077,.F.); #22063=ADVANCED_FACE('',(#4796,#2616),#21078,.F.); #22064=ADVANCED_FACE('',(#4797,#2617),#21079,.F.); #22065=ADVANCED_FACE('',(#4798,#2618),#21080,.F.); #22066=ADVANCED_FACE('',(#4799,#2619),#21081,.F.); #22067=ADVANCED_FACE('',(#4800,#2620),#21082,.F.); #22068=ADVANCED_FACE('',(#4801,#2621),#21083,.F.); #22069=ADVANCED_FACE('',(#4802,#2622),#21084,.F.); #22070=ADVANCED_FACE('',(#4803,#2623),#21085,.F.); #22071=ADVANCED_FACE('',(#4804,#2624),#21086,.F.); #22072=ADVANCED_FACE('',(#4805,#2625),#21087,.F.); #22073=ADVANCED_FACE('',(#4806,#2626),#21088,.F.); #22074=ADVANCED_FACE('',(#4807,#2627),#21089,.F.); #22075=ADVANCED_FACE('',(#4808,#2628),#21090,.F.); #22076=ADVANCED_FACE('',(#4809,#2629),#21091,.F.); #22077=ADVANCED_FACE('',(#4810,#2630),#21092,.F.); #22078=ADVANCED_FACE('',(#4811,#2631),#21093,.F.); #22079=ADVANCED_FACE('',(#4812,#2632),#21094,.F.); #22080=ADVANCED_FACE('',(#4813,#2633),#21095,.F.); #22081=ADVANCED_FACE('',(#4814,#2634),#21096,.F.); #22082=ADVANCED_FACE('',(#4815,#2635),#21097,.F.); #22083=ADVANCED_FACE('',(#4816,#2636),#21098,.F.); #22084=ADVANCED_FACE('',(#4817,#2637),#21099,.F.); #22085=ADVANCED_FACE('',(#4818,#2638),#21100,.F.); #22086=ADVANCED_FACE('',(#4819,#2639),#21101,.F.); #22087=ADVANCED_FACE('',(#4820,#2640),#21102,.F.); #22088=ADVANCED_FACE('',(#4821,#2641),#21103,.F.); #22089=ADVANCED_FACE('',(#4822,#2642),#21104,.F.); #22090=ADVANCED_FACE('',(#4823,#2643),#21105,.F.); #22091=ADVANCED_FACE('',(#4824,#2644),#21106,.F.); #22092=ADVANCED_FACE('',(#4825,#2645),#21107,.F.); #22093=ADVANCED_FACE('',(#4826,#2646),#21108,.F.); #22094=ADVANCED_FACE('',(#4827,#2647),#21109,.F.); #22095=ADVANCED_FACE('',(#4828,#2648),#21110,.F.); #22096=ADVANCED_FACE('',(#4829,#2649),#21111,.F.); #22097=ADVANCED_FACE('',(#4830,#2650),#21112,.F.); #22098=ADVANCED_FACE('',(#4831,#2651),#21113,.F.); #22099=ADVANCED_FACE('',(#4832,#2652),#21114,.F.); #22100=ADVANCED_FACE('',(#4833,#2653),#21115,.F.); #22101=ADVANCED_FACE('',(#4834,#2654),#21116,.F.); #22102=ADVANCED_FACE('',(#4835,#2655),#21117,.F.); #22103=ADVANCED_FACE('',(#4836,#2656),#21118,.F.); #22104=ADVANCED_FACE('',(#4837,#2657),#21119,.F.); #22105=ADVANCED_FACE('',(#4838,#2658),#21120,.F.); #22106=ADVANCED_FACE('',(#4839,#2659),#21121,.F.); #22107=ADVANCED_FACE('',(#4840,#2660),#21122,.F.); #22108=ADVANCED_FACE('',(#4841,#2661),#21123,.F.); #22109=ADVANCED_FACE('',(#4842,#2662),#21124,.F.); #22110=ADVANCED_FACE('',(#4843,#2663),#21125,.F.); #22111=ADVANCED_FACE('',(#4844,#2664),#21126,.F.); #22112=ADVANCED_FACE('',(#4845,#2665),#21127,.F.); #22113=ADVANCED_FACE('',(#4846,#2666),#21128,.F.); #22114=ADVANCED_FACE('',(#4847,#2667),#21129,.F.); #22115=ADVANCED_FACE('',(#4848,#2668),#21130,.F.); #22116=ADVANCED_FACE('',(#4849),#21131,.F.); #22117=ADVANCED_FACE('',(#4850),#21132,.F.); #22118=ADVANCED_FACE('',(#4851),#21133,.F.); #22119=ADVANCED_FACE('',(#4852),#2169,.F.); #22120=ADVANCED_FACE('',(#4853),#21134,.T.); #22121=ADVANCED_FACE('',(#4854),#2170,.F.); #22122=ADVANCED_FACE('',(#4855),#21135,.T.); #22123=ADVANCED_FACE('',(#4856),#21136,.F.); #22124=ADVANCED_FACE('',(#4857),#21137,.F.); #22125=ADVANCED_FACE('',(#4858),#21138,.T.); #22126=ADVANCED_FACE('',(#4859),#2171,.F.); #22127=ADVANCED_FACE('',(#4860),#21139,.T.); #22128=ADVANCED_FACE('',(#4861),#21140,.T.); #22129=ADVANCED_FACE('',(#4862),#2172,.F.); #22130=ADVANCED_FACE('',(#4863,#2669),#2173,.F.); #22131=ADVANCED_FACE('',(#4864),#21141,.F.); #22132=ADVANCED_FACE('',(#4865),#21142,.T.); #22133=ADVANCED_FACE('',(#4866),#1783,.F.); #22134=ADVANCED_FACE('',(#4867,#2670),#21143,.F.); #22135=ADVANCED_FACE('',(#4868),#1784,.F.); #22136=ADVANCED_FACE('',(#4869,#2671),#21144,.F.); #22137=ADVANCED_FACE('',(#4870),#1785,.F.); #22138=ADVANCED_FACE('',(#4871,#2672),#21145,.F.); #22139=ADVANCED_FACE('',(#4872),#1786,.F.); #22140=ADVANCED_FACE('',(#4873,#2673),#21146,.F.); #22141=ADVANCED_FACE('',(#4874),#1787,.F.); #22142=ADVANCED_FACE('',(#4875,#2674),#21147,.F.); #22143=ADVANCED_FACE('',(#4876),#1788,.F.); #22144=ADVANCED_FACE('',(#4877,#2675),#21148,.F.); #22145=ADVANCED_FACE('',(#4878),#1789,.F.); #22146=ADVANCED_FACE('',(#4879,#2676),#21149,.F.); #22147=ADVANCED_FACE('',(#4880),#1790,.F.); #22148=ADVANCED_FACE('',(#4881,#2677),#21150,.F.); #22149=ADVANCED_FACE('',(#4882),#1791,.F.); #22150=ADVANCED_FACE('',(#4883,#2678),#21151,.F.); #22151=ADVANCED_FACE('',(#4884),#1792,.F.); #22152=ADVANCED_FACE('',(#4885,#2679),#21152,.F.); #22153=ADVANCED_FACE('',(#4886),#1793,.F.); #22154=ADVANCED_FACE('',(#4887,#2680),#21153,.F.); #22155=ADVANCED_FACE('',(#4888),#1794,.F.); #22156=ADVANCED_FACE('',(#4889,#2681),#21154,.F.); #22157=ADVANCED_FACE('',(#4890),#1795,.F.); #22158=ADVANCED_FACE('',(#4891,#2682),#21155,.F.); #22159=ADVANCED_FACE('',(#4892),#1796,.F.); #22160=ADVANCED_FACE('',(#4893,#2683),#21156,.F.); #22161=ADVANCED_FACE('',(#4894),#1797,.F.); #22162=ADVANCED_FACE('',(#4895,#2684),#21157,.F.); #22163=ADVANCED_FACE('',(#4896),#1798,.F.); #22164=ADVANCED_FACE('',(#4897,#2685),#21158,.F.); #22165=ADVANCED_FACE('',(#4898),#1799,.F.); #22166=ADVANCED_FACE('',(#4899,#2686),#21159,.F.); #22167=ADVANCED_FACE('',(#4900),#1800,.F.); #22168=ADVANCED_FACE('',(#4901,#2687),#21160,.F.); #22169=ADVANCED_FACE('',(#4902),#1801,.F.); #22170=ADVANCED_FACE('',(#4903,#2688),#21161,.F.); #22171=ADVANCED_FACE('',(#4904),#1802,.F.); #22172=ADVANCED_FACE('',(#4905,#2689),#21162,.F.); #22173=ADVANCED_FACE('',(#4906),#1803,.F.); #22174=ADVANCED_FACE('',(#4907,#2690),#21163,.F.); #22175=ADVANCED_FACE('',(#4908),#1804,.F.); #22176=ADVANCED_FACE('',(#4909,#2691),#21164,.F.); #22177=ADVANCED_FACE('',(#4910),#1805,.F.); #22178=ADVANCED_FACE('',(#4911,#2692),#21165,.F.); #22179=ADVANCED_FACE('',(#4912),#1806,.F.); #22180=ADVANCED_FACE('',(#4913,#2693),#21166,.F.); #22181=ADVANCED_FACE('',(#4914),#1807,.F.); #22182=ADVANCED_FACE('',(#4915,#2694),#21167,.F.); #22183=ADVANCED_FACE('',(#4916),#1808,.F.); #22184=ADVANCED_FACE('',(#4917,#2695),#21168,.F.); #22185=ADVANCED_FACE('',(#4918),#1809,.F.); #22186=ADVANCED_FACE('',(#4919,#2696),#21169,.F.); #22187=ADVANCED_FACE('',(#4920),#1810,.F.); #22188=ADVANCED_FACE('',(#4921,#2697),#21170,.F.); #22189=ADVANCED_FACE('',(#4922),#1811,.F.); #22190=ADVANCED_FACE('',(#4923,#2698),#21171,.F.); #22191=ADVANCED_FACE('',(#4924),#1812,.F.); #22192=ADVANCED_FACE('',(#4925,#2699),#21172,.F.); #22193=ADVANCED_FACE('',(#4926),#1813,.F.); #22194=ADVANCED_FACE('',(#4927,#2700),#21173,.F.); #22195=ADVANCED_FACE('',(#4928),#1814,.F.); #22196=ADVANCED_FACE('',(#4929,#2701),#21174,.F.); #22197=ADVANCED_FACE('',(#4930),#1815,.F.); #22198=ADVANCED_FACE('',(#4931,#2702),#21175,.F.); #22199=ADVANCED_FACE('',(#4932),#1816,.F.); #22200=ADVANCED_FACE('',(#4933,#2703),#21176,.F.); #22201=ADVANCED_FACE('',(#4934),#1817,.F.); #22202=ADVANCED_FACE('',(#4935,#2704),#21177,.F.); #22203=ADVANCED_FACE('',(#4936,#2705,#2706,#2707,#2708,#2709,#2710,#2711), #2174,.F.); #22204=ADVANCED_FACE('',(#4937,#2712,#2713,#2714,#2715,#2716,#2717,#2718, #2719,#2720,#2721,#2722,#2723,#2724,#2725,#2726,#2727,#2728,#2729,#2730, #2731,#2732,#2733,#2734,#2735,#2736,#2737,#2738,#2739,#2740,#2741,#2742, #2743,#2744,#2745,#2746,#2747,#2748,#2749,#2750,#2751,#2752,#2753,#2754, #2755,#2756,#2757,#2758,#2759,#2760,#2761,#2762,#2763,#2764,#2765,#2766, #2767,#2768,#2769,#2770,#2771,#2772,#2773,#2774,#2775,#2776,#2777,#2778, #2779,#2780,#2781,#2782,#2783,#2784,#2785,#2786,#2787,#2788,#2789,#2790, #2791,#2792,#2793,#2794,#2795,#2796,#2797,#2798,#2799,#2800,#2801,#2802, #2803,#2804,#2805,#2806,#2807,#2808,#2809,#2810,#2811,#2812,#2813),#2175, .F.); #22205=ADVANCED_FACE('',(#4938,#2814,#2815,#2816,#2817,#2818,#2819,#2820), #2176,.F.); #22206=ADVANCED_FACE('',(#4939,#2821,#2822,#2823,#2824,#2825,#2826,#2827), #2177,.F.); #22207=ADVANCED_FACE('',(#4940),#2178,.T.); #22208=ADVANCED_FACE('',(#4941,#2828,#2829,#2830,#2831,#2832,#2833,#2834, #2835,#2836,#2837,#2838,#2839,#2840,#2841,#2842,#2843,#2844,#2845,#2846, #2847,#2848,#2849,#2850,#2851,#2852,#2853,#2854,#2855,#2856,#2857,#2858, #2859,#2860,#2861,#2862,#2863,#2864,#2865,#2866,#2867,#2868,#2869,#2870, #2871,#2872,#2873,#2874,#2875,#2876,#2877,#2878,#2879,#2880,#2881,#2882, #2883,#2884,#2885,#2886,#2887,#2888,#2889,#2890,#2891,#2892,#2893,#2894, #2895,#2896,#2897,#2898,#2899,#2900,#2901,#2902,#2903,#2904,#2905,#2906, #2907,#2908,#2909,#2910,#2911,#2912,#2913,#2914,#2915,#2916,#2917,#2918, #2919,#2920,#2921,#2922,#2923,#2924,#2925,#2926,#2927,#2928,#2929,#2930, #2931,#2932,#2933,#2934,#2935,#2936,#2937,#2938,#2939,#2940,#2941,#2942, #2943,#2944,#2945,#2946,#2947,#2948,#2949,#2950,#2951,#2952,#2953,#2954, #2955,#2956,#2957,#2958,#2959,#2960,#2961,#2962,#2963,#2964),#2179,.T.); #22209=ADVANCED_FACE('',(#4942),#21178,.F.); #22210=ADVANCED_FACE('',(#4943),#2180,.T.); #22211=ADVANCED_FACE('',(#4944),#1818,.F.); #22212=ADVANCED_FACE('',(#4945,#2965),#21179,.F.); #22213=ADVANCED_FACE('',(#4946),#1819,.F.); #22214=ADVANCED_FACE('',(#4947,#2966),#21180,.F.); #22215=ADVANCED_FACE('',(#4948),#1820,.F.); #22216=ADVANCED_FACE('',(#4949,#2967),#21181,.F.); #22217=ADVANCED_FACE('',(#4950),#1821,.F.); #22218=ADVANCED_FACE('',(#4951,#2968),#21182,.F.); #22219=ADVANCED_FACE('',(#4952),#1822,.F.); #22220=ADVANCED_FACE('',(#4953,#2969),#21183,.F.); #22221=ADVANCED_FACE('',(#4954),#1823,.F.); #22222=ADVANCED_FACE('',(#4955,#2970),#21184,.F.); #22223=ADVANCED_FACE('',(#4956),#1824,.F.); #22224=ADVANCED_FACE('',(#4957,#2971),#21185,.F.); #22225=ADVANCED_FACE('',(#4958,#2972),#21186,.F.); #22226=ADVANCED_FACE('',(#4959,#2973),#21187,.F.); #22227=ADVANCED_FACE('',(#4960,#2974),#21188,.F.); #22228=ADVANCED_FACE('',(#4961,#2975),#21189,.F.); #22229=ADVANCED_FACE('',(#4962,#2976),#21190,.F.); #22230=ADVANCED_FACE('',(#4963,#2977),#21191,.F.); #22231=ADVANCED_FACE('',(#4964,#2978),#21192,.F.); #22232=ADVANCED_FACE('',(#4965,#2979),#21193,.F.); #22233=ADVANCED_FACE('',(#4966,#2980),#21194,.F.); #22234=ADVANCED_FACE('',(#4967,#2981),#21195,.F.); #22235=ADVANCED_FACE('',(#4968,#2982),#21196,.F.); #22236=ADVANCED_FACE('',(#4969,#2983),#21197,.F.); #22237=ADVANCED_FACE('',(#4970,#2984),#21198,.F.); #22238=ADVANCED_FACE('',(#4971,#2985),#21199,.F.); #22239=ADVANCED_FACE('',(#4972,#2986),#21200,.F.); #22240=ADVANCED_FACE('',(#4973,#2987),#21201,.F.); #22241=ADVANCED_FACE('',(#4974),#2181,.T.); #22242=ADVANCED_FACE('',(#4975),#2182,.T.); #22243=ADVANCED_FACE('',(#4976,#2988,#2989,#2990,#2991,#2992,#2993,#2994, #2995),#2183,.F.); #22244=ADVANCED_FACE('',(#4977),#21202,.T.); #22245=ADVANCED_FACE('',(#4978,#2996,#2997,#2998,#2999,#3000,#3001,#3002, #3003),#2184,.T.); #22246=ADVANCED_FACE('',(#4979),#2185,.F.); #22247=ADVANCED_FACE('',(#4980,#3004,#3005,#3006,#3007,#3008,#3009,#3010), #2186,.F.); #22248=ADVANCED_FACE('',(#4981),#2187,.F.); #22249=ADVANCED_FACE('',(#4982,#3011,#3012,#3013,#3014,#3015,#3016,#3017, #3018),#2188,.F.); #22250=ADVANCED_FACE('',(#4983),#2189,.T.); #22251=ADVANCED_FACE('',(#4984,#3019,#3020,#3021,#3022,#3023,#3024,#3025, #3026),#2190,.T.); #22252=ADVANCED_FACE('',(#4985),#2191,.T.); #22253=ADVANCED_FACE('',(#4986),#1825,.F.); #22254=ADVANCED_FACE('',(#4987,#3027),#21203,.F.); #22255=ADVANCED_FACE('',(#4988),#1826,.F.); #22256=ADVANCED_FACE('',(#4989,#3028),#21204,.F.); #22257=ADVANCED_FACE('',(#4990),#1827,.F.); #22258=ADVANCED_FACE('',(#4991,#3029),#21205,.F.); #22259=ADVANCED_FACE('',(#4992),#1828,.F.); #22260=ADVANCED_FACE('',(#4993,#3030),#21206,.F.); #22261=ADVANCED_FACE('',(#4994),#1829,.F.); #22262=ADVANCED_FACE('',(#4995,#3031),#21207,.F.); #22263=ADVANCED_FACE('',(#4996,#3032),#21208,.F.); #22264=ADVANCED_FACE('',(#4997,#3033),#21209,.F.); #22265=ADVANCED_FACE('',(#4998,#3034),#21210,.F.); #22266=ADVANCED_FACE('',(#4999,#3035),#21211,.F.); #22267=ADVANCED_FACE('',(#5000,#3036),#21212,.F.); #22268=ADVANCED_FACE('',(#5001,#3037),#21213,.F.); #22269=ADVANCED_FACE('',(#5002,#3038),#21214,.F.); #22270=ADVANCED_FACE('',(#5003,#3039),#21215,.F.); #22271=ADVANCED_FACE('',(#5004,#3040),#21216,.F.); #22272=ADVANCED_FACE('',(#5005,#3041),#21217,.F.); #22273=ADVANCED_FACE('',(#5006,#3042),#21218,.F.); #22274=ADVANCED_FACE('',(#5007,#3043),#21219,.F.); #22275=ADVANCED_FACE('',(#5008,#3044),#21220,.F.); #22276=ADVANCED_FACE('',(#5009,#3045),#21221,.F.); #22277=ADVANCED_FACE('',(#5010,#3046),#21222,.F.); #22278=ADVANCED_FACE('',(#5011,#3047),#21223,.F.); #22279=ADVANCED_FACE('',(#5012,#3048),#21224,.F.); #22280=ADVANCED_FACE('',(#5013),#2192,.T.); #22281=ADVANCED_FACE('',(#5014,#3049,#3050,#3051,#3052,#3053,#3054,#3055, #3056),#2193,.F.); #22282=ADVANCED_FACE('',(#5015),#2194,.F.); #22283=ADVANCED_FACE('',(#5016,#3057,#3058,#3059,#3060,#3061,#3062,#3063, #3064,#3065,#3066,#3067,#3068,#3069,#3070,#3071,#3072,#3073),#2195,.T.); #22284=ADVANCED_FACE('',(#5017,#3074,#3075,#3076,#3077,#3078),#2196,.F.); #22285=ADVANCED_FACE('',(#5018),#21225,.T.); #22286=ADVANCED_FACE('',(#5019),#2197,.F.); #22287=ADVANCED_FACE('',(#5020,#3079,#3080,#3081,#3082,#3083,#3084,#3085, #3086,#3087),#2198,.T.); #22288=ADVANCED_FACE('',(#5021),#1830,.F.); #22289=ADVANCED_FACE('',(#5022,#3088),#21226,.F.); #22290=ADVANCED_FACE('',(#5023),#1831,.F.); #22291=ADVANCED_FACE('',(#5024,#3089),#21227,.F.); #22292=ADVANCED_FACE('',(#5025),#1832,.F.); #22293=ADVANCED_FACE('',(#5026,#3090),#21228,.F.); #22294=ADVANCED_FACE('',(#5027),#1833,.F.); #22295=ADVANCED_FACE('',(#5028,#3091),#21229,.F.); #22296=ADVANCED_FACE('',(#5029),#1834,.F.); #22297=ADVANCED_FACE('',(#5030,#3092),#21230,.F.); #22298=ADVANCED_FACE('',(#5031,#3093),#21231,.F.); #22299=ADVANCED_FACE('',(#5032,#3094),#21232,.F.); #22300=ADVANCED_FACE('',(#5033,#3095),#21233,.F.); #22301=ADVANCED_FACE('',(#5034,#3096),#21234,.F.); #22302=ADVANCED_FACE('',(#5035,#3097),#21235,.F.); #22303=ADVANCED_FACE('',(#5036,#3098),#21236,.F.); #22304=ADVANCED_FACE('',(#5037,#3099),#21237,.F.); #22305=ADVANCED_FACE('',(#5038,#3100),#21238,.F.); #22306=ADVANCED_FACE('',(#5039,#3101),#21239,.F.); #22307=ADVANCED_FACE('',(#5040,#3102),#21240,.F.); #22308=ADVANCED_FACE('',(#5041,#3103),#21241,.F.); #22309=ADVANCED_FACE('',(#5042,#3104),#21242,.F.); #22310=ADVANCED_FACE('',(#5043,#3105),#21243,.F.); #22311=ADVANCED_FACE('',(#5044,#3106),#21244,.F.); #22312=ADVANCED_FACE('',(#5045,#3107),#21245,.F.); #22313=ADVANCED_FACE('',(#5046,#3108),#21246,.F.); #22314=ADVANCED_FACE('',(#5047,#3109),#21247,.F.); #22315=ADVANCED_FACE('',(#5048,#3110,#3111,#3112,#3113,#3114,#3115,#3116, #3117,#3118,#3119,#3120,#3121,#3122,#3123,#3124,#3125,#3126),#2199,.T.); #22316=ADVANCED_FACE('',(#5049,#3127,#3128,#3129,#3130,#3131),#2200,.F.); #22317=ADVANCED_FACE('',(#5050),#2201,.F.); #22318=ADVANCED_FACE('',(#5051),#21248,.T.); #22319=ADVANCED_FACE('',(#5052,#3132,#3133,#3134,#3135,#3136,#3137,#3138, #3139),#2202,.F.); #22320=ADVANCED_FACE('',(#5053),#2203,.T.); #22321=ADVANCED_FACE('',(#5054,#3140,#3141,#3142,#3143,#3144,#3145,#3146, #3147,#3148),#2204,.T.); #22322=ADVANCED_FACE('',(#5055),#2205,.F.); #22323=ADVANCED_FACE('',(#5056),#1835,.F.); #22324=ADVANCED_FACE('',(#5057,#3149),#21249,.F.); #22325=ADVANCED_FACE('',(#5058),#1836,.F.); #22326=ADVANCED_FACE('',(#5059,#3150),#21250,.F.); #22327=ADVANCED_FACE('',(#5060),#1837,.F.); #22328=ADVANCED_FACE('',(#5061,#3151),#21251,.F.); #22329=ADVANCED_FACE('',(#5062),#1838,.F.); #22330=ADVANCED_FACE('',(#5063,#3152),#21252,.F.); #22331=ADVANCED_FACE('',(#5064),#1839,.F.); #22332=ADVANCED_FACE('',(#5065,#3153),#21253,.F.); #22333=ADVANCED_FACE('',(#5066),#1840,.F.); #22334=ADVANCED_FACE('',(#5067,#3154),#21254,.F.); #22335=ADVANCED_FACE('',(#5068),#1841,.F.); #22336=ADVANCED_FACE('',(#5069,#3155),#21255,.F.); #22337=ADVANCED_FACE('',(#5070),#1842,.F.); #22338=ADVANCED_FACE('',(#5071,#3156),#21256,.F.); #22339=ADVANCED_FACE('',(#5072),#1843,.F.); #22340=ADVANCED_FACE('',(#5073,#3157),#21257,.F.); #22341=ADVANCED_FACE('',(#5074),#1844,.F.); #22342=ADVANCED_FACE('',(#5075,#3158),#21258,.F.); #22343=ADVANCED_FACE('',(#5076),#1845,.F.); #22344=ADVANCED_FACE('',(#5077,#3159),#21259,.F.); #22345=ADVANCED_FACE('',(#5078,#3160),#1846,.F.); #22346=ADVANCED_FACE('',(#5079,#3161),#21260,.F.); #22347=ADVANCED_FACE('',(#5080,#3162),#21261,.F.); #22348=ADVANCED_FACE('',(#5081),#1847,.F.); #22349=ADVANCED_FACE('',(#5082,#3163),#21262,.F.); #22350=ADVANCED_FACE('',(#5083),#1848,.F.); #22351=ADVANCED_FACE('',(#5084,#3164),#21263,.F.); #22352=ADVANCED_FACE('',(#5085),#1849,.F.); #22353=ADVANCED_FACE('',(#5086,#3165),#21264,.F.); #22354=ADVANCED_FACE('',(#5087),#1850,.F.); #22355=ADVANCED_FACE('',(#5088,#3166),#21265,.F.); #22356=ADVANCED_FACE('',(#5089),#21266,.F.); #22357=ADVANCED_FACE('',(#5090),#1742,.F.); #22358=ADVANCED_FACE('',(#5091),#1743,.F.); #22359=ADVANCED_FACE('',(#5092),#1744,.F.); #22360=ADVANCED_FACE('',(#5093),#21267,.F.); #22361=ADVANCED_FACE('',(#5094),#1745,.F.); #22362=ADVANCED_FACE('',(#5095),#21268,.F.); #22363=ADVANCED_FACE('',(#5096),#1746,.F.); #22364=ADVANCED_FACE('',(#5097),#21269,.F.); #22365=ADVANCED_FACE('',(#5098),#1747,.F.); #22366=ADVANCED_FACE('',(#5099),#21270,.F.); #22367=ADVANCED_FACE('',(#5100),#1748,.F.); #22368=ADVANCED_FACE('',(#5101),#21271,.F.); #22369=ADVANCED_FACE('',(#5102),#21272,.F.); #22370=ADVANCED_FACE('',(#5103),#2206,.F.); #22371=ADVANCED_FACE('',(#5104),#21273,.F.); #22372=ADVANCED_FACE('',(#5105),#2207,.F.); #22373=ADVANCED_FACE('',(#5106),#21274,.F.); #22374=ADVANCED_FACE('',(#5107),#2208,.F.); #22375=ADVANCED_FACE('',(#5108),#2209,.F.); #22376=ADVANCED_FACE('',(#5109),#2210,.F.); #22377=ADVANCED_FACE('',(#5110),#1851,.F.); #22378=ADVANCED_FACE('',(#5111,#3167),#21275,.F.); #22379=ADVANCED_FACE('',(#5112),#1852,.F.); #22380=ADVANCED_FACE('',(#5113,#3168),#21276,.F.); #22381=ADVANCED_FACE('',(#5114),#1853,.F.); #22382=ADVANCED_FACE('',(#5115,#3169),#21277,.F.); #22383=ADVANCED_FACE('',(#5116),#1854,.F.); #22384=ADVANCED_FACE('',(#5117,#3170),#21278,.F.); #22385=ADVANCED_FACE('',(#5118),#1855,.F.); #22386=ADVANCED_FACE('',(#5119),#1856,.F.); #22387=ADVANCED_FACE('',(#5120,#3171),#21279,.F.); #22388=ADVANCED_FACE('',(#5121),#1857,.F.); #22389=ADVANCED_FACE('',(#5122,#3172),#21280,.F.); #22390=ADVANCED_FACE('',(#5123),#1858,.F.); #22391=ADVANCED_FACE('',(#5124,#3173),#21281,.F.); #22392=ADVANCED_FACE('',(#5125),#1859,.F.); #22393=ADVANCED_FACE('',(#5126,#3174),#21282,.F.); #22394=ADVANCED_FACE('',(#5127),#1860,.F.); #22395=ADVANCED_FACE('',(#5128,#3175),#21283,.F.); #22396=ADVANCED_FACE('',(#5129),#1861,.F.); #22397=ADVANCED_FACE('',(#5130,#3176),#21284,.F.); #22398=ADVANCED_FACE('',(#5131),#1862,.F.); #22399=ADVANCED_FACE('',(#5132,#3177),#21285,.F.); #22400=ADVANCED_FACE('',(#5133),#1863,.F.); #22401=ADVANCED_FACE('',(#5134,#3178),#21286,.F.); #22402=ADVANCED_FACE('',(#5135),#1864,.F.); #22403=ADVANCED_FACE('',(#5136,#3179),#21287,.F.); #22404=ADVANCED_FACE('',(#5137),#1865,.F.); #22405=ADVANCED_FACE('',(#5138,#3180),#21288,.F.); #22406=ADVANCED_FACE('',(#5139),#1866,.F.); #22407=ADVANCED_FACE('',(#5140,#3181),#21289,.F.); #22408=ADVANCED_FACE('',(#5141),#1867,.F.); #22409=ADVANCED_FACE('',(#5142,#3182),#21290,.F.); #22410=ADVANCED_FACE('',(#5143),#1868,.F.); #22411=ADVANCED_FACE('',(#5144,#3183),#21291,.F.); #22412=ADVANCED_FACE('',(#5145),#1869,.F.); #22413=ADVANCED_FACE('',(#5146,#3184),#21292,.F.); #22414=ADVANCED_FACE('',(#5147),#1870,.F.); #22415=ADVANCED_FACE('',(#5148,#3185),#21293,.F.); #22416=ADVANCED_FACE('',(#5149),#1871,.F.); #22417=ADVANCED_FACE('',(#5150,#3186),#21294,.F.); #22418=ADVANCED_FACE('',(#5151),#1872,.F.); #22419=ADVANCED_FACE('',(#5152,#3187),#21295,.F.); #22420=ADVANCED_FACE('',(#5153),#1873,.F.); #22421=ADVANCED_FACE('',(#5154,#3188),#21296,.F.); #22422=ADVANCED_FACE('',(#5155),#1874,.F.); #22423=ADVANCED_FACE('',(#5156,#3189),#21297,.F.); #22424=ADVANCED_FACE('',(#5157),#1875,.F.); #22425=ADVANCED_FACE('',(#5158,#3190),#21298,.F.); #22426=ADVANCED_FACE('',(#5159),#1876,.F.); #22427=ADVANCED_FACE('',(#5160,#3191),#21299,.F.); #22428=ADVANCED_FACE('',(#5161),#1877,.F.); #22429=ADVANCED_FACE('',(#5162,#3192),#21300,.F.); #22430=ADVANCED_FACE('',(#5163),#1878,.F.); #22431=ADVANCED_FACE('',(#5164,#3193),#21301,.F.); #22432=ADVANCED_FACE('',(#5165),#1879,.F.); #22433=ADVANCED_FACE('',(#5166,#3194),#21302,.F.); #22434=ADVANCED_FACE('',(#5167),#1880,.F.); #22435=ADVANCED_FACE('',(#5168,#3195),#21303,.F.); #22436=ADVANCED_FACE('',(#5169),#1881,.F.); #22437=ADVANCED_FACE('',(#5170,#3196),#21304,.F.); #22438=ADVANCED_FACE('',(#5171),#1882,.F.); #22439=ADVANCED_FACE('',(#5172,#3197),#21305,.F.); #22440=ADVANCED_FACE('',(#5173),#1883,.F.); #22441=ADVANCED_FACE('',(#5174,#3198),#21306,.F.); #22442=ADVANCED_FACE('',(#5175),#1884,.F.); #22443=ADVANCED_FACE('',(#5176,#3199),#21307,.F.); #22444=ADVANCED_FACE('',(#5177),#1885,.F.); #22445=ADVANCED_FACE('',(#5178,#3200),#21308,.F.); #22446=ADVANCED_FACE('',(#5179),#1886,.F.); #22447=ADVANCED_FACE('',(#5180,#3201),#21309,.F.); #22448=ADVANCED_FACE('',(#5181),#1887,.F.); #22449=ADVANCED_FACE('',(#5182,#3202),#21310,.F.); #22450=ADVANCED_FACE('',(#5183),#1888,.F.); #22451=ADVANCED_FACE('',(#5184,#3203),#21311,.F.); #22452=ADVANCED_FACE('',(#5185),#1889,.F.); #22453=ADVANCED_FACE('',(#5186,#3204),#21312,.F.); #22454=ADVANCED_FACE('',(#5187),#1890,.F.); #22455=ADVANCED_FACE('',(#5188,#3205),#21313,.F.); #22456=ADVANCED_FACE('',(#5189),#1891,.F.); #22457=ADVANCED_FACE('',(#5190,#3206),#21314,.F.); #22458=ADVANCED_FACE('',(#5191),#1892,.F.); #22459=ADVANCED_FACE('',(#5192,#3207),#21315,.F.); #22460=ADVANCED_FACE('',(#5193),#1893,.F.); #22461=ADVANCED_FACE('',(#5194,#3208),#21316,.F.); #22462=ADVANCED_FACE('',(#5195),#1894,.F.); #22463=ADVANCED_FACE('',(#5196,#3209),#21317,.F.); #22464=ADVANCED_FACE('',(#5197),#1895,.F.); #22465=ADVANCED_FACE('',(#5198,#3210),#21318,.F.); #22466=ADVANCED_FACE('',(#5199),#1896,.F.); #22467=ADVANCED_FACE('',(#5200,#3211),#21319,.F.); #22468=ADVANCED_FACE('',(#5201),#1897,.F.); #22469=ADVANCED_FACE('',(#5202,#3212),#21320,.F.); #22470=ADVANCED_FACE('',(#5203),#1898,.F.); #22471=ADVANCED_FACE('',(#5204,#3213),#21321,.F.); #22472=ADVANCED_FACE('',(#5205),#1899,.F.); #22473=ADVANCED_FACE('',(#5206,#3214),#21322,.F.); #22474=ADVANCED_FACE('',(#5207),#1900,.F.); #22475=ADVANCED_FACE('',(#5208,#3215),#21323,.F.); #22476=ADVANCED_FACE('',(#5209),#1901,.F.); #22477=ADVANCED_FACE('',(#5210,#3216),#21324,.F.); #22478=ADVANCED_FACE('',(#5211),#1902,.F.); #22479=ADVANCED_FACE('',(#5212,#3217),#21325,.F.); #22480=ADVANCED_FACE('',(#5213,#3218,#3219,#3220,#3221,#3222,#3223),#2211, .F.); #22481=ADVANCED_FACE('',(#5214,#3224,#3225,#3226,#3227,#3228,#3229,#3230, #3231,#3232,#3233,#3234,#3235,#3236,#3237,#3238,#3239,#3240,#3241,#3242, #3243,#3244,#3245,#3246,#3247,#3248,#3249,#3250,#3251,#3252,#3253,#3254, #3255,#3256,#3257,#3258,#3259,#3260,#3261,#3262,#3263),#2212,.T.); #22482=ADVANCED_FACE('',(#5215,#3264,#3265,#3266,#3267,#3268,#3269,#3270, #3271,#3272),#2213,.F.); #22483=ADVANCED_FACE('',(#5216),#2214,.T.); #22484=ADVANCED_FACE('',(#5217),#21326,.T.); #22485=ADVANCED_FACE('',(#5218,#3273,#3274,#3275,#3276,#3277,#3278,#3279, #3280,#3281),#2215,.F.); #22486=ADVANCED_FACE('',(#5219,#3282,#3283,#3284,#3285),#2216,.T.); #22487=ADVANCED_FACE('',(#5220),#2217,.F.); #22488=ADVANCED_FACE('',(#5221),#2218,.T.); #22489=ADVANCED_FACE('',(#5222,#3286),#2219,.F.); #22490=ADVANCED_FACE('',(#5223),#2220,.T.); #22491=ADVANCED_FACE('',(#5224),#21327,.F.); #22492=ADVANCED_FACE('',(#5225),#2221,.F.); #22493=ADVANCED_FACE('',(#5226),#21328,.F.); #22494=ADVANCED_FACE('',(#5227),#21329,.F.); #22495=ADVANCED_FACE('',(#5228),#2222,.F.); #22496=ADVANCED_FACE('',(#5229),#21330,.F.); #22497=ADVANCED_FACE('',(#5230),#1903,.F.); #22498=ADVANCED_FACE('',(#5231,#3287),#21331,.F.); #22499=ADVANCED_FACE('',(#5232,#3288),#1904,.F.); #22500=ADVANCED_FACE('',(#5233,#3289),#21332,.F.); #22501=ADVANCED_FACE('',(#5234),#1905,.F.); #22502=ADVANCED_FACE('',(#5235,#3290),#21333,.F.); #22503=ADVANCED_FACE('',(#5236,#3291),#1906,.F.); #22504=ADVANCED_FACE('',(#5237,#3292),#21334,.F.); #22505=ADVANCED_FACE('',(#5238,#3293),#21335,.F.); #22506=ADVANCED_FACE('',(#5239,#3294),#21336,.F.); #22507=ADVANCED_FACE('',(#5240,#3295),#21337,.F.); #22508=ADVANCED_FACE('',(#5241,#3296),#21338,.F.); #22509=ADVANCED_FACE('',(#5242,#3297),#21339,.F.); #22510=ADVANCED_FACE('',(#5243,#3298),#21340,.F.); #22511=ADVANCED_FACE('',(#5244,#3299),#21341,.F.); #22512=ADVANCED_FACE('',(#5245,#3300),#21342,.F.); #22513=ADVANCED_FACE('',(#5246,#3301,#3302),#21343,.F.); #22514=ADVANCED_FACE('',(#5247,#3303,#3304),#21344,.F.); #22515=ADVANCED_FACE('',(#5248,#3305),#21345,.F.); #22516=ADVANCED_FACE('',(#5249,#3306),#21346,.F.); #22517=ADVANCED_FACE('',(#5250,#3307),#21347,.F.); #22518=ADVANCED_FACE('',(#5251,#3308),#21348,.F.); #22519=ADVANCED_FACE('',(#5252,#3309),#21349,.F.); #22520=ADVANCED_FACE('',(#5253,#3310),#21350,.F.); #22521=ADVANCED_FACE('',(#5254,#3311),#21351,.F.); #22522=ADVANCED_FACE('',(#5255,#3312),#21352,.F.); #22523=ADVANCED_FACE('',(#5256),#21353,.T.); #22524=ADVANCED_FACE('',(#5257),#2223,.F.); #22525=ADVANCED_FACE('',(#5258,#3313,#3314,#3315,#3316,#3317,#3318,#3319, #3320,#3321,#3322,#3323,#3324,#3325,#3326,#3327,#3328,#3329,#3330),#2224, .F.); #22526=ADVANCED_FACE('',(#5259),#2225,.F.); #22527=ADVANCED_FACE('',(#5260,#3331,#3332,#3333,#3334),#2226,.F.); #22528=ADVANCED_FACE('',(#5261,#3335,#3336,#3337,#3338,#3339,#3340,#3341, #3342,#3343,#3344,#3345,#3346,#3347,#3348,#3349,#3350,#3351,#3352),#2227, .T.); #22529=ADVANCED_FACE('',(#5262),#2228,.T.); #22530=ADVANCED_FACE('',(#5263,#3353,#3354,#3355,#3356,#3357,#3358,#3359, #3360),#2229,.T.); #22531=ADVANCED_FACE('',(#5264),#1907,.F.); #22532=ADVANCED_FACE('',(#5265,#3361),#21354,.F.); #22533=ADVANCED_FACE('',(#5266),#1908,.F.); #22534=ADVANCED_FACE('',(#5267,#3362),#21355,.F.); #22535=ADVANCED_FACE('',(#5268),#1909,.F.); #22536=ADVANCED_FACE('',(#5269,#3363),#21356,.F.); #22537=ADVANCED_FACE('',(#5270),#1910,.F.); #22538=ADVANCED_FACE('',(#5271,#3364),#21357,.F.); #22539=ADVANCED_FACE('',(#5272),#1911,.F.); #22540=ADVANCED_FACE('',(#5273,#3365),#21358,.F.); #22541=ADVANCED_FACE('',(#5274),#1912,.F.); #22542=ADVANCED_FACE('',(#5275,#3366),#21359,.F.); #22543=ADVANCED_FACE('',(#5276),#1913,.F.); #22544=ADVANCED_FACE('',(#5277,#3367),#21360,.F.); #22545=ADVANCED_FACE('',(#5278),#1914,.F.); #22546=ADVANCED_FACE('',(#5279,#3368),#21361,.F.); #22547=ADVANCED_FACE('',(#5280,#3369,#3370),#21362,.F.); #22548=ADVANCED_FACE('',(#5281),#1915,.F.); #22549=ADVANCED_FACE('',(#5282),#1916,.F.); #22550=ADVANCED_FACE('',(#5283),#1917,.F.); #22551=ADVANCED_FACE('',(#5284,#3371),#21363,.F.); #22552=ADVANCED_FACE('',(#5285),#1918,.F.); #22553=ADVANCED_FACE('',(#5286,#3372),#21364,.F.); #22554=ADVANCED_FACE('',(#5287),#1919,.F.); #22555=ADVANCED_FACE('',(#5288,#3373),#21365,.F.); #22556=ADVANCED_FACE('',(#5289),#1920,.F.); #22557=ADVANCED_FACE('',(#5290,#3374),#21366,.F.); #22558=ADVANCED_FACE('',(#5291),#1921,.F.); #22559=ADVANCED_FACE('',(#5292,#3375),#21367,.F.); #22560=ADVANCED_FACE('',(#5293),#1922,.F.); #22561=ADVANCED_FACE('',(#5294,#3376),#21368,.F.); #22562=ADVANCED_FACE('',(#5295),#1923,.F.); #22563=ADVANCED_FACE('',(#5296,#3377),#21369,.F.); #22564=ADVANCED_FACE('',(#5297),#1924,.F.); #22565=ADVANCED_FACE('',(#5298,#3378),#21370,.F.); #22566=ADVANCED_FACE('',(#5299),#1925,.F.); #22567=ADVANCED_FACE('',(#5300,#3379),#21371,.F.); #22568=ADVANCED_FACE('',(#5301,#3380),#1926,.F.); #22569=ADVANCED_FACE('',(#5302),#1927,.F.); #22570=ADVANCED_FACE('',(#5303,#3381),#21372,.F.); #22571=ADVANCED_FACE('',(#5304),#1928,.F.); #22572=ADVANCED_FACE('',(#5305,#3382),#21373,.F.); #22573=ADVANCED_FACE('',(#5306),#1929,.F.); #22574=ADVANCED_FACE('',(#5307,#3383),#21374,.F.); #22575=ADVANCED_FACE('',(#5308),#1930,.F.); #22576=ADVANCED_FACE('',(#5309,#3384),#21375,.F.); #22577=ADVANCED_FACE('',(#5310),#1931,.F.); #22578=ADVANCED_FACE('',(#5311,#3385),#21376,.F.); #22579=ADVANCED_FACE('',(#5312),#1932,.F.); #22580=ADVANCED_FACE('',(#5313,#3386),#21377,.F.); #22581=ADVANCED_FACE('',(#5314),#1933,.F.); #22582=ADVANCED_FACE('',(#5315,#3387),#21378,.F.); #22583=ADVANCED_FACE('',(#5316),#1934,.F.); #22584=ADVANCED_FACE('',(#5317,#3388),#21379,.F.); #22585=ADVANCED_FACE('',(#5318),#1935,.F.); #22586=ADVANCED_FACE('',(#5319,#3389),#21380,.F.); #22587=ADVANCED_FACE('',(#5320),#1936,.F.); #22588=ADVANCED_FACE('',(#5321,#3390),#21381,.F.); #22589=ADVANCED_FACE('',(#5322),#1937,.F.); #22590=ADVANCED_FACE('',(#5323,#3391),#21382,.F.); #22591=ADVANCED_FACE('',(#5324,#3392),#21383,.F.); #22592=ADVANCED_FACE('',(#5325),#1938,.F.); #22593=ADVANCED_FACE('',(#5326,#3393),#21384,.F.); #22594=ADVANCED_FACE('',(#5327),#1939,.F.); #22595=ADVANCED_FACE('',(#5328,#3394),#21385,.F.); #22596=ADVANCED_FACE('',(#5329),#1940,.F.); #22597=ADVANCED_FACE('',(#5330,#3395),#21386,.F.); #22598=ADVANCED_FACE('',(#5331),#1941,.F.); #22599=ADVANCED_FACE('',(#5332,#3396),#21387,.F.); #22600=ADVANCED_FACE('',(#5333),#1942,.F.); #22601=ADVANCED_FACE('',(#5334,#3397),#21388,.F.); #22602=ADVANCED_FACE('',(#5335),#1943,.F.); #22603=ADVANCED_FACE('',(#5336,#3398),#21389,.F.); #22604=ADVANCED_FACE('',(#5337),#1944,.F.); #22605=ADVANCED_FACE('',(#5338,#3399),#21390,.F.); #22606=ADVANCED_FACE('',(#5339),#1945,.F.); #22607=ADVANCED_FACE('',(#5340,#3400),#21391,.F.); #22608=ADVANCED_FACE('',(#5341),#1946,.F.); #22609=ADVANCED_FACE('',(#5342,#3401),#21392,.F.); #22610=ADVANCED_FACE('',(#5343),#1947,.F.); #22611=ADVANCED_FACE('',(#5344,#3402),#21393,.F.); #22612=ADVANCED_FACE('',(#5345),#1948,.F.); #22613=ADVANCED_FACE('',(#5346,#3403),#21394,.F.); #22614=ADVANCED_FACE('',(#5347),#1949,.F.); #22615=ADVANCED_FACE('',(#5348,#3404),#21395,.F.); #22616=ADVANCED_FACE('',(#5349),#1950,.F.); #22617=ADVANCED_FACE('',(#5350,#3405),#21396,.F.); #22618=ADVANCED_FACE('',(#5351),#1951,.F.); #22619=ADVANCED_FACE('',(#5352,#3406),#21397,.F.); #22620=ADVANCED_FACE('',(#5353),#1952,.F.); #22621=ADVANCED_FACE('',(#5354,#3407),#21398,.F.); #22622=ADVANCED_FACE('',(#5355),#1953,.F.); #22623=ADVANCED_FACE('',(#5356,#3408),#21399,.F.); #22624=ADVANCED_FACE('',(#5357),#1954,.F.); #22625=ADVANCED_FACE('',(#5358,#3409),#21400,.F.); #22626=ADVANCED_FACE('',(#5359),#1955,.F.); #22627=ADVANCED_FACE('',(#5360,#3410),#21401,.F.); #22628=ADVANCED_FACE('',(#5361),#1956,.F.); #22629=ADVANCED_FACE('',(#5362,#3411),#21402,.F.); #22630=ADVANCED_FACE('',(#5363),#1957,.F.); #22631=ADVANCED_FACE('',(#5364,#3412),#21403,.F.); #22632=ADVANCED_FACE('',(#5365),#1958,.F.); #22633=ADVANCED_FACE('',(#5366,#3413),#21404,.F.); #22634=ADVANCED_FACE('',(#5367),#1959,.F.); #22635=ADVANCED_FACE('',(#5368,#3414),#21405,.F.); #22636=ADVANCED_FACE('',(#5369),#1960,.F.); #22637=ADVANCED_FACE('',(#5370,#3415),#21406,.F.); #22638=ADVANCED_FACE('',(#5371),#1961,.F.); #22639=ADVANCED_FACE('',(#5372,#3416),#21407,.F.); #22640=ADVANCED_FACE('',(#5373),#1962,.F.); #22641=ADVANCED_FACE('',(#5374,#3417),#21408,.F.); #22642=ADVANCED_FACE('',(#5375),#1963,.F.); #22643=ADVANCED_FACE('',(#5376,#3418),#21409,.F.); #22644=ADVANCED_FACE('',(#5377),#1964,.F.); #22645=ADVANCED_FACE('',(#5378,#3419),#21410,.F.); #22646=ADVANCED_FACE('',(#5379),#1965,.F.); #22647=ADVANCED_FACE('',(#5380,#3420),#21411,.F.); #22648=ADVANCED_FACE('',(#5381),#1966,.F.); #22649=ADVANCED_FACE('',(#5382,#3421),#21412,.F.); #22650=ADVANCED_FACE('',(#5383),#1967,.F.); #22651=ADVANCED_FACE('',(#5384,#3422),#21413,.F.); #22652=ADVANCED_FACE('',(#5385),#1968,.F.); #22653=ADVANCED_FACE('',(#5386,#3423),#21414,.F.); #22654=ADVANCED_FACE('',(#5387),#1969,.F.); #22655=ADVANCED_FACE('',(#5388,#3424),#21415,.F.); #22656=ADVANCED_FACE('',(#5389),#1970,.F.); #22657=ADVANCED_FACE('',(#5390,#3425),#21416,.F.); #22658=ADVANCED_FACE('',(#5391),#1971,.F.); #22659=ADVANCED_FACE('',(#5392,#3426),#21417,.F.); #22660=ADVANCED_FACE('',(#5393),#1972,.F.); #22661=ADVANCED_FACE('',(#5394,#3427),#21418,.F.); #22662=ADVANCED_FACE('',(#5395),#1973,.F.); #22663=ADVANCED_FACE('',(#5396,#3428),#21419,.F.); #22664=ADVANCED_FACE('',(#5397),#1974,.F.); #22665=ADVANCED_FACE('',(#5398,#3429),#21420,.F.); #22666=ADVANCED_FACE('',(#5399),#1975,.F.); #22667=ADVANCED_FACE('',(#5400,#3430),#21421,.F.); #22668=ADVANCED_FACE('',(#5401),#1976,.F.); #22669=ADVANCED_FACE('',(#5402,#3431),#21422,.F.); #22670=ADVANCED_FACE('',(#5403),#1977,.F.); #22671=ADVANCED_FACE('',(#5404,#3432),#21423,.F.); #22672=ADVANCED_FACE('',(#5405),#1978,.F.); #22673=ADVANCED_FACE('',(#5406,#3433),#21424,.F.); #22674=ADVANCED_FACE('',(#5407),#1979,.F.); #22675=ADVANCED_FACE('',(#5408,#3434),#21425,.F.); #22676=ADVANCED_FACE('',(#5409),#1980,.F.); #22677=ADVANCED_FACE('',(#5410,#3435),#21426,.F.); #22678=ADVANCED_FACE('',(#5411),#1981,.F.); #22679=ADVANCED_FACE('',(#5412,#3436),#21427,.F.); #22680=ADVANCED_FACE('',(#5413),#1982,.F.); #22681=ADVANCED_FACE('',(#5414,#3437),#21428,.F.); #22682=ADVANCED_FACE('',(#5415),#1983,.F.); #22683=ADVANCED_FACE('',(#5416,#3438),#21429,.F.); #22684=ADVANCED_FACE('',(#5417),#1984,.F.); #22685=ADVANCED_FACE('',(#5418,#3439),#21430,.F.); #22686=ADVANCED_FACE('',(#5419),#1985,.F.); #22687=ADVANCED_FACE('',(#5420,#3440),#21431,.F.); #22688=ADVANCED_FACE('',(#5421),#1986,.F.); #22689=ADVANCED_FACE('',(#5422,#3441),#21432,.F.); #22690=ADVANCED_FACE('',(#5423),#1987,.F.); #22691=ADVANCED_FACE('',(#5424,#3442),#21433,.F.); #22692=ADVANCED_FACE('',(#5425),#1988,.F.); #22693=ADVANCED_FACE('',(#5426,#3443),#21434,.F.); #22694=ADVANCED_FACE('',(#5427),#1989,.F.); #22695=ADVANCED_FACE('',(#5428,#3444),#21435,.F.); #22696=ADVANCED_FACE('',(#5429),#1990,.F.); #22697=ADVANCED_FACE('',(#5430,#3445),#21436,.F.); #22698=ADVANCED_FACE('',(#5431),#1991,.F.); #22699=ADVANCED_FACE('',(#5432,#3446),#21437,.F.); #22700=ADVANCED_FACE('',(#5433),#1992,.F.); #22701=ADVANCED_FACE('',(#5434,#3447),#21438,.F.); #22702=ADVANCED_FACE('',(#5435),#1993,.F.); #22703=ADVANCED_FACE('',(#5436,#3448),#21439,.F.); #22704=ADVANCED_FACE('',(#5437),#1994,.F.); #22705=ADVANCED_FACE('',(#5438,#3449),#21440,.F.); #22706=ADVANCED_FACE('',(#5439),#1995,.F.); #22707=ADVANCED_FACE('',(#5440,#3450),#21441,.F.); #22708=ADVANCED_FACE('',(#5441),#1996,.F.); #22709=ADVANCED_FACE('',(#5442,#3451),#21442,.F.); #22710=ADVANCED_FACE('',(#5443),#1997,.F.); #22711=ADVANCED_FACE('',(#5444,#3452),#21443,.F.); #22712=ADVANCED_FACE('',(#5445),#1998,.F.); #22713=ADVANCED_FACE('',(#5446,#3453),#21444,.F.); #22714=ADVANCED_FACE('',(#5447),#1999,.F.); #22715=ADVANCED_FACE('',(#5448,#3454),#21445,.F.); #22716=ADVANCED_FACE('',(#5449),#2000,.F.); #22717=ADVANCED_FACE('',(#5450,#3455),#21446,.F.); #22718=ADVANCED_FACE('',(#5451),#2001,.F.); #22719=ADVANCED_FACE('',(#5452,#3456),#21447,.F.); #22720=ADVANCED_FACE('',(#5453),#2002,.F.); #22721=ADVANCED_FACE('',(#5454,#3457),#21448,.F.); #22722=ADVANCED_FACE('',(#5455),#2003,.F.); #22723=ADVANCED_FACE('',(#5456,#3458),#21449,.F.); #22724=ADVANCED_FACE('',(#5457),#2004,.F.); #22725=ADVANCED_FACE('',(#5458,#3459),#21450,.F.); #22726=ADVANCED_FACE('',(#5459),#2005,.F.); #22727=ADVANCED_FACE('',(#5460,#3460),#21451,.F.); #22728=ADVANCED_FACE('',(#5461),#2006,.F.); #22729=ADVANCED_FACE('',(#5462,#3461),#21452,.F.); #22730=ADVANCED_FACE('',(#5463),#2007,.F.); #22731=ADVANCED_FACE('',(#5464,#3462),#21453,.F.); #22732=ADVANCED_FACE('',(#5465),#2008,.F.); #22733=ADVANCED_FACE('',(#5466,#3463),#21454,.F.); #22734=ADVANCED_FACE('',(#5467),#2009,.F.); #22735=ADVANCED_FACE('',(#5468,#3464),#21455,.F.); #22736=ADVANCED_FACE('',(#5469),#2010,.F.); #22737=ADVANCED_FACE('',(#5470,#3465),#21456,.F.); #22738=ADVANCED_FACE('',(#5471),#2011,.F.); #22739=ADVANCED_FACE('',(#5472,#3466),#21457,.F.); #22740=ADVANCED_FACE('',(#5473),#2012,.F.); #22741=ADVANCED_FACE('',(#5474,#3467),#21458,.F.); #22742=ADVANCED_FACE('',(#5475),#2013,.F.); #22743=ADVANCED_FACE('',(#5476,#3468),#21459,.F.); #22744=ADVANCED_FACE('',(#5477),#2014,.F.); #22745=ADVANCED_FACE('',(#5478,#3469),#21460,.F.); #22746=ADVANCED_FACE('',(#5479),#2015,.F.); #22747=ADVANCED_FACE('',(#5480,#3470),#21461,.F.); #22748=ADVANCED_FACE('',(#5481),#2016,.F.); #22749=ADVANCED_FACE('',(#5482,#3471),#21462,.F.); #22750=ADVANCED_FACE('',(#5483),#2017,.F.); #22751=ADVANCED_FACE('',(#5484,#3472),#21463,.F.); #22752=ADVANCED_FACE('',(#5485),#2018,.F.); #22753=ADVANCED_FACE('',(#5486,#3473),#21464,.F.); #22754=ADVANCED_FACE('',(#5487,#3474),#21465,.F.); #22755=ADVANCED_FACE('',(#5488,#3475,#3476,#3477,#3478,#3479,#3480,#3481, #3482,#3483),#2230,.F.); #22756=ADVANCED_FACE('',(#5489,#3484),#2231,.F.); #22757=ADVANCED_FACE('',(#5490,#3485,#3486,#3487,#3488,#3489,#3490),#2232, .F.); #22758=ADVANCED_FACE('',(#5491,#3491,#3492,#3493,#3494,#3495,#3496,#3497, #3498,#3499,#3500,#3501,#3502,#3503,#3504,#3505,#3506,#3507,#3508,#3509, #3510,#3511,#3512,#3513,#3514,#3515,#3516,#3517,#3518,#3519,#3520,#3521, #3522,#3523,#3524,#3525,#3526,#3527,#3528,#3529,#3530,#3531,#3532,#3533, #3534,#3535,#3536,#3537,#3538,#3539,#3540,#3541,#3542,#3543,#3544,#3545, #3546),#2233,.T.); #22759=ADVANCED_FACE('',(#5492,#3547,#3548,#3549,#3550,#3551,#3552),#2234, .F.); #22760=ADVANCED_FACE('',(#5493,#3553,#3554,#3555,#3556,#3557,#3558,#3559, #3560,#3561),#2235,.F.); #22761=ADVANCED_FACE('',(#5494,#3562),#2236,.T.); #22762=ADVANCED_FACE('',(#5495),#21466,.T.); #22763=ADVANCED_FACE('',(#5496),#2237,.F.); #22764=ADVANCED_FACE('',(#5497),#21467,.F.); #22765=ADVANCED_FACE('',(#5498),#2238,.T.); #22766=ADVANCED_FACE('',(#5499),#21468,.F.); #22767=ADVANCED_FACE('',(#5500),#21469,.F.); #22768=ADVANCED_FACE('',(#5501),#21470,.F.); #22769=ADVANCED_FACE('',(#5502),#21471,.F.); #22770=ADVANCED_FACE('',(#5503),#2239,.F.); #22771=ADVANCED_FACE('',(#5504,#3563,#3564,#3565,#3566,#3567,#3568,#3569, #3570,#3571),#2240,.F.); #22772=ADVANCED_FACE('',(#5505,#3572,#3573,#3574,#3575,#3576,#3577,#3578, #3579,#3580),#2241,.F.); #22773=ADVANCED_FACE('',(#5506),#21472,.T.); #22774=ADVANCED_FACE('',(#5507),#2242,.F.); #22775=ADVANCED_FACE('',(#5508),#2243,.T.); #22776=ADVANCED_FACE('',(#5509),#21473,.T.); #22777=ADVANCED_FACE('',(#5510),#2244,.F.); #22778=ADVANCED_FACE('',(#5511),#2245,.F.); #22779=ADVANCED_FACE('',(#5512),#21474,.F.); #22780=ADVANCED_FACE('',(#5513),#21475,.F.); #22781=ADVANCED_FACE('',(#5514),#1749,.F.); #22782=ADVANCED_FACE('',(#5515),#1750,.F.); #22783=ADVANCED_FACE('',(#5516),#1751,.F.); #22784=ADVANCED_FACE('',(#5517),#21476,.F.); #22785=ADVANCED_FACE('',(#5518),#1752,.F.); #22786=ADVANCED_FACE('',(#5519),#21477,.F.); #22787=ADVANCED_FACE('',(#5520),#1753,.F.); #22788=ADVANCED_FACE('',(#5521),#21478,.F.); #22789=ADVANCED_FACE('',(#5522),#1754,.F.); #22790=ADVANCED_FACE('',(#5523),#21479,.F.); #22791=ADVANCED_FACE('',(#5524),#1755,.F.); #22792=ADVANCED_FACE('',(#5525),#21480,.F.); #22793=ADVANCED_FACE('',(#5526),#2246,.T.); #22794=ADVANCED_FACE('',(#5527),#21481,.F.); #22795=ADVANCED_FACE('',(#5528),#2247,.T.); #22796=ADVANCED_FACE('',(#5529),#21482,.F.); #22797=ADVANCED_FACE('',(#5530),#21483,.F.); #22798=ADVANCED_FACE('',(#5531),#21484,.F.); #22799=ADVANCED_FACE('',(#5532),#2248,.T.); #22800=ADVANCED_FACE('',(#5533),#2249,.T.); #22801=ADVANCED_FACE('',(#5534),#2019,.F.); #22802=ADVANCED_FACE('',(#5535,#3581),#21485,.F.); #22803=ADVANCED_FACE('',(#5536),#2020,.F.); #22804=ADVANCED_FACE('',(#5537,#3582),#21486,.F.); #22805=ADVANCED_FACE('',(#5538),#2021,.F.); #22806=ADVANCED_FACE('',(#5539,#3583),#21487,.F.); #22807=ADVANCED_FACE('',(#5540),#2022,.F.); #22808=ADVANCED_FACE('',(#5541,#3584),#21488,.F.); #22809=ADVANCED_FACE('',(#5542),#2023,.F.); #22810=ADVANCED_FACE('',(#5543,#3585),#21489,.F.); #22811=ADVANCED_FACE('',(#5544),#2024,.F.); #22812=ADVANCED_FACE('',(#5545,#3586),#21490,.F.); #22813=ADVANCED_FACE('',(#5546),#2025,.F.); #22814=ADVANCED_FACE('',(#5547,#3587),#21491,.F.); #22815=ADVANCED_FACE('',(#5548),#2026,.F.); #22816=ADVANCED_FACE('',(#5549,#3588),#21492,.F.); #22817=ADVANCED_FACE('',(#5550),#2027,.F.); #22818=ADVANCED_FACE('',(#5551,#3589),#21493,.F.); #22819=ADVANCED_FACE('',(#5552),#2028,.F.); #22820=ADVANCED_FACE('',(#5553,#3590),#21494,.F.); #22821=ADVANCED_FACE('',(#5554),#2029,.F.); #22822=ADVANCED_FACE('',(#5555,#3591),#21495,.F.); #22823=ADVANCED_FACE('',(#5556),#2030,.F.); #22824=ADVANCED_FACE('',(#5557,#3592),#21496,.F.); #22825=ADVANCED_FACE('',(#5558,#3593,#3594),#21497,.F.); #22826=ADVANCED_FACE('',(#5559),#2031,.F.); #22827=ADVANCED_FACE('',(#5560),#2032,.F.); #22828=ADVANCED_FACE('',(#5561),#2033,.F.); #22829=ADVANCED_FACE('',(#5562,#3595),#21498,.F.); #22830=ADVANCED_FACE('',(#5563),#2034,.F.); #22831=ADVANCED_FACE('',(#5564,#3596),#21499,.F.); #22832=ADVANCED_FACE('',(#5565),#2035,.F.); #22833=ADVANCED_FACE('',(#5566,#3597),#21500,.F.); #22834=ADVANCED_FACE('',(#5567),#2036,.F.); #22835=ADVANCED_FACE('',(#5568,#3598),#21501,.F.); #22836=ADVANCED_FACE('',(#5569),#2037,.F.); #22837=ADVANCED_FACE('',(#5570,#3599),#21502,.F.); #22838=ADVANCED_FACE('',(#5571),#2038,.F.); #22839=ADVANCED_FACE('',(#5572,#3600),#21503,.F.); #22840=ADVANCED_FACE('',(#5573),#2039,.F.); #22841=ADVANCED_FACE('',(#5574,#3601),#21504,.F.); #22842=ADVANCED_FACE('',(#5575),#2040,.F.); #22843=ADVANCED_FACE('',(#5576,#3602),#21505,.F.); #22844=ADVANCED_FACE('',(#5577),#2041,.F.); #22845=ADVANCED_FACE('',(#5578,#3603),#21506,.F.); #22846=ADVANCED_FACE('',(#5579),#2042,.F.); #22847=ADVANCED_FACE('',(#5580,#3604),#21507,.F.); #22848=ADVANCED_FACE('',(#5581),#2043,.F.); #22849=ADVANCED_FACE('',(#5582,#3605),#21508,.F.); #22850=ADVANCED_FACE('',(#5583),#2044,.F.); #22851=ADVANCED_FACE('',(#5584,#3606),#21509,.F.); #22852=ADVANCED_FACE('',(#5585),#2045,.F.); #22853=ADVANCED_FACE('',(#5586,#3607),#21510,.F.); #22854=ADVANCED_FACE('',(#5587),#2046,.F.); #22855=ADVANCED_FACE('',(#5588,#3608),#21511,.F.); #22856=ADVANCED_FACE('',(#5589),#2047,.F.); #22857=ADVANCED_FACE('',(#5590,#3609),#21512,.F.); #22858=ADVANCED_FACE('',(#5591),#2048,.F.); #22859=ADVANCED_FACE('',(#5592,#3610),#21513,.F.); #22860=ADVANCED_FACE('',(#5593),#2049,.F.); #22861=ADVANCED_FACE('',(#5594,#3611),#21514,.F.); #22862=ADVANCED_FACE('',(#5595),#2050,.F.); #22863=ADVANCED_FACE('',(#5596,#3612),#21515,.F.); #22864=ADVANCED_FACE('',(#5597),#2051,.F.); #22865=ADVANCED_FACE('',(#5598,#3613),#21516,.F.); #22866=ADVANCED_FACE('',(#5599),#2052,.F.); #22867=ADVANCED_FACE('',(#5600,#3614),#21517,.F.); #22868=ADVANCED_FACE('',(#5601),#2053,.F.); #22869=ADVANCED_FACE('',(#5602,#3615),#21518,.F.); #22870=ADVANCED_FACE('',(#5603),#2054,.F.); #22871=ADVANCED_FACE('',(#5604,#3616),#21519,.F.); #22872=ADVANCED_FACE('',(#5605),#2055,.F.); #22873=ADVANCED_FACE('',(#5606,#3617),#21520,.F.); #22874=ADVANCED_FACE('',(#5607),#2056,.F.); #22875=ADVANCED_FACE('',(#5608,#3618),#21521,.F.); #22876=ADVANCED_FACE('',(#5609),#2057,.F.); #22877=ADVANCED_FACE('',(#5610,#3619),#21522,.F.); #22878=ADVANCED_FACE('',(#5611),#2058,.F.); #22879=ADVANCED_FACE('',(#5612,#3620),#21523,.F.); #22880=ADVANCED_FACE('',(#5613),#2059,.F.); #22881=ADVANCED_FACE('',(#5614,#3621),#21524,.F.); #22882=ADVANCED_FACE('',(#5615),#2060,.F.); #22883=ADVANCED_FACE('',(#5616,#3622),#21525,.F.); #22884=ADVANCED_FACE('',(#5617),#2061,.F.); #22885=ADVANCED_FACE('',(#5618,#3623),#21526,.F.); #22886=ADVANCED_FACE('',(#5619),#2062,.F.); #22887=ADVANCED_FACE('',(#5620,#3624),#21527,.F.); #22888=ADVANCED_FACE('',(#5621,#3625),#21528,.F.); #22889=ADVANCED_FACE('',(#5622,#3626,#3627,#3628,#3629,#3630,#3631,#3632, #3633,#3634),#2250,.F.); #22890=ADVANCED_FACE('',(#5623,#3635,#3636,#3637,#3638),#2251,.F.); #22891=ADVANCED_FACE('',(#5624),#2252,.F.); #22892=ADVANCED_FACE('',(#5625),#2253,.T.); #22893=ADVANCED_FACE('',(#5626,#3639,#3640,#3641,#3642,#3643,#3644),#2254, .F.); #22894=ADVANCED_FACE('',(#5627),#2255,.F.); #22895=ADVANCED_FACE('',(#5628,#3645,#3646,#3647,#3648,#3649,#3650,#3651, #3652,#3653),#2256,.F.); #22896=ADVANCED_FACE('',(#5629,#3654,#3655,#3656,#3657,#3658,#3659,#3660, #3661,#3662,#3663,#3664,#3665,#3666,#3667,#3668,#3669),#2257,.F.); #22897=ADVANCED_FACE('',(#5630,#3670),#2258,.F.); #22898=ADVANCED_FACE('',(#5631),#2259,.T.); #22899=ADVANCED_FACE('',(#5632),#21529,.T.); #22900=ADVANCED_FACE('',(#5633),#21530,.F.); #22901=ADVANCED_FACE('',(#5634),#21531,.F.); #22902=ADVANCED_FACE('',(#5635),#2260,.T.); #22903=ADVANCED_FACE('',(#5636),#21532,.F.); #22904=ADVANCED_FACE('',(#5637),#2261,.T.); #22905=ADVANCED_FACE('',(#5638),#2262,.T.); #22906=ADVANCED_FACE('',(#5639),#2263,.F.); #22907=ADVANCED_FACE('',(#5640),#2264,.T.); #22908=ADVANCED_FACE('',(#5641,#3671,#3672,#3673,#3674,#3675,#3676,#3677, #3678,#3679,#3680,#3681,#3682,#3683,#3684,#3685,#3686,#3687,#3688,#3689, #3690,#3691,#3692,#3693,#3694,#3695,#3696,#3697,#3698,#3699,#3700,#3701, #3702,#3703,#3704,#3705,#3706),#2265,.F.); #22909=ADVANCED_FACE('',(#5642,#3707),#21533,.F.); #22910=ADVANCED_FACE('',(#5643,#3708),#21534,.F.); #22911=ADVANCED_FACE('',(#5644,#3709),#21535,.F.); #22912=ADVANCED_FACE('',(#5645,#3710),#21536,.F.); #22913=ADVANCED_FACE('',(#5646,#3711),#21537,.F.); #22914=ADVANCED_FACE('',(#5647,#3712),#21538,.F.); #22915=ADVANCED_FACE('',(#5648,#3713),#21539,.F.); #22916=ADVANCED_FACE('',(#5649,#3714),#21540,.F.); #22917=ADVANCED_FACE('',(#5650,#3715),#21541,.F.); #22918=ADVANCED_FACE('',(#5651,#3716),#21542,.F.); #22919=ADVANCED_FACE('',(#5652,#3717),#21543,.F.); #22920=ADVANCED_FACE('',(#5653,#3718),#21544,.F.); #22921=ADVANCED_FACE('',(#5654,#3719),#21545,.F.); #22922=ADVANCED_FACE('',(#5655,#3720),#21546,.F.); #22923=ADVANCED_FACE('',(#5656,#3721),#21547,.F.); #22924=ADVANCED_FACE('',(#5657,#3722),#21548,.F.); #22925=ADVANCED_FACE('',(#5658,#3723),#21549,.F.); #22926=ADVANCED_FACE('',(#5659,#3724),#21550,.F.); #22927=ADVANCED_FACE('',(#5660,#3725),#21551,.F.); #22928=ADVANCED_FACE('',(#5661,#3726),#21552,.F.); #22929=ADVANCED_FACE('',(#5662,#3727),#21553,.F.); #22930=ADVANCED_FACE('',(#5663,#3728),#21554,.F.); #22931=ADVANCED_FACE('',(#5664,#3729),#21555,.F.); #22932=ADVANCED_FACE('',(#5665,#3730),#21556,.F.); #22933=ADVANCED_FACE('',(#5666,#3731),#21557,.F.); #22934=ADVANCED_FACE('',(#5667,#3732),#21558,.F.); #22935=ADVANCED_FACE('',(#5668,#3733),#21559,.F.); #22936=ADVANCED_FACE('',(#5669,#3734),#21560,.F.); #22937=ADVANCED_FACE('',(#5670,#3735),#21561,.F.); #22938=ADVANCED_FACE('',(#5671,#3736),#21562,.F.); #22939=ADVANCED_FACE('',(#5672,#3737),#21563,.F.); #22940=ADVANCED_FACE('',(#5673,#3738),#21564,.F.); #22941=ADVANCED_FACE('',(#5674,#3739),#21565,.F.); #22942=ADVANCED_FACE('',(#5675,#3740),#21566,.F.); #22943=ADVANCED_FACE('',(#5676,#3741),#21567,.F.); #22944=ADVANCED_FACE('',(#5677,#3742),#21568,.F.); #22945=ADVANCED_FACE('',(#5678),#2063,.F.); #22946=ADVANCED_FACE('',(#5679,#3743),#21569,.F.); #22947=ADVANCED_FACE('',(#5680),#2064,.F.); #22948=ADVANCED_FACE('',(#5681,#3744),#21570,.F.); #22949=ADVANCED_FACE('',(#5682),#2065,.F.); #22950=ADVANCED_FACE('',(#5683,#3745),#21571,.F.); #22951=ADVANCED_FACE('',(#5684),#2066,.F.); #22952=ADVANCED_FACE('',(#5685,#3746),#21572,.F.); #22953=ADVANCED_FACE('',(#5686),#2067,.F.); #22954=ADVANCED_FACE('',(#5687,#3747),#21573,.F.); #22955=ADVANCED_FACE('',(#5688),#2068,.F.); #22956=ADVANCED_FACE('',(#5689,#3748),#21574,.F.); #22957=ADVANCED_FACE('',(#5690),#2069,.F.); #22958=ADVANCED_FACE('',(#5691,#3749),#21575,.F.); #22959=ADVANCED_FACE('',(#5692,#3750,#3751,#3752,#3753,#3754,#3755,#3756), #2266,.F.); #22960=ADVANCED_FACE('',(#5693),#2267,.T.); #22961=ADVANCED_FACE('',(#5694,#3757,#3758,#3759,#3760,#3761,#3762,#3763, #3764,#3765,#3766,#3767,#3768,#3769,#3770,#3771,#3772,#3773,#3774,#3775, #3776,#3777,#3778,#3779,#3780,#3781,#3782,#3783,#3784,#3785,#3786,#3787, #3788,#3789,#3790,#3791,#3792),#2268,.T.); #22962=ADVANCED_FACE('',(#5695,#3793),#21576,.F.); #22963=ADVANCED_FACE('',(#5696,#3794),#21577,.F.); #22964=ADVANCED_FACE('',(#5697,#3795),#21578,.F.); #22965=ADVANCED_FACE('',(#5698,#3796),#21579,.F.); #22966=ADVANCED_FACE('',(#5699,#3797),#21580,.F.); #22967=ADVANCED_FACE('',(#5700,#3798),#21581,.F.); #22968=ADVANCED_FACE('',(#5701,#3799),#21582,.F.); #22969=ADVANCED_FACE('',(#5702,#3800),#21583,.F.); #22970=ADVANCED_FACE('',(#5703,#3801),#21584,.F.); #22971=ADVANCED_FACE('',(#5704,#3802),#21585,.F.); #22972=ADVANCED_FACE('',(#5705,#3803),#21586,.F.); #22973=ADVANCED_FACE('',(#5706,#3804),#21587,.F.); #22974=ADVANCED_FACE('',(#5707,#3805),#21588,.F.); #22975=ADVANCED_FACE('',(#5708,#3806),#21589,.F.); #22976=ADVANCED_FACE('',(#5709,#3807),#21590,.F.); #22977=ADVANCED_FACE('',(#5710,#3808),#21591,.F.); #22978=ADVANCED_FACE('',(#5711,#3809),#21592,.F.); #22979=ADVANCED_FACE('',(#5712,#3810),#21593,.F.); #22980=ADVANCED_FACE('',(#5713,#3811),#21594,.F.); #22981=ADVANCED_FACE('',(#5714,#3812),#21595,.F.); #22982=ADVANCED_FACE('',(#5715,#3813),#21596,.F.); #22983=ADVANCED_FACE('',(#5716,#3814),#21597,.F.); #22984=ADVANCED_FACE('',(#5717,#3815),#21598,.F.); #22985=ADVANCED_FACE('',(#5718,#3816),#21599,.F.); #22986=ADVANCED_FACE('',(#5719,#3817),#21600,.F.); #22987=ADVANCED_FACE('',(#5720,#3818),#21601,.F.); #22988=ADVANCED_FACE('',(#5721,#3819),#21602,.F.); #22989=ADVANCED_FACE('',(#5722,#3820),#21603,.F.); #22990=ADVANCED_FACE('',(#5723,#3821),#21604,.F.); #22991=ADVANCED_FACE('',(#5724,#3822),#21605,.F.); #22992=ADVANCED_FACE('',(#5725,#3823),#21606,.F.); #22993=ADVANCED_FACE('',(#5726,#3824),#21607,.F.); #22994=ADVANCED_FACE('',(#5727),#2269,.T.); #22995=ADVANCED_FACE('',(#5728),#2270,.T.); #22996=ADVANCED_FACE('',(#5729,#3825,#3826,#3827,#3828,#3829,#3830,#3831, #3832,#3833,#3834,#3835,#3836,#3837,#3838,#3839,#3840,#3841,#3842,#3843, #3844,#3845,#3846,#3847,#3848,#3849,#3850,#3851,#3852,#3853,#3854,#3855, #3856),#2271,.T.); #22997=ADVANCED_FACE('',(#5730),#2272,.F.); #22998=ADVANCED_FACE('',(#5731,#3857,#3858,#3859,#3860,#3861,#3862,#3863, #3864,#3865,#3866,#3867,#3868,#3869,#3870,#3871,#3872,#3873,#3874,#3875, #3876,#3877,#3878,#3879,#3880,#3881,#3882,#3883,#3884,#3885,#3886,#3887, #3888),#2273,.T.); #22999=ADVANCED_FACE('',(#5732),#2274,.T.); #23000=ADVANCED_FACE('',(#5733),#2070,.F.); #23001=ADVANCED_FACE('',(#5734,#3889),#21608,.F.); #23002=ADVANCED_FACE('',(#5735),#2071,.F.); #23003=ADVANCED_FACE('',(#5736,#3890),#21609,.F.); #23004=ADVANCED_FACE('',(#5737),#2072,.F.); #23005=ADVANCED_FACE('',(#5738,#3891),#21610,.F.); #23006=ADVANCED_FACE('',(#5739),#2073,.F.); #23007=ADVANCED_FACE('',(#5740,#3892),#21611,.F.); #23008=ADVANCED_FACE('',(#5741),#2074,.F.); #23009=ADVANCED_FACE('',(#5742,#3893),#21612,.F.); #23010=ADVANCED_FACE('',(#5743),#2075,.F.); #23011=ADVANCED_FACE('',(#5744,#3894),#21613,.F.); #23012=ADVANCED_FACE('',(#5745),#2076,.F.); #23013=ADVANCED_FACE('',(#5746,#3895),#21614,.F.); #23014=ADVANCED_FACE('',(#5747),#2077,.F.); #23015=ADVANCED_FACE('',(#5748,#3896),#21615,.F.); #23016=ADVANCED_FACE('',(#5749),#2078,.F.); #23017=ADVANCED_FACE('',(#5750,#3897),#21616,.F.); #23018=ADVANCED_FACE('',(#5751),#2079,.F.); #23019=ADVANCED_FACE('',(#5752,#3898),#21617,.F.); #23020=ADVANCED_FACE('',(#5753),#2080,.F.); #23021=ADVANCED_FACE('',(#5754,#3899),#21618,.F.); #23022=ADVANCED_FACE('',(#5755),#2081,.F.); #23023=ADVANCED_FACE('',(#5756,#3900),#21619,.F.); #23024=ADVANCED_FACE('',(#5757),#2082,.F.); #23025=ADVANCED_FACE('',(#5758,#3901),#21620,.F.); #23026=ADVANCED_FACE('',(#5759),#2083,.F.); #23027=ADVANCED_FACE('',(#5760,#3902),#21621,.F.); #23028=ADVANCED_FACE('',(#5761),#2084,.F.); #23029=ADVANCED_FACE('',(#5762,#3903),#21622,.F.); #23030=ADVANCED_FACE('',(#5763),#2085,.F.); #23031=ADVANCED_FACE('',(#5764,#3904),#21623,.F.); #23032=ADVANCED_FACE('',(#5765),#2086,.F.); #23033=ADVANCED_FACE('',(#5766,#3905),#21624,.F.); #23034=ADVANCED_FACE('',(#5767),#2087,.F.); #23035=ADVANCED_FACE('',(#5768,#3906),#21625,.F.); #23036=ADVANCED_FACE('',(#5769),#2088,.F.); #23037=ADVANCED_FACE('',(#5770,#3907),#21626,.F.); #23038=ADVANCED_FACE('',(#5771),#2089,.F.); #23039=ADVANCED_FACE('',(#5772,#3908),#21627,.F.); #23040=ADVANCED_FACE('',(#5773),#2090,.F.); #23041=ADVANCED_FACE('',(#5774,#3909),#21628,.F.); #23042=ADVANCED_FACE('',(#5775),#2091,.F.); #23043=ADVANCED_FACE('',(#5776,#3910),#21629,.F.); #23044=ADVANCED_FACE('',(#5777),#2092,.F.); #23045=ADVANCED_FACE('',(#5778,#3911),#21630,.F.); #23046=ADVANCED_FACE('',(#5779),#2093,.F.); #23047=ADVANCED_FACE('',(#5780,#3912),#21631,.F.); #23048=ADVANCED_FACE('',(#5781,#3913),#21632,.F.); #23049=ADVANCED_FACE('',(#5782,#3914),#21633,.F.); #23050=ADVANCED_FACE('',(#5783,#3915),#21634,.F.); #23051=ADVANCED_FACE('',(#5784,#3916),#21635,.F.); #23052=ADVANCED_FACE('',(#5785,#3917),#21636,.F.); #23053=ADVANCED_FACE('',(#5786,#3918),#21637,.F.); #23054=ADVANCED_FACE('',(#5787,#3919),#21638,.F.); #23055=ADVANCED_FACE('',(#5788,#3920),#21639,.F.); #23056=ADVANCED_FACE('',(#5789,#3921),#21640,.F.); #23057=ADVANCED_FACE('',(#5790,#3922),#21641,.F.); #23058=ADVANCED_FACE('',(#5791,#3923),#21642,.F.); #23059=ADVANCED_FACE('',(#5792,#3924),#21643,.F.); #23060=ADVANCED_FACE('',(#5793,#3925),#21644,.F.); #23061=ADVANCED_FACE('',(#5794,#3926),#21645,.F.); #23062=ADVANCED_FACE('',(#5795,#3927),#21646,.F.); #23063=ADVANCED_FACE('',(#5796,#3928),#21647,.F.); #23064=ADVANCED_FACE('',(#5797,#3929),#21648,.F.); #23065=ADVANCED_FACE('',(#5798,#3930),#21649,.F.); #23066=ADVANCED_FACE('',(#5799,#3931,#3932,#3933,#3934,#3935,#3936,#3937), #2275,.T.); #23067=ADVANCED_FACE('',(#5800,#3938,#3939,#3940,#3941,#3942,#3943,#3944, #3945,#3946,#3947,#3948,#3949,#3950,#3951,#3952,#3953,#3954,#3955),#2276, .T.); #23068=ADVANCED_FACE('',(#5801),#2277,.F.); #23069=ADVANCED_FACE('',(#5802,#3956,#3957,#3958,#3959,#3960,#3961,#3962), #2278,.F.); #23070=ADVANCED_FACE('',(#5803,#3963,#3964,#3965,#3966,#3967,#3968,#3969, #3970,#3971,#3972,#3973,#3974,#3975,#3976,#3977,#3978,#3979,#3980,#3981, #3982,#3983,#3984,#3985,#3986,#3987,#3988,#3989,#3990),#2279,.T.); #23071=ADVANCED_FACE('',(#5804),#2280,.F.); #23072=ADVANCED_FACE('',(#5805),#2281,.F.); #23073=ADVANCED_FACE('',(#5806),#2282,.F.); #23074=ADVANCED_FACE('',(#5807),#2283,.T.); #23075=ADVANCED_FACE('',(#5808,#3991,#3992,#3993,#3994,#3995,#3996,#3997), #2284,.F.); #23076=ADVANCED_FACE('',(#5809,#3998,#3999,#4000,#4001,#4002,#4003),#2285, .T.); #23077=ADVANCED_FACE('',(#5810,#4004,#4005,#4006,#4007,#4008,#4009,#4010, #4011),#2286,.T.); #23078=ADVANCED_FACE('',(#5811,#4012),#21650,.F.); #23079=ADVANCED_FACE('',(#5812,#4013),#21651,.F.); #23080=ADVANCED_FACE('',(#5813,#4014),#21652,.F.); #23081=ADVANCED_FACE('',(#5814,#4015),#21653,.F.); #23082=ADVANCED_FACE('',(#5815,#4016),#21654,.F.); #23083=ADVANCED_FACE('',(#5816,#4017),#21655,.F.); #23084=ADVANCED_FACE('',(#5817),#21656,.T.); #23085=ADVANCED_FACE('',(#5818,#4018,#4019,#4020,#4021,#4022,#4023,#4024, #4025,#4026,#4027,#4028,#4029,#4030,#4031,#4032,#4033,#4034,#4035,#4036, #4037,#4038),#2287,.F.); #23086=ADVANCED_FACE('',(#5819),#2288,.T.); #23087=ADVANCED_FACE('',(#5820,#4039),#21657,.F.); #23088=ADVANCED_FACE('',(#5821,#4040),#21658,.F.); #23089=ADVANCED_FACE('',(#5822,#4041),#21659,.F.); #23090=ADVANCED_FACE('',(#5823,#4042),#21660,.F.); #23091=ADVANCED_FACE('',(#5824,#4043),#21661,.F.); #23092=ADVANCED_FACE('',(#5825,#4044),#21662,.F.); #23093=ADVANCED_FACE('',(#5826,#4045),#21663,.F.); #23094=ADVANCED_FACE('',(#5827,#4046),#21664,.F.); #23095=ADVANCED_FACE('',(#5828,#4047),#21665,.F.); #23096=ADVANCED_FACE('',(#5829,#4048),#21666,.F.); #23097=ADVANCED_FACE('',(#5830,#4049),#21667,.F.); #23098=ADVANCED_FACE('',(#5831,#4050),#21668,.F.); #23099=ADVANCED_FACE('',(#5832,#4051),#21669,.F.); #23100=ADVANCED_FACE('',(#5833,#4052),#21670,.F.); #23101=ADVANCED_FACE('',(#5834,#4053),#21671,.F.); #23102=ADVANCED_FACE('',(#5835),#21672,.F.); #23103=ADVANCED_FACE('',(#5836),#2289,.F.); #23104=ADVANCED_FACE('',(#5837),#2290,.F.); #23105=ADVANCED_FACE('',(#5838),#2291,.F.); #23106=ADVANCED_FACE('',(#5839),#2292,.F.); #23107=ADVANCED_FACE('',(#5840),#2094,.F.); #23108=ADVANCED_FACE('',(#5841,#4054),#21673,.F.); #23109=ADVANCED_FACE('',(#5842),#2095,.F.); #23110=ADVANCED_FACE('',(#5843,#4055),#21674,.F.); #23111=ADVANCED_FACE('',(#5844),#2096,.F.); #23112=ADVANCED_FACE('',(#5845,#4056),#21675,.F.); #23113=ADVANCED_FACE('',(#5846),#2097,.F.); #23114=ADVANCED_FACE('',(#5847,#4057),#21676,.F.); #23115=ADVANCED_FACE('',(#5848),#2098,.F.); #23116=ADVANCED_FACE('',(#5849,#4058),#21677,.F.); #23117=ADVANCED_FACE('',(#5850),#2099,.F.); #23118=ADVANCED_FACE('',(#5851,#4059),#21678,.F.); #23119=ADVANCED_FACE('',(#5852),#2100,.F.); #23120=ADVANCED_FACE('',(#5853,#4060),#21679,.F.); #23121=ADVANCED_FACE('',(#5854),#2101,.F.); #23122=ADVANCED_FACE('',(#5855,#4061),#21680,.F.); #23123=ADVANCED_FACE('',(#5856),#2102,.F.); #23124=ADVANCED_FACE('',(#5857,#4062),#21681,.F.); #23125=ADVANCED_FACE('',(#5858),#2103,.F.); #23126=ADVANCED_FACE('',(#5859,#4063),#21682,.F.); #23127=ADVANCED_FACE('',(#5860),#2104,.F.); #23128=ADVANCED_FACE('',(#5861,#4064),#21683,.F.); #23129=ADVANCED_FACE('',(#5862),#2105,.F.); #23130=ADVANCED_FACE('',(#5863,#4065),#21684,.F.); #23131=ADVANCED_FACE('',(#5864),#2106,.F.); #23132=ADVANCED_FACE('',(#5865,#4066),#21685,.F.); #23133=ADVANCED_FACE('',(#5866),#2107,.F.); #23134=ADVANCED_FACE('',(#5867,#4067),#21686,.F.); #23135=ADVANCED_FACE('',(#5868),#2108,.F.); #23136=ADVANCED_FACE('',(#5869,#4068),#21687,.F.); #23137=ADVANCED_FACE('',(#5870),#2109,.F.); #23138=ADVANCED_FACE('',(#5871,#4069),#21688,.F.); #23139=ADVANCED_FACE('',(#5872),#2110,.F.); #23140=ADVANCED_FACE('',(#5873,#4070),#21689,.F.); #23141=ADVANCED_FACE('',(#5874),#2111,.F.); #23142=ADVANCED_FACE('',(#5875,#4071),#21690,.F.); #23143=ADVANCED_FACE('',(#5876),#2112,.F.); #23144=ADVANCED_FACE('',(#5877,#4072),#21691,.F.); #23145=ADVANCED_FACE('',(#5878),#2113,.F.); #23146=ADVANCED_FACE('',(#5879,#4073),#21692,.F.); #23147=ADVANCED_FACE('',(#5880),#2114,.F.); #23148=ADVANCED_FACE('',(#5881,#4074),#21693,.F.); #23149=ADVANCED_FACE('',(#5882),#2115,.F.); #23150=ADVANCED_FACE('',(#5883,#4075),#21694,.F.); #23151=ADVANCED_FACE('',(#5884),#2116,.F.); #23152=ADVANCED_FACE('',(#5885,#4076),#21695,.F.); #23153=ADVANCED_FACE('',(#5886),#2117,.F.); #23154=ADVANCED_FACE('',(#5887,#4077),#21696,.F.); #23155=ADVANCED_FACE('',(#5888),#2118,.F.); #23156=ADVANCED_FACE('',(#5889,#4078),#21697,.F.); #23157=ADVANCED_FACE('',(#5890),#2119,.F.); #23158=ADVANCED_FACE('',(#5891,#4079),#21698,.F.); #23159=ADVANCED_FACE('',(#5892),#2120,.F.); #23160=ADVANCED_FACE('',(#5893,#4080),#21699,.F.); #23161=ADVANCED_FACE('',(#5894),#2121,.F.); #23162=ADVANCED_FACE('',(#5895,#4081),#21700,.F.); #23163=ADVANCED_FACE('',(#5896),#2122,.F.); #23164=ADVANCED_FACE('',(#5897,#4082),#21701,.F.); #23165=ADVANCED_FACE('',(#5898),#2123,.F.); #23166=ADVANCED_FACE('',(#5899,#4083),#21702,.F.); #23167=ADVANCED_FACE('',(#5900),#2124,.F.); #23168=ADVANCED_FACE('',(#5901,#4084),#21703,.F.); #23169=ADVANCED_FACE('',(#5902),#2125,.F.); #23170=ADVANCED_FACE('',(#5903,#4085),#21704,.F.); #23171=ADVANCED_FACE('',(#5904),#2126,.F.); #23172=ADVANCED_FACE('',(#5905,#4086),#21705,.F.); #23173=ADVANCED_FACE('',(#5906),#2127,.F.); #23174=ADVANCED_FACE('',(#5907,#4087),#21706,.F.); #23175=ADVANCED_FACE('',(#5908),#2128,.F.); #23176=ADVANCED_FACE('',(#5909,#4088),#21707,.F.); #23177=ADVANCED_FACE('',(#5910),#2129,.F.); #23178=ADVANCED_FACE('',(#5911,#4089),#21708,.F.); #23179=ADVANCED_FACE('',(#5912,#4090,#4091,#4092,#4093,#4094,#4095),#2293, .F.); #23180=ADVANCED_FACE('',(#5913,#4096,#4097),#2294,.T.); #23181=ADVANCED_FACE('',(#5914,#4098,#4099,#4100,#4101,#4102,#4103),#2295, .F.); #23182=ADVANCED_FACE('',(#5915),#2296,.T.); #23183=ADVANCED_FACE('',(#5916,#4104,#4105,#4106,#4107,#4108,#4109,#4110, #4111,#4112),#2297,.F.); #23184=ADVANCED_FACE('',(#5917,#4113,#4114,#4115,#4116),#2298,.T.); #23185=ADVANCED_FACE('',(#5918,#4117,#4118,#4119,#4120,#4121,#4122,#4123, #4124,#4125),#2299,.F.); #23186=ADVANCED_FACE('',(#5919),#21709,.F.); #23187=ADVANCED_FACE('',(#5920),#2300,.T.); #23188=ADVANCED_FACE('',(#5921),#2301,.T.); #23189=ADVANCED_FACE('',(#5922),#2302,.F.); #23190=ADVANCED_FACE('',(#5923),#2303,.F.); #23191=ADVANCED_FACE('',(#5924),#21710,.F.); #23192=ADVANCED_FACE('',(#5925,#4126,#4127,#4128,#4129,#4130,#4131,#4132, #4133,#4134,#4135,#4136,#4137,#4138,#4139,#4140,#4141,#4142,#4143,#4144, #4145,#4146),#2304,.F.); #23193=ADVANCED_FACE('',(#5926),#2305,.F.); #23194=ADVANCED_FACE('',(#5927),#21711,.F.); #23195=ADVANCED_FACE('',(#5928),#2306,.F.); #23196=ADVANCED_FACE('',(#5929,#4147,#4148,#4149,#4150,#4151,#4152,#4153, #4154,#4155,#4156,#4157,#4158),#2307,.T.); #23197=ADVANCED_FACE('',(#5930),#2308,.F.); #23198=ADVANCED_FACE('',(#5931),#2309,.F.); #23199=ADVANCED_FACE('',(#5932),#2310,.F.); #23200=ADVANCED_FACE('',(#5933),#2311,.F.); #23201=ADVANCED_FACE('',(#5934,#4159,#4160,#4161,#4162,#4163,#4164,#4165, #4166),#2312,.T.); #23202=ADVANCED_FACE('',(#5935),#2313,.T.); #23203=ADVANCED_FACE('',(#5936,#4167),#21712,.F.); #23204=ADVANCED_FACE('',(#5937,#4168),#21713,.F.); #23205=ADVANCED_FACE('',(#5938,#4169),#21714,.F.); #23206=ADVANCED_FACE('',(#5939,#4170),#21715,.F.); #23207=ADVANCED_FACE('',(#5940,#4171),#21716,.F.); #23208=ADVANCED_FACE('',(#5941,#4172),#21717,.F.); #23209=ADVANCED_FACE('',(#5942,#4173),#21718,.F.); #23210=ADVANCED_FACE('',(#5943,#4174),#21719,.F.); #23211=ADVANCED_FACE('',(#5944,#4175),#21720,.F.); #23212=ADVANCED_FACE('',(#5945,#4176),#21721,.F.); #23213=ADVANCED_FACE('',(#5946,#4177),#21722,.F.); #23214=ADVANCED_FACE('',(#5947,#4178),#21723,.F.); #23215=ADVANCED_FACE('',(#5948),#21724,.T.); #23216=ADVANCED_FACE('',(#5949,#4179,#4180,#4181,#4182,#4183,#4184,#4185, #4186,#4187,#4188,#4189,#4190,#4191,#4192,#4193,#4194,#4195,#4196,#4197, #4198,#4199,#4200,#4201,#4202,#4203,#4204,#4205,#4206,#4207,#4208,#4209, #4210,#4211,#4212,#4213,#4214,#4215,#4216,#4217,#4218,#4219),#2314,.F.); #23217=ADVANCED_FACE('',(#5950,#4220),#21725,.F.); #23218=ADVANCED_FACE('',(#5951,#4221),#21726,.F.); #23219=ADVANCED_FACE('',(#5952,#4222),#21727,.F.); #23220=ADVANCED_FACE('',(#5953,#4223),#21728,.F.); #23221=ADVANCED_FACE('',(#5954,#4224),#21729,.F.); #23222=ADVANCED_FACE('',(#5955,#4225),#21730,.F.); #23223=ADVANCED_FACE('',(#5956,#4226),#21731,.F.); #23224=ADVANCED_FACE('',(#5957,#4227),#21732,.F.); #23225=ADVANCED_FACE('',(#5958,#4228),#21733,.F.); #23226=ADVANCED_FACE('',(#5959,#4229),#21734,.F.); #23227=ADVANCED_FACE('',(#5960,#4230),#21735,.F.); #23228=ADVANCED_FACE('',(#5961,#4231),#21736,.F.); #23229=ADVANCED_FACE('',(#5962,#4232),#21737,.F.); #23230=ADVANCED_FACE('',(#5963,#4233),#21738,.F.); #23231=ADVANCED_FACE('',(#5964,#4234),#21739,.F.); #23232=ADVANCED_FACE('',(#5965,#4235),#21740,.F.); #23233=ADVANCED_FACE('',(#5966,#4236),#21741,.F.); #23234=ADVANCED_FACE('',(#5967,#4237),#21742,.F.); #23235=ADVANCED_FACE('',(#5968,#4238),#21743,.F.); #23236=ADVANCED_FACE('',(#5969,#4239),#21744,.F.); #23237=ADVANCED_FACE('',(#5970,#4240),#21745,.F.); #23238=ADVANCED_FACE('',(#5971,#4241),#21746,.F.); #23239=ADVANCED_FACE('',(#5972,#4242),#21747,.F.); #23240=ADVANCED_FACE('',(#5973,#4243),#21748,.F.); #23241=ADVANCED_FACE('',(#5974,#4244),#21749,.F.); #23242=ADVANCED_FACE('',(#5975,#4245),#21750,.F.); #23243=ADVANCED_FACE('',(#5976,#4246),#21751,.F.); #23244=ADVANCED_FACE('',(#5977,#4247),#21752,.F.); #23245=ADVANCED_FACE('',(#5978,#4248),#21753,.F.); #23246=ADVANCED_FACE('',(#5979),#2315,.T.); #23247=ADVANCED_FACE('',(#5980),#21754,.F.); #23248=ADVANCED_FACE('',(#5981),#2316,.T.); #23249=ADVANCED_FACE('',(#5982),#2317,.T.); #23250=ADVANCED_FACE('',(#5983),#2318,.F.); #23251=ADVANCED_FACE('',(#5984),#2319,.F.); #23252=ADVANCED_FACE('',(#5985,#4249),#21755,.F.); #23253=ADVANCED_FACE('',(#5986,#4250),#21756,.F.); #23254=ADVANCED_FACE('',(#5987,#4251),#21757,.F.); #23255=ADVANCED_FACE('',(#5988,#4252),#21758,.F.); #23256=ADVANCED_FACE('',(#5989),#2130,.F.); #23257=ADVANCED_FACE('',(#5990,#4253),#21759,.F.); #23258=ADVANCED_FACE('',(#5991),#2131,.F.); #23259=ADVANCED_FACE('',(#5992,#4254),#21760,.F.); #23260=ADVANCED_FACE('',(#5993),#2132,.F.); #23261=ADVANCED_FACE('',(#5994,#4255),#21761,.F.); #23262=ADVANCED_FACE('',(#5995,#4256,#4257,#4258,#4259,#4260),#2320,.F.); #23263=ADVANCED_FACE('',(#5996,#4261,#4262,#4263,#4264),#2321,.T.); #23264=ADVANCED_FACE('',(#5997,#4265),#21762,.F.); #23265=ADVANCED_FACE('',(#5998,#4266),#21763,.F.); #23266=ADVANCED_FACE('',(#5999,#4267),#21764,.F.); #23267=ADVANCED_FACE('',(#6000,#4268),#21765,.F.); #23268=ADVANCED_FACE('',(#6001,#4269),#21766,.F.); #23269=ADVANCED_FACE('',(#6002,#4270,#4271,#4272),#2322,.F.); #23270=ADVANCED_FACE('',(#6003,#4273,#4274,#4275,#4276),#2323,.T.); #23271=ADVANCED_FACE('',(#6004),#2133,.F.); #23272=ADVANCED_FACE('',(#6005,#4277),#21767,.F.); #23273=ADVANCED_FACE('',(#6006),#2134,.F.); #23274=ADVANCED_FACE('',(#6007,#4278),#21768,.F.); #23275=ADVANCED_FACE('',(#6008,#4279),#21769,.F.); #23276=ADVANCED_FACE('',(#6009),#2135,.F.); #23277=ADVANCED_FACE('',(#6010,#4280,#4281,#4282),#2324,.T.); #23278=ADVANCED_FACE('',(#6011,#4283,#4284,#4285,#4286,#4287,#4288),#2325, .T.); #23279=ADVANCED_FACE('',(#6012),#2326,.T.); #23280=ADVANCED_FACE('',(#6013,#4289),#2327,.T.); #23281=ADVANCED_FACE('',(#6014,#4290),#21770,.F.); #23282=ADVANCED_FACE('',(#6015,#4291),#21771,.F.); #23283=ADVANCED_FACE('',(#6016,#4292),#2328,.T.); #23284=ADVANCED_FACE('',(#6017,#4293),#21772,.F.); #23285=ADVANCED_FACE('',(#6018,#4294),#21773,.F.); #23286=ADVANCED_FACE('',(#6019,#4295),#2329,.T.); #23287=ADVANCED_FACE('',(#6020,#4296),#21774,.F.); #23288=ADVANCED_FACE('',(#6021,#4297),#21775,.F.); #23289=ADVANCED_FACE('',(#6022,#4298),#2330,.T.); #23290=ADVANCED_FACE('',(#6023,#4299),#21776,.F.); #23291=ADVANCED_FACE('',(#6024,#4300),#21777,.F.); #23292=ADVANCED_FACE('',(#6025,#4301),#2331,.T.); #23293=ADVANCED_FACE('',(#6026,#4302),#21778,.F.); #23294=ADVANCED_FACE('',(#6027,#4303),#21779,.F.); #23295=ADVANCED_FACE('',(#6028,#4304),#2332,.T.); #23296=ADVANCED_FACE('',(#6029,#4305),#21780,.F.); #23297=ADVANCED_FACE('',(#6030,#4306),#21781,.F.); #23298=ADVANCED_FACE('',(#6031,#4307),#2333,.T.); #23299=ADVANCED_FACE('',(#6032,#4308),#21782,.F.); #23300=ADVANCED_FACE('',(#6033,#4309),#21783,.F.); #23301=ADVANCED_FACE('',(#6034,#4310),#2334,.T.); #23302=ADVANCED_FACE('',(#6035,#4311),#21784,.F.); #23303=ADVANCED_FACE('',(#6036,#4312),#21785,.F.); #23304=ADVANCED_FACE('',(#6037,#4313),#2335,.T.); #23305=ADVANCED_FACE('',(#6038,#4314),#21786,.F.); #23306=ADVANCED_FACE('',(#6039,#4315),#21787,.F.); #23307=ADVANCED_FACE('',(#6040,#4316),#2336,.T.); #23308=ADVANCED_FACE('',(#6041,#4317),#21788,.F.); #23309=ADVANCED_FACE('',(#6042,#4318),#21789,.F.); #23310=ADVANCED_FACE('',(#6043),#2337,.T.); #23311=ADVANCED_FACE('',(#6044),#2338,.T.); #23312=ADVANCED_FACE('',(#6045),#2339,.T.); #23313=ADVANCED_FACE('',(#6046,#4319,#4320,#4321,#4322,#4323,#4324,#4325, #4326,#4327,#4328),#2340,.T.); #23314=ADVANCED_FACE('',(#6047,#4329,#4330,#4331,#4332,#4333,#4334,#4335, #4336,#4337,#4338),#2341,.F.); #23315=ADVANCED_FACE('',(#6048,#4339),#21790,.F.); #23316=ADVANCED_FACE('',(#6049,#4340),#21791,.F.); #23317=ADVANCED_FACE('',(#6050,#4341),#21792,.F.); #23318=ADVANCED_FACE('',(#6051,#4342),#21793,.F.); #23319=ADVANCED_FACE('',(#6052,#4343),#21794,.F.); #23320=ADVANCED_FACE('',(#6053,#4344),#21795,.F.); #23321=ADVANCED_FACE('',(#6054,#4345),#21796,.F.); #23322=ADVANCED_FACE('',(#6055,#4346),#21797,.F.); #23323=ADVANCED_FACE('',(#6056,#4347),#21798,.F.); #23324=ADVANCED_FACE('',(#6057,#4348),#21799,.F.); #23325=ADVANCED_FACE('',(#6058,#4349),#21800,.F.); #23326=ADVANCED_FACE('',(#6059,#4350),#21801,.F.); #23327=ADVANCED_FACE('',(#6060,#4351),#21802,.F.); #23328=ADVANCED_FACE('',(#6061,#4352),#21803,.F.); #23329=ADVANCED_FACE('',(#6062,#4353),#21804,.F.); #23330=ADVANCED_FACE('',(#6063,#4354),#21805,.F.); #23331=ADVANCED_FACE('',(#6064,#4355),#21806,.F.); #23332=ADVANCED_FACE('',(#6065,#4356),#21807,.F.); #23333=ADVANCED_FACE('',(#6066,#4357),#21808,.F.); #23334=ADVANCED_FACE('',(#6067,#4358),#21809,.F.); #23335=ADVANCED_FACE('',(#6068,#4359),#21810,.F.); #23336=ADVANCED_FACE('',(#6069,#4360),#21811,.F.); #23337=ADVANCED_FACE('',(#6070,#4361),#21812,.F.); #23338=ADVANCED_FACE('',(#6071,#4362),#21813,.F.); #23339=ADVANCED_FACE('',(#6072,#4363),#21814,.F.); #23340=ADVANCED_FACE('',(#6073,#4364),#21815,.F.); #23341=ADVANCED_FACE('',(#6074,#4365),#21816,.F.); #23342=ADVANCED_FACE('',(#6075,#4366),#21817,.F.); #23343=ADVANCED_FACE('',(#6076,#4367),#21818,.F.); #23344=ADVANCED_FACE('',(#6077,#4368),#21819,.F.); #23345=ADVANCED_FACE('',(#6078,#4369),#21820,.F.); #23346=ADVANCED_FACE('',(#6079,#4370),#21821,.F.); #23347=ADVANCED_FACE('',(#6080,#4371),#21822,.F.); #23348=ADVANCED_FACE('',(#6081,#4372),#21823,.F.); #23349=ADVANCED_FACE('',(#6082,#4373),#21824,.F.); #23350=ADVANCED_FACE('',(#6083,#4374),#21825,.F.); #23351=ADVANCED_FACE('',(#6084,#4375),#21826,.F.); #23352=ADVANCED_FACE('',(#6085,#4376),#21827,.F.); #23353=ADVANCED_FACE('',(#6086,#4377),#21828,.F.); #23354=ADVANCED_FACE('',(#6087,#4378),#21829,.F.); #23355=ADVANCED_FACE('',(#6088,#4379),#21830,.F.); #23356=ADVANCED_FACE('',(#6089,#4380),#21831,.F.); #23357=ADVANCED_FACE('',(#6090,#4381),#21832,.F.); #23358=ADVANCED_FACE('',(#6091,#4382),#21833,.F.); #23359=ADVANCED_FACE('',(#6092,#4383),#21834,.F.); #23360=ADVANCED_FACE('',(#6093,#4384),#21835,.F.); #23361=ADVANCED_FACE('',(#6094,#4385),#21836,.F.); #23362=ADVANCED_FACE('',(#6095,#4386),#21837,.F.); #23363=ADVANCED_FACE('',(#6096,#4387),#21838,.F.); #23364=ADVANCED_FACE('',(#6097,#4388),#21839,.F.); #23365=ADVANCED_FACE('',(#6098,#4389),#21840,.F.); #23366=ADVANCED_FACE('',(#6099,#4390),#21841,.F.); #23367=ADVANCED_FACE('',(#6100,#4391),#21842,.F.); #23368=ADVANCED_FACE('',(#6101,#4392),#21843,.F.); #23369=ADVANCED_FACE('',(#6102,#4393),#21844,.F.); #23370=ADVANCED_FACE('',(#6103,#4394),#21845,.F.); #23371=ADVANCED_FACE('',(#6104,#4395),#21846,.F.); #23372=ADVANCED_FACE('',(#6105,#4396),#21847,.F.); #23373=ADVANCED_FACE('',(#6106,#4397),#21848,.F.); #23374=ADVANCED_FACE('',(#6107,#4398),#21849,.F.); #23375=ADVANCED_FACE('',(#6108,#4399),#21850,.F.); #23376=ADVANCED_FACE('',(#6109,#4400),#21851,.F.); #23377=ADVANCED_FACE('',(#6110,#4401),#21852,.F.); #23378=ADVANCED_FACE('',(#6111,#4402),#21853,.F.); #23379=ADVANCED_FACE('',(#6112,#4403),#21854,.F.); #23380=ADVANCED_FACE('',(#6113,#4404),#21855,.F.); #23381=ADVANCED_FACE('',(#6114,#4405),#21856,.F.); #23382=ADVANCED_FACE('',(#6115,#4406),#21857,.F.); #23383=ADVANCED_FACE('',(#6116,#4407),#21858,.F.); #23384=ADVANCED_FACE('',(#6117,#4408),#21859,.F.); #23385=ADVANCED_FACE('',(#6118,#4409),#21860,.F.); #23386=ADVANCED_FACE('',(#6119,#4410),#21861,.F.); #23387=ADVANCED_FACE('',(#6120,#4411),#21862,.F.); #23388=ADVANCED_FACE('',(#6121,#4412),#21863,.F.); #23389=ADVANCED_FACE('',(#6122,#4413),#21864,.F.); #23390=ADVANCED_FACE('',(#6123,#4414),#21865,.F.); #23391=ADVANCED_FACE('',(#6124,#4415),#21866,.F.); #23392=ADVANCED_FACE('',(#6125,#4416),#21867,.F.); #23393=ADVANCED_FACE('',(#6126,#4417),#21868,.F.); #23394=ADVANCED_FACE('',(#6127,#4418),#21869,.F.); #23395=ADVANCED_FACE('',(#6128,#4419),#21870,.F.); #23396=ADVANCED_FACE('',(#6129,#4420),#21871,.F.); #23397=ADVANCED_FACE('',(#6130,#4421),#21872,.F.); #23398=ADVANCED_FACE('',(#6131,#4422),#21873,.F.); #23399=ADVANCED_FACE('',(#6132,#4423),#21874,.F.); #23400=ADVANCED_FACE('',(#6133,#4424),#21875,.F.); #23401=ADVANCED_FACE('',(#6134,#4425),#21876,.F.); #23402=ADVANCED_FACE('',(#6135,#4426),#21877,.F.); #23403=ADVANCED_FACE('',(#6136,#4427),#21878,.F.); #23404=ADVANCED_FACE('',(#6137,#4428),#21879,.F.); #23405=ADVANCED_FACE('',(#6138,#4429),#21880,.F.); #23406=ADVANCED_FACE('',(#6139,#4430),#21881,.F.); #23407=ADVANCED_FACE('',(#6140,#4431),#21882,.F.); #23408=ADVANCED_FACE('',(#6141,#4432),#21883,.F.); #23409=ADVANCED_FACE('',(#6142,#4433),#21884,.F.); #23410=ADVANCED_FACE('',(#6143,#4434),#21885,.F.); #23411=ADVANCED_FACE('',(#6144),#1756,.T.); #23412=ADVANCED_FACE('',(#6145),#1757,.T.); #23413=ADVANCED_FACE('',(#6146),#1758,.T.); #23414=ADVANCED_FACE('',(#6147),#21886,.T.); #23415=ADVANCED_FACE('',(#6148),#1759,.T.); #23416=ADVANCED_FACE('',(#6149),#1760,.T.); #23417=ADVANCED_FACE('',(#6150),#1761,.T.); #23418=ADVANCED_FACE('',(#6151),#21887,.T.); #23419=ADVANCED_FACE('',(#6152),#2342,.F.); #23420=ADVANCED_FACE('',(#6153),#21888,.F.); #23421=ADVANCED_FACE('',(#6154),#21889,.F.); #23422=ADVANCED_FACE('',(#6155),#21890,.F.); #23423=ADVANCED_FACE('',(#6156,#4435,#4436,#4437,#4438,#4439,#4440,#4441, #4442,#4443,#4444,#4445,#4446,#4447,#4448,#4449,#4450,#4451,#4452,#4453, #4454,#4455,#4456,#4457,#4458,#4459,#4460,#4461,#4462,#4463,#4464,#4465, #4466,#4467,#4468,#4469,#4470,#4471,#4472,#4473,#4474,#4475,#4476,#4477, #4478,#4479,#4480,#4481,#4482,#4483,#4484,#4485,#4486,#4487,#4488,#4489, #4490,#4491,#4492,#4493,#4494,#4495,#4496,#4497,#4498,#4499,#4500,#4501, #4502,#4503,#4504,#4505,#4506,#4507,#4508,#4509,#4510,#4511,#4512,#4513, #4514,#4515,#4516,#4517,#4518,#4519,#4520,#4521,#4522,#4523,#4524,#4525, #4526,#4527,#4528,#4529,#4530,#4531),#2343,.F.); #23424=ADVANCED_FACE('',(#6157),#2344,.F.); #23425=ADVANCED_FACE('',(#6158),#21891,.F.); #23426=ADVANCED_FACE('',(#6159),#21892,.T.); #23427=ADVANCED_FACE('',(#6160),#21893,.F.); #23428=ADVANCED_FACE('',(#6161),#2345,.T.); #23429=ADVANCED_FACE('',(#6162),#21894,.T.); #23430=ADVANCED_FACE('',(#6163),#2346,.T.); #23431=ADVANCED_FACE('',(#6164),#21895,.F.); #23432=ADVANCED_FACE('',(#6165,#4532,#4533,#4534,#4535,#4536,#4537,#4538, #4539,#4540,#4541,#4542,#4543,#4544,#4545,#4546,#4547,#4548,#4549,#4550, #4551,#4552,#4553,#4554,#4555,#4556,#4557,#4558,#4559,#4560,#4561,#4562, #4563,#4564,#4565,#4566,#4567,#4568,#4569,#4570,#4571,#4572,#4573,#4574, #4575,#4576,#4577,#4578,#4579,#4580,#4581,#4582,#4583,#4584,#4585,#4586, #4587,#4588,#4589,#4590,#4591,#4592,#4593,#4594,#4595,#4596,#4597,#4598, #4599,#4600,#4601,#4602,#4603,#4604,#4605,#4606,#4607,#4608,#4609,#4610, #4611,#4612,#4613,#4614,#4615,#4616,#4617,#4618,#4619,#4620,#4621,#4622, #4623,#4624,#4625,#4626,#4627,#4628),#2347,.T.); #23433=CLOSED_SHELL('',(#21896,#21897,#21898,#21899,#21900,#21901,#21902, #21903,#21904,#21905,#21906,#21907,#21908,#21909,#21910,#21911,#21912,#21913, #21914,#21915,#21916,#21917,#21918,#21919,#21920,#21921,#21922,#21923,#21924, #21925,#21926,#21927,#21928,#21929,#21930,#21931,#21932,#21933,#21934,#21935, #21936,#21937,#21938,#21939,#21940,#21941,#21942,#21943,#21944,#21945,#21946, #21947,#21948,#21949,#21950,#21951,#21952,#21953,#21954,#21955,#21956,#21957, #21958,#21959,#21960,#21961,#21962,#21963,#21964,#21965,#21966,#21967,#21968, #21969,#21970,#21971,#21972,#21973,#21974,#21975,#21976,#21977,#21978,#21979, #21980,#21981,#21982,#21983,#21984,#21985,#21986,#21987,#21988,#21989,#21990, #21991,#21992,#21993,#21994,#21995,#21996,#21997,#21998,#21999,#22000,#22001, #22002,#22003,#22004,#22005,#22006,#22007,#22008,#22009,#22010,#22011,#22012, #22013,#22014,#22015,#22016,#22017,#22018,#22019,#22020,#22021,#22022,#22023, #22024,#22025,#22026,#22027,#22028,#22029,#22030,#22031,#22032,#22033,#22034, #22035,#22036,#22037,#22038,#22039,#22040,#22041,#22042,#22043,#22044,#22045, #22046,#22047,#22048,#22049,#22050,#22051,#22052,#22053,#22054,#22055,#22056, #22057,#22058,#22059,#22060,#22061,#22062,#22063,#22064,#22065,#22066,#22067, #22068,#22069,#22070,#22071,#22072,#22073,#22074,#22075,#22076,#22077,#22078, #22079,#22080,#22081,#22082,#22083,#22084,#22085,#22086,#22087,#22088,#22089, #22090,#22091,#22092,#22093,#22094,#22095,#22096,#22097,#22098,#22099,#22100, #22101,#22102,#22103,#22104,#22105,#22106,#22107,#22108,#22109,#22110,#22111, #22112,#22113,#22114,#22115,#22116,#22117,#22118,#22119,#22120,#22121,#22122, #22123,#22124,#22125,#22126,#22127,#22128,#22129,#22130,#22131,#22132,#22133, #22134,#22135,#22136,#22137,#22138,#22139,#22140,#22141,#22142,#22143,#22144, #22145,#22146,#22147,#22148,#22149,#22150,#22151,#22152,#22153,#22154,#22155, #22156,#22157,#22158,#22159,#22160,#22161,#22162,#22163,#22164,#22165,#22166, #22167,#22168,#22169,#22170,#22171,#22172,#22173,#22174,#22175,#22176,#22177, #22178,#22179,#22180,#22181,#22182,#22183,#22184,#22185,#22186,#22187,#22188, #22189,#22190,#22191,#22192,#22193,#22194,#22195,#22196,#22197,#22198,#22199, #22200,#22201,#22202,#22203,#22204,#22205,#22206,#22207,#22208,#22209,#22210)); #23434=CLOSED_SHELL('',(#22211,#22212,#22213,#22214,#22215,#22216,#22217, #22218,#22219,#22220,#22221,#22222,#22223,#22224,#22225,#22226,#22227,#22228, #22229,#22230,#22231,#22232,#22233,#22234,#22235,#22236,#22237,#22238,#22239, #22240,#22241,#22242,#22243,#22244,#22245,#22246,#22247,#22248,#22249,#22250, #22251,#22252)); #23435=CLOSED_SHELL('',(#22253,#22254,#22255,#22256,#22257,#22258,#22259, #22260,#22261,#22262,#22263,#22264,#22265,#22266,#22267,#22268,#22269,#22270, #22271,#22272,#22273,#22274,#22275,#22276,#22277,#22278,#22279,#22280,#22281, #22282,#22283,#22284,#22285,#22286,#22287)); #23436=CLOSED_SHELL('',(#22288,#22289,#22290,#22291,#22292,#22293,#22294, #22295,#22296,#22297,#22298,#22299,#22300,#22301,#22302,#22303,#22304,#22305, #22306,#22307,#22308,#22309,#22310,#22311,#22312,#22313,#22314,#22315,#22316, #22317,#22318,#22319,#22320,#22321,#22322)); #23437=CLOSED_SHELL('',(#22323,#22324,#22325,#22326,#22327,#22328,#22329, #22330,#22331,#22332,#22333,#22334,#22335,#22336,#22337,#22338,#22339,#22340, #22341,#22342,#22343,#22344,#22345,#22346,#22347,#22348,#22349,#22350,#22351, #22352,#22353,#22354,#22355,#22356,#22357,#22358,#22359,#22360,#22361,#22362, #22363,#22364,#22365,#22366,#22367,#22368,#22369,#22370,#22371,#22372,#22373, #22374,#22375,#22376,#22377,#22378,#22379,#22380,#22381,#22382,#22383,#22384, #22385,#22386,#22387,#22388,#22389,#22390,#22391,#22392,#22393,#22394,#22395, #22396,#22397,#22398,#22399,#22400,#22401,#22402,#22403,#22404,#22405,#22406, #22407,#22408,#22409,#22410,#22411,#22412,#22413,#22414,#22415,#22416,#22417, #22418,#22419,#22420,#22421,#22422,#22423,#22424,#22425,#22426,#22427,#22428, #22429,#22430,#22431,#22432,#22433,#22434,#22435,#22436,#22437,#22438,#22439, #22440,#22441,#22442,#22443,#22444,#22445,#22446,#22447,#22448,#22449,#22450, #22451,#22452,#22453,#22454,#22455,#22456,#22457,#22458,#22459,#22460,#22461, #22462,#22463,#22464,#22465,#22466,#22467,#22468,#22469,#22470,#22471,#22472, #22473,#22474,#22475,#22476,#22477,#22478,#22479,#22480,#22481,#22482,#22483, #22484,#22485,#22486,#22487,#22488,#22489,#22490,#22491,#22492,#22493,#22494, #22495,#22496)); #23438=CLOSED_SHELL('',(#22497,#22498,#22499,#22500,#22501,#22502,#22503, #22504,#22505,#22506,#22507,#22508,#22509,#22510,#22511,#22512,#22513,#22514, #22515,#22516,#22517,#22518,#22519,#22520,#22521,#22522,#22523,#22524,#22525, #22526,#22527,#22528)); #23439=CLOSED_SHELL('',(#22529,#22530,#22531,#22532,#22533,#22534,#22535, #22536,#22537,#22538,#22539,#22540,#22541,#22542,#22543,#22544,#22545,#22546, #22547,#22548,#22549,#22550,#22551,#22552,#22553,#22554,#22555,#22556,#22557, #22558,#22559,#22560,#22561,#22562,#22563,#22564,#22565,#22566,#22567,#22568, #22569,#22570,#22571,#22572,#22573,#22574,#22575,#22576,#22577,#22578,#22579, #22580,#22581,#22582,#22583,#22584,#22585,#22586,#22587,#22588,#22589,#22590, #22591,#22592,#22593,#22594,#22595,#22596,#22597,#22598,#22599,#22600,#22601, #22602,#22603,#22604,#22605,#22606,#22607,#22608,#22609,#22610,#22611,#22612, #22613,#22614,#22615,#22616,#22617,#22618,#22619,#22620,#22621,#22622,#22623, #22624,#22625,#22626,#22627,#22628,#22629,#22630,#22631,#22632,#22633,#22634, #22635,#22636,#22637,#22638,#22639,#22640,#22641,#22642,#22643,#22644,#22645, #22646,#22647,#22648,#22649,#22650,#22651,#22652,#22653,#22654,#22655,#22656, #22657,#22658,#22659,#22660,#22661,#22662,#22663,#22664,#22665,#22666,#22667, #22668,#22669,#22670,#22671,#22672,#22673,#22674,#22675,#22676,#22677,#22678, #22679,#22680,#22681,#22682,#22683,#22684,#22685,#22686,#22687,#22688,#22689, #22690,#22691,#22692,#22693,#22694,#22695,#22696,#22697,#22698,#22699,#22700, #22701,#22702,#22703,#22704,#22705,#22706,#22707,#22708,#22709,#22710,#22711, #22712,#22713,#22714,#22715,#22716,#22717,#22718,#22719,#22720,#22721,#22722, #22723,#22724,#22725,#22726,#22727,#22728,#22729,#22730,#22731,#22732,#22733, #22734,#22735,#22736,#22737,#22738,#22739,#22740,#22741,#22742,#22743,#22744, #22745,#22746,#22747,#22748,#22749,#22750,#22751,#22752,#22753,#22754,#22755, #22756,#22757,#22758,#22759,#22760,#22761,#22762,#22763,#22764,#22765,#22766, #22767,#22768,#22769,#22770,#22771,#22772,#22773,#22774,#22775,#22776,#22777, #22778,#22779)); #23440=CLOSED_SHELL('',(#22780,#22781,#22782,#22783,#22784,#22785,#22786, #22787,#22788,#22789,#22790,#22791,#22792,#22793,#22794,#22795,#22796,#22797, #22798,#22799,#22800,#22801,#22802,#22803,#22804,#22805,#22806,#22807,#22808, #22809,#22810,#22811,#22812,#22813,#22814,#22815,#22816,#22817,#22818,#22819, #22820,#22821,#22822,#22823,#22824,#22825,#22826,#22827,#22828,#22829,#22830, #22831,#22832,#22833,#22834,#22835,#22836,#22837,#22838,#22839,#22840,#22841, #22842,#22843,#22844,#22845,#22846,#22847,#22848,#22849,#22850,#22851,#22852, #22853,#22854,#22855,#22856,#22857,#22858,#22859,#22860,#22861,#22862,#22863, #22864,#22865,#22866,#22867,#22868,#22869,#22870,#22871,#22872,#22873,#22874, #22875,#22876,#22877,#22878,#22879,#22880,#22881,#22882,#22883,#22884,#22885, #22886,#22887,#22888,#22889,#22890,#22891,#22892,#22893,#22894,#22895,#22896, #22897,#22898,#22899,#22900,#22901,#22902,#22903,#22904,#22905)); #23441=CLOSED_SHELL('',(#22906,#22907,#22908,#22909,#22910,#22911,#22912, #22913,#22914,#22915,#22916,#22917,#22918,#22919,#22920,#22921,#22922,#22923, #22924,#22925,#22926,#22927,#22928,#22929,#22930,#22931,#22932,#22933,#22934, #22935,#22936,#22937,#22938,#22939,#22940,#22941,#22942,#22943,#22944,#22945, #22946,#22947,#22948,#22949,#22950,#22951,#22952,#22953,#22954,#22955,#22956, #22957,#22958,#22959,#22960,#22961)); #23442=CLOSED_SHELL('',(#22962,#22963,#22964,#22965,#22966,#22967,#22968, #22969,#22970,#22971,#22972,#22973,#22974,#22975,#22976,#22977,#22978,#22979, #22980,#22981,#22982,#22983,#22984,#22985,#22986,#22987,#22988,#22989,#22990, #22991,#22992,#22993,#22994,#22995,#22996,#22997,#22998,#22999)); #23443=CLOSED_SHELL('',(#23000,#23001,#23002,#23003,#23004,#23005,#23006, #23007,#23008,#23009,#23010,#23011,#23012,#23013,#23014,#23015,#23016,#23017, #23018,#23019,#23020,#23021,#23022,#23023,#23024,#23025,#23026,#23027,#23028, #23029,#23030,#23031,#23032,#23033,#23034,#23035,#23036,#23037,#23038,#23039, #23040,#23041,#23042,#23043,#23044,#23045,#23046,#23047,#23048,#23049,#23050, #23051,#23052,#23053,#23054,#23055,#23056,#23057,#23058,#23059,#23060,#23061, #23062,#23063,#23064,#23065,#23066,#23067,#23068,#23069,#23070,#23071)); #23444=CLOSED_SHELL('',(#23072,#23073,#23074,#23075,#23076,#23077,#23078, #23079,#23080,#23081,#23082,#23083,#23084,#23085,#23086,#23087,#23088,#23089, #23090,#23091,#23092,#23093,#23094,#23095,#23096,#23097,#23098,#23099,#23100, #23101,#23102,#23103,#23104,#23105,#23106)); #23445=CLOSED_SHELL('',(#23107,#23108,#23109,#23110,#23111,#23112,#23113, #23114,#23115,#23116,#23117,#23118,#23119,#23120,#23121,#23122,#23123,#23124, #23125,#23126,#23127,#23128,#23129,#23130,#23131,#23132,#23133,#23134,#23135, #23136,#23137,#23138,#23139,#23140,#23141,#23142,#23143,#23144,#23145,#23146, #23147,#23148,#23149,#23150,#23151,#23152,#23153,#23154,#23155,#23156,#23157, #23158,#23159,#23160,#23161,#23162,#23163,#23164,#23165,#23166,#23167,#23168, #23169,#23170,#23171,#23172,#23173,#23174,#23175,#23176,#23177,#23178,#23179, #23180,#23181,#23182,#23183,#23184,#23185,#23186,#23187,#23188,#23189,#23190)); #23446=CLOSED_SHELL('',(#23191,#23192,#23193,#23194,#23195,#23196,#23197, #23198,#23199,#23200,#23201,#23202,#23203,#23204,#23205,#23206,#23207,#23208, #23209,#23210,#23211,#23212,#23213,#23214,#23215,#23216,#23217,#23218,#23219, #23220,#23221,#23222,#23223,#23224,#23225,#23226,#23227,#23228,#23229,#23230, #23231,#23232,#23233,#23234,#23235,#23236,#23237,#23238,#23239,#23240,#23241, #23242,#23243,#23244,#23245,#23246,#23247,#23248,#23249,#23250,#23251)); #23447=CLOSED_SHELL('',(#23252,#23253,#23254,#23255,#23256,#23257,#23258, #23259,#23260,#23261,#23262,#23263,#23264,#23265,#23266,#23267,#23268,#23269, #23270,#23271,#23272,#23273,#23274,#23275,#23276,#23277,#23278)); #23448=CLOSED_SHELL('',(#23279,#23280,#23281,#23282,#23283,#23284,#23285, #23286,#23287,#23288,#23289,#23290,#23291,#23292,#23293,#23294,#23295,#23296, #23297,#23298,#23299,#23300,#23301,#23302,#23303,#23304,#23305,#23306,#23307, #23308,#23309,#23310,#23311,#23312,#23313,#23314)); #23449=CLOSED_SHELL('',(#23315,#23316,#23317,#23318,#23319,#23320,#23321, #23322,#23323,#23324,#23325,#23326,#23327,#23328,#23329,#23330,#23331,#23332, #23333,#23334,#23335,#23336,#23337,#23338,#23339,#23340,#23341,#23342,#23343, #23344,#23345,#23346,#23347,#23348,#23349,#23350,#23351,#23352,#23353,#23354, #23355,#23356,#23357,#23358,#23359,#23360,#23361,#23362,#23363,#23364,#23365, #23366,#23367,#23368,#23369,#23370,#23371,#23372,#23373,#23374,#23375,#23376, #23377,#23378,#23379,#23380,#23381,#23382,#23383,#23384,#23385,#23386,#23387, #23388,#23389,#23390,#23391,#23392,#23393,#23394,#23395,#23396,#23397,#23398, #23399,#23400,#23401,#23402,#23403,#23404,#23405,#23406,#23407,#23408,#23409, #23410,#23411,#23412,#23413,#23414,#23415,#23416,#23417,#23418,#23419,#23420, #23421,#23422,#23423,#23424,#23425,#23426,#23427,#23428,#23429,#23430,#23431, #23432)); #23450=DERIVED_UNIT_ELEMENT(#23484,0.); #23451=DERIVED_UNIT_ELEMENT(#42429,0.); #23452=DERIVED_UNIT_ELEMENT(#23484,0.); #23453=DERIVED_UNIT_ELEMENT(#42429,0.); #23454=DERIVED_UNIT_ELEMENT(#23484,0.); #23455=DERIVED_UNIT_ELEMENT(#42429,0.); #23456=DERIVED_UNIT_ELEMENT(#23484,0.); #23457=DERIVED_UNIT_ELEMENT(#42429,0.); #23458=DERIVED_UNIT_ELEMENT(#23484,0.); #23459=DERIVED_UNIT_ELEMENT(#42429,0.); #23460=DERIVED_UNIT_ELEMENT(#23484,0.); #23461=DERIVED_UNIT_ELEMENT(#42429,0.); #23462=DERIVED_UNIT_ELEMENT(#23484,0.); #23463=DERIVED_UNIT_ELEMENT(#42429,0.); #23464=DERIVED_UNIT_ELEMENT(#23484,0.); #23465=DERIVED_UNIT_ELEMENT(#42429,0.); #23466=DERIVED_UNIT_ELEMENT(#23484,0.); #23467=DERIVED_UNIT_ELEMENT(#42429,0.); #23468=DERIVED_UNIT_ELEMENT(#23484,0.); #23469=DERIVED_UNIT_ELEMENT(#42429,0.); #23470=DERIVED_UNIT_ELEMENT(#23484,0.); #23471=DERIVED_UNIT_ELEMENT(#42429,0.); #23472=DERIVED_UNIT_ELEMENT(#23484,0.); #23473=DERIVED_UNIT_ELEMENT(#42429,0.); #23474=DERIVED_UNIT_ELEMENT(#23484,0.); #23475=DERIVED_UNIT_ELEMENT(#42429,0.); #23476=DERIVED_UNIT_ELEMENT(#23484,0.); #23477=DERIVED_UNIT_ELEMENT(#42429,0.); #23478=DERIVED_UNIT_ELEMENT(#23484,0.); #23479=DERIVED_UNIT_ELEMENT(#42429,0.); #23480=DERIVED_UNIT_ELEMENT(#23484,0.); #23481=DERIVED_UNIT_ELEMENT(#42429,0.); #23482=DERIVED_UNIT_ELEMENT(#23484,0.); #23483=DERIVED_UNIT_ELEMENT(#42429,0.); #23484=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT($,.GRAM.) ); #23485=DERIVED_UNIT((#23450,#23451)); #23486=DERIVED_UNIT((#23452,#23453)); #23487=DERIVED_UNIT((#23454,#23455)); #23488=DERIVED_UNIT((#23456,#23457)); #23489=DERIVED_UNIT((#23458,#23459)); #23490=DERIVED_UNIT((#23460,#23461)); #23491=DERIVED_UNIT((#23462,#23463)); #23492=DERIVED_UNIT((#23464,#23465)); #23493=DERIVED_UNIT((#23466,#23467)); #23494=DERIVED_UNIT((#23468,#23469)); #23495=DERIVED_UNIT((#23470,#23471)); #23496=DERIVED_UNIT((#23472,#23473)); #23497=DERIVED_UNIT((#23474,#23475)); #23498=DERIVED_UNIT((#23476,#23477)); #23499=DERIVED_UNIT((#23478,#23479)); #23500=DERIVED_UNIT((#23480,#23481)); #23501=DERIVED_UNIT((#23482,#23483)); #23502=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23485); #23503=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23486); #23504=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23487); #23505=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23488); #23506=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23489); #23507=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23490); #23508=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23491); #23509=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#23492); #23510=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23493); #23511=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23494); #23512=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23495); #23513=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23496); #23514=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23497); #23515=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#23498); #23516=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#23499); #23517=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#23500); #23518=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#23501); #23519=PROPERTY_DEFINITION_REPRESENTATION(#23604,#23553); #23520=PROPERTY_DEFINITION_REPRESENTATION(#23605,#23554); #23521=PROPERTY_DEFINITION_REPRESENTATION(#23606,#23555); #23522=PROPERTY_DEFINITION_REPRESENTATION(#23607,#23556); #23523=PROPERTY_DEFINITION_REPRESENTATION(#23608,#23557); #23524=PROPERTY_DEFINITION_REPRESENTATION(#23609,#23558); #23525=PROPERTY_DEFINITION_REPRESENTATION(#23610,#23559); #23526=PROPERTY_DEFINITION_REPRESENTATION(#23611,#23560); #23527=PROPERTY_DEFINITION_REPRESENTATION(#23612,#23561); #23528=PROPERTY_DEFINITION_REPRESENTATION(#23613,#23562); #23529=PROPERTY_DEFINITION_REPRESENTATION(#23614,#23563); #23530=PROPERTY_DEFINITION_REPRESENTATION(#23615,#23564); #23531=PROPERTY_DEFINITION_REPRESENTATION(#23616,#23565); #23532=PROPERTY_DEFINITION_REPRESENTATION(#23617,#23566); #23533=PROPERTY_DEFINITION_REPRESENTATION(#23618,#23567); #23534=PROPERTY_DEFINITION_REPRESENTATION(#23619,#23568); #23535=PROPERTY_DEFINITION_REPRESENTATION(#23620,#23569); #23536=PROPERTY_DEFINITION_REPRESENTATION(#23621,#23570); #23537=PROPERTY_DEFINITION_REPRESENTATION(#23622,#23571); #23538=PROPERTY_DEFINITION_REPRESENTATION(#23623,#23572); #23539=PROPERTY_DEFINITION_REPRESENTATION(#23624,#23573); #23540=PROPERTY_DEFINITION_REPRESENTATION(#23625,#23574); #23541=PROPERTY_DEFINITION_REPRESENTATION(#23626,#23575); #23542=PROPERTY_DEFINITION_REPRESENTATION(#23627,#23576); #23543=PROPERTY_DEFINITION_REPRESENTATION(#23628,#23577); #23544=PROPERTY_DEFINITION_REPRESENTATION(#23629,#23578); #23545=PROPERTY_DEFINITION_REPRESENTATION(#23630,#23579); #23546=PROPERTY_DEFINITION_REPRESENTATION(#23631,#23580); #23547=PROPERTY_DEFINITION_REPRESENTATION(#23632,#23581); #23548=PROPERTY_DEFINITION_REPRESENTATION(#23633,#23582); #23549=PROPERTY_DEFINITION_REPRESENTATION(#23634,#23583); #23550=PROPERTY_DEFINITION_REPRESENTATION(#23635,#23584); #23551=PROPERTY_DEFINITION_REPRESENTATION(#23636,#23585); #23552=PROPERTY_DEFINITION_REPRESENTATION(#23637,#23586); #23553=REPRESENTATION('material name',(#23587),#42408); #23554=REPRESENTATION('density',(#23502),#42408); #23555=REPRESENTATION('material name',(#23588),#42409); #23556=REPRESENTATION('density',(#23503),#42409); #23557=REPRESENTATION('material name',(#23589),#42410); #23558=REPRESENTATION('density',(#23504),#42410); #23559=REPRESENTATION('material name',(#23590),#42411); #23560=REPRESENTATION('density',(#23505),#42411); #23561=REPRESENTATION('material name',(#23591),#42412); #23562=REPRESENTATION('density',(#23506),#42412); #23563=REPRESENTATION('material name',(#23592),#42413); #23564=REPRESENTATION('density',(#23507),#42413); #23565=REPRESENTATION('material name',(#23593),#42414); #23566=REPRESENTATION('density',(#23508),#42414); #23567=REPRESENTATION('material name',(#23594),#42415); #23568=REPRESENTATION('density',(#23509),#42415); #23569=REPRESENTATION('material name',(#23595),#42416); #23570=REPRESENTATION('density',(#23510),#42416); #23571=REPRESENTATION('material name',(#23596),#42417); #23572=REPRESENTATION('density',(#23511),#42417); #23573=REPRESENTATION('material name',(#23597),#42418); #23574=REPRESENTATION('density',(#23512),#42418); #23575=REPRESENTATION('material name',(#23598),#42419); #23576=REPRESENTATION('density',(#23513),#42419); #23577=REPRESENTATION('material name',(#23599),#42420); #23578=REPRESENTATION('density',(#23514),#42420); #23579=REPRESENTATION('material name',(#23600),#42421); #23580=REPRESENTATION('density',(#23515),#42421); #23581=REPRESENTATION('material name',(#23601),#42422); #23582=REPRESENTATION('density',(#23516),#42422); #23583=REPRESENTATION('material name',(#23602),#42423); #23584=REPRESENTATION('density',(#23517),#42423); #23585=REPRESENTATION('material name',(#23603),#42424); #23586=REPRESENTATION('density',(#23518),#42424); #23587=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23588=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23589=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23590=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23591=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061 T6 0 Cold Formed', 'Aluminum 6061 T6 0 Cold Formed'); #23592=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061 T6 0 Cold Formed', 'Aluminum 6061 T6 0 Cold Formed'); #23593=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23594=DESCRIPTIVE_REPRESENTATION_ITEM('Generic','Generic'); #23595=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23596=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23597=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23598=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23599=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23600=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #23601=DESCRIPTIVE_REPRESENTATION_ITEM('Generic','Generic'); #23602=DESCRIPTIVE_REPRESENTATION_ITEM('Generic','Generic'); #23603=DESCRIPTIVE_REPRESENTATION_ITEM('Generic','Generic'); #23604=PROPERTY_DEFINITION('material property','material name',#42520); #23605=PROPERTY_DEFINITION('material property','density of part',#42520); #23606=PROPERTY_DEFINITION('material property','material name',#42521); #23607=PROPERTY_DEFINITION('material property','density of part',#42521); #23608=PROPERTY_DEFINITION('material property','material name',#42522); #23609=PROPERTY_DEFINITION('material property','density of part',#42522); #23610=PROPERTY_DEFINITION('material property','material name',#42523); #23611=PROPERTY_DEFINITION('material property','density of part',#42523); #23612=PROPERTY_DEFINITION('material property','material name',#42524); #23613=PROPERTY_DEFINITION('material property','density of part',#42524); #23614=PROPERTY_DEFINITION('material property','material name',#42525); #23615=PROPERTY_DEFINITION('material property','density of part',#42525); #23616=PROPERTY_DEFINITION('material property','material name',#42526); #23617=PROPERTY_DEFINITION('material property','density of part',#42526); #23618=PROPERTY_DEFINITION('material property','material name',#42527); #23619=PROPERTY_DEFINITION('material property','density of part',#42527); #23620=PROPERTY_DEFINITION('material property','material name',#42528); #23621=PROPERTY_DEFINITION('material property','density of part',#42528); #23622=PROPERTY_DEFINITION('material property','material name',#42529); #23623=PROPERTY_DEFINITION('material property','density of part',#42529); #23624=PROPERTY_DEFINITION('material property','material name',#42530); #23625=PROPERTY_DEFINITION('material property','density of part',#42530); #23626=PROPERTY_DEFINITION('material property','material name',#42531); #23627=PROPERTY_DEFINITION('material property','density of part',#42531); #23628=PROPERTY_DEFINITION('material property','material name',#42532); #23629=PROPERTY_DEFINITION('material property','density of part',#42532); #23630=PROPERTY_DEFINITION('material property','material name',#42533); #23631=PROPERTY_DEFINITION('material property','density of part',#42533); #23632=PROPERTY_DEFINITION('material property','material name',#42534); #23633=PROPERTY_DEFINITION('material property','density of part',#42534); #23634=PROPERTY_DEFINITION('material property','material name',#42535); #23635=PROPERTY_DEFINITION('material property','density of part',#42535); #23636=PROPERTY_DEFINITION('material property','material name',#42536); #23637=PROPERTY_DEFINITION('material property','density of part',#42536); #23638=DATE_TIME_ROLE('creation_date'); #23639=DATE_TIME_ROLE('creation_date'); #23640=DATE_TIME_ROLE('creation_date'); #23641=DATE_TIME_ROLE('creation_date'); #23642=DATE_TIME_ROLE('creation_date'); #23643=DATE_TIME_ROLE('creation_date'); #23644=DATE_TIME_ROLE('creation_date'); #23645=DATE_TIME_ROLE('creation_date'); #23646=DATE_TIME_ROLE('creation_date'); #23647=DATE_TIME_ROLE('creation_date'); #23648=DATE_TIME_ROLE('creation_date'); #23649=DATE_TIME_ROLE('creation_date'); #23650=DATE_TIME_ROLE('creation_date'); #23651=DATE_TIME_ROLE('creation_date'); #23652=DATE_TIME_ROLE('creation_date'); #23653=DATE_TIME_ROLE('creation_date'); #23654=DATE_TIME_ROLE('creation_date'); #23655=DATE_TIME_ROLE('creation_date'); #23656=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23674,#23638,(#42519)); #23657=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23675,#23639,(#42520)); #23658=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23676,#23640,(#42521)); #23659=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23677,#23641,(#42522)); #23660=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23678,#23642,(#42523)); #23661=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23679,#23643,(#42524)); #23662=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23680,#23644,(#42525)); #23663=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23681,#23645,(#42526)); #23664=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23682,#23646,(#42527)); #23665=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23683,#23647,(#42528)); #23666=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23684,#23648,(#42529)); #23667=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23685,#23649,(#42530)); #23668=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23686,#23650,(#42531)); #23669=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23687,#23651,(#42532)); #23670=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23688,#23652,(#42533)); #23671=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23689,#23653,(#42534)); #23672=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23690,#23654,(#42535)); #23673=APPLIED_DATE_AND_TIME_ASSIGNMENT(#23691,#23655,(#42536)); #23674=DATE_AND_TIME(#23692,#23710); #23675=DATE_AND_TIME(#23693,#23711); #23676=DATE_AND_TIME(#23694,#23712); #23677=DATE_AND_TIME(#23695,#23713); #23678=DATE_AND_TIME(#23696,#23714); #23679=DATE_AND_TIME(#23697,#23715); #23680=DATE_AND_TIME(#23698,#23716); #23681=DATE_AND_TIME(#23699,#23717); #23682=DATE_AND_TIME(#23700,#23718); #23683=DATE_AND_TIME(#23701,#23719); #23684=DATE_AND_TIME(#23702,#23720); #23685=DATE_AND_TIME(#23703,#23721); #23686=DATE_AND_TIME(#23704,#23722); #23687=DATE_AND_TIME(#23705,#23723); #23688=DATE_AND_TIME(#23706,#23724); #23689=DATE_AND_TIME(#23707,#23725); #23690=DATE_AND_TIME(#23708,#23726); #23691=DATE_AND_TIME(#23709,#23727); #23692=CALENDAR_DATE(2019,2,7); #23693=CALENDAR_DATE(2018,24,3); #23694=CALENDAR_DATE(2018,19,4); #23695=CALENDAR_DATE(2018,19,4); #23696=CALENDAR_DATE(2018,19,4); #23697=CALENDAR_DATE(2018,17,10); #23698=CALENDAR_DATE(2018,19,4); #23699=CALENDAR_DATE(2018,17,10); #23700=CALENDAR_DATE(2018,17,10); #23701=CALENDAR_DATE(2018,24,3); #23702=CALENDAR_DATE(2018,6,3); #23703=CALENDAR_DATE(2018,7,3); #23704=CALENDAR_DATE(2018,28,2); #23705=CALENDAR_DATE(2018,27,3); #23706=CALENDAR_DATE(2018,28,2); #23707=CALENDAR_DATE(2018,2,4); #23708=CALENDAR_DATE(2018,27,4); #23709=CALENDAR_DATE(2018,24,10); #23710=LOCAL_TIME(14,28,9.,#23728); #23711=LOCAL_TIME(16,22,30.,#23729); #23712=LOCAL_TIME(14,15,16.,#23730); #23713=LOCAL_TIME(14,15,16.,#23731); #23714=LOCAL_TIME(14,15,16.,#23732); #23715=LOCAL_TIME(17,33,10.,#23733); #23716=LOCAL_TIME(14,15,16.,#23734); #23717=LOCAL_TIME(17,33,10.,#23735); #23718=LOCAL_TIME(17,33,10.,#23736); #23719=LOCAL_TIME(16,22,30.,#23737); #23720=LOCAL_TIME(19,50,23.,#23738); #23721=LOCAL_TIME(19,43,39.,#23739); #23722=LOCAL_TIME(20,47,56.,#23740); #23723=LOCAL_TIME(15,35,46.,#23741); #23724=LOCAL_TIME(20,47,56.,#23742); #23725=LOCAL_TIME(16,27,48.,#23743); #23726=LOCAL_TIME(19,57,2.,#23744); #23727=LOCAL_TIME(14,6,12.,#23745); #23728=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23729=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23730=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23731=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23732=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23733=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23734=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23735=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23736=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23737=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23738=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23739=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23740=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23741=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23742=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23743=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23744=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23745=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #23746=AXIS2_PLACEMENT_3D('placement',#35633,#27601,#27602); #23747=AXIS2_PLACEMENT_3D('placement',#35634,#27603,#27604); #23748=AXIS2_PLACEMENT_3D('',#35635,#27605,#27606); #23749=AXIS2_PLACEMENT_3D('',#35637,#27607,#27608); #23750=AXIS2_PLACEMENT_3D('',#35639,#27609,#27610); #23751=AXIS2_PLACEMENT_3D('',#35640,#27611,#27612); #23752=AXIS2_PLACEMENT_3D('',#35642,#27613,#27614); #23753=AXIS2_PLACEMENT_3D('',#35644,#27615,#27616); #23754=AXIS2_PLACEMENT_3D('',#35645,#27617,#27618); #23755=AXIS2_PLACEMENT_3D('',#35647,#27619,#27620); #23756=AXIS2_PLACEMENT_3D('',#35649,#27621,#27622); #23757=AXIS2_PLACEMENT_3D('',#35650,#27623,#27624); #23758=AXIS2_PLACEMENT_3D('',#35652,#27625,#27626); #23759=AXIS2_PLACEMENT_3D('',#35654,#27627,#27628); #23760=AXIS2_PLACEMENT_3D('',#35655,#27629,#27630); #23761=AXIS2_PLACEMENT_3D('',#35657,#27631,#27632); #23762=AXIS2_PLACEMENT_3D('',#35659,#27633,#27634); #23763=AXIS2_PLACEMENT_3D('',#35660,#27635,#27636); #23764=AXIS2_PLACEMENT_3D('',#35662,#27637,#27638); #23765=AXIS2_PLACEMENT_3D('',#35663,#27639,#27640); #23766=AXIS2_PLACEMENT_3D('',#35665,#27641,#27642); #23767=AXIS2_PLACEMENT_3D('',#35666,#27643,#27644); #23768=AXIS2_PLACEMENT_3D('',#35668,#27645,#27646); #23769=AXIS2_PLACEMENT_3D('',#35670,#27647,#27648); #23770=AXIS2_PLACEMENT_3D('',#35671,#27649,#27650); #23771=AXIS2_PLACEMENT_3D('',#35673,#27651,#27652); #23772=AXIS2_PLACEMENT_3D('',#35674,#27653,#27654); #23773=AXIS2_PLACEMENT_3D('',#35676,#27655,#27656); #23774=AXIS2_PLACEMENT_3D('',#35677,#27657,#27658); #23775=AXIS2_PLACEMENT_3D('',#35679,#27659,#27660); #23776=AXIS2_PLACEMENT_3D('',#35681,#27661,#27662); #23777=AXIS2_PLACEMENT_3D('',#35682,#27663,#27664); #23778=AXIS2_PLACEMENT_3D('',#35684,#27665,#27666); #23779=AXIS2_PLACEMENT_3D('',#35686,#27667,#27668); #23780=AXIS2_PLACEMENT_3D('',#35687,#27669,#27670); #23781=AXIS2_PLACEMENT_3D('',#35688,#27671,#27672); #23782=AXIS2_PLACEMENT_3D('',#35690,#27673,#27674); #23783=AXIS2_PLACEMENT_3D('',#35692,#27675,#27676); #23784=AXIS2_PLACEMENT_3D('',#35693,#27677,#27678); #23785=AXIS2_PLACEMENT_3D('',#35695,#27679,#27680); #23786=AXIS2_PLACEMENT_3D('',#35696,#27681,#27682); #23787=AXIS2_PLACEMENT_3D('',#35698,#27683,#27684); #23788=AXIS2_PLACEMENT_3D('',#35699,#27685,#27686); #23789=AXIS2_PLACEMENT_3D('',#35701,#27687,#27688); #23790=AXIS2_PLACEMENT_3D('',#35703,#27689,#27690); #23791=AXIS2_PLACEMENT_3D('',#35704,#27691,#27692); #23792=AXIS2_PLACEMENT_3D('',#35706,#27693,#27694); #23793=AXIS2_PLACEMENT_3D('',#35708,#27695,#27696); #23794=AXIS2_PLACEMENT_3D('',#35709,#27697,#27698); #23795=AXIS2_PLACEMENT_3D('',#35710,#27699,#27700); #23796=AXIS2_PLACEMENT_3D('',#35712,#27701,#27702); #23797=AXIS2_PLACEMENT_3D('',#35714,#27703,#27704); #23798=AXIS2_PLACEMENT_3D('',#35715,#27705,#27706); #23799=AXIS2_PLACEMENT_3D('',#35717,#27707,#27708); #23800=AXIS2_PLACEMENT_3D('',#35718,#27709,#27710); #23801=AXIS2_PLACEMENT_3D('',#35720,#27711,#27712); #23802=AXIS2_PLACEMENT_3D('',#35721,#27713,#27714); #23803=AXIS2_PLACEMENT_3D('',#35723,#27715,#27716); #23804=AXIS2_PLACEMENT_3D('',#35725,#27717,#27718); #23805=AXIS2_PLACEMENT_3D('',#35726,#27719,#27720); #23806=AXIS2_PLACEMENT_3D('',#35728,#27721,#27722); #23807=AXIS2_PLACEMENT_3D('',#35730,#27723,#27724); #23808=AXIS2_PLACEMENT_3D('',#35731,#27725,#27726); #23809=AXIS2_PLACEMENT_3D('',#35732,#27727,#27728); #23810=AXIS2_PLACEMENT_3D('',#35734,#27729,#27730); #23811=AXIS2_PLACEMENT_3D('',#35736,#27731,#27732); #23812=AXIS2_PLACEMENT_3D('',#35737,#27733,#27734); #23813=AXIS2_PLACEMENT_3D('',#35739,#27735,#27736); #23814=AXIS2_PLACEMENT_3D('',#35740,#27737,#27738); #23815=AXIS2_PLACEMENT_3D('',#35742,#27739,#27740); #23816=AXIS2_PLACEMENT_3D('',#35743,#27741,#27742); #23817=AXIS2_PLACEMENT_3D('',#35745,#27743,#27744); #23818=AXIS2_PLACEMENT_3D('',#35747,#27745,#27746); #23819=AXIS2_PLACEMENT_3D('',#35748,#27747,#27748); #23820=AXIS2_PLACEMENT_3D('',#35750,#27749,#27750); #23821=AXIS2_PLACEMENT_3D('',#35752,#27751,#27752); #23822=AXIS2_PLACEMENT_3D('',#35753,#27753,#27754); #23823=AXIS2_PLACEMENT_3D('',#35754,#27755,#27756); #23824=AXIS2_PLACEMENT_3D('',#35756,#27757,#27758); #23825=AXIS2_PLACEMENT_3D('',#35758,#27759,#27760); #23826=AXIS2_PLACEMENT_3D('',#35759,#27761,#27762); #23827=AXIS2_PLACEMENT_3D('',#35761,#27763,#27764); #23828=AXIS2_PLACEMENT_3D('',#35762,#27765,#27766); #23829=AXIS2_PLACEMENT_3D('',#35764,#27767,#27768); #23830=AXIS2_PLACEMENT_3D('',#35765,#27769,#27770); #23831=AXIS2_PLACEMENT_3D('',#35767,#27771,#27772); #23832=AXIS2_PLACEMENT_3D('',#35769,#27773,#27774); #23833=AXIS2_PLACEMENT_3D('',#35770,#27775,#27776); #23834=AXIS2_PLACEMENT_3D('',#35772,#27777,#27778); #23835=AXIS2_PLACEMENT_3D('',#35774,#27779,#27780); #23836=AXIS2_PLACEMENT_3D('',#35775,#27781,#27782); #23837=AXIS2_PLACEMENT_3D('',#35776,#27783,#27784); #23838=AXIS2_PLACEMENT_3D('',#35778,#27785,#27786); #23839=AXIS2_PLACEMENT_3D('',#35780,#27787,#27788); #23840=AXIS2_PLACEMENT_3D('',#35781,#27789,#27790); #23841=AXIS2_PLACEMENT_3D('',#35783,#27791,#27792); #23842=AXIS2_PLACEMENT_3D('',#35784,#27793,#27794); #23843=AXIS2_PLACEMENT_3D('',#35786,#27795,#27796); #23844=AXIS2_PLACEMENT_3D('',#35787,#27797,#27798); #23845=AXIS2_PLACEMENT_3D('',#35789,#27799,#27800); #23846=AXIS2_PLACEMENT_3D('',#35791,#27801,#27802); #23847=AXIS2_PLACEMENT_3D('',#35792,#27803,#27804); #23848=AXIS2_PLACEMENT_3D('',#35794,#27805,#27806); #23849=AXIS2_PLACEMENT_3D('',#35796,#27807,#27808); #23850=AXIS2_PLACEMENT_3D('',#35797,#27809,#27810); #23851=AXIS2_PLACEMENT_3D('',#35798,#27811,#27812); #23852=AXIS2_PLACEMENT_3D('',#35800,#27813,#27814); #23853=AXIS2_PLACEMENT_3D('',#35802,#27815,#27816); #23854=AXIS2_PLACEMENT_3D('',#35803,#27817,#27818); #23855=AXIS2_PLACEMENT_3D('',#35805,#27819,#27820); #23856=AXIS2_PLACEMENT_3D('',#35806,#27821,#27822); #23857=AXIS2_PLACEMENT_3D('',#35808,#27823,#27824); #23858=AXIS2_PLACEMENT_3D('',#35809,#27825,#27826); #23859=AXIS2_PLACEMENT_3D('',#35811,#27827,#27828); #23860=AXIS2_PLACEMENT_3D('',#35813,#27829,#27830); #23861=AXIS2_PLACEMENT_3D('',#35814,#27831,#27832); #23862=AXIS2_PLACEMENT_3D('',#35816,#27833,#27834); #23863=AXIS2_PLACEMENT_3D('',#35818,#27835,#27836); #23864=AXIS2_PLACEMENT_3D('',#35819,#27837,#27838); #23865=AXIS2_PLACEMENT_3D('',#35820,#27839,#27840); #23866=AXIS2_PLACEMENT_3D('',#35822,#27841,#27842); #23867=AXIS2_PLACEMENT_3D('',#35824,#27843,#27844); #23868=AXIS2_PLACEMENT_3D('',#35825,#27845,#27846); #23869=AXIS2_PLACEMENT_3D('',#35827,#27847,#27848); #23870=AXIS2_PLACEMENT_3D('',#35828,#27849,#27850); #23871=AXIS2_PLACEMENT_3D('',#35830,#27851,#27852); #23872=AXIS2_PLACEMENT_3D('',#35831,#27853,#27854); #23873=AXIS2_PLACEMENT_3D('',#35833,#27855,#27856); #23874=AXIS2_PLACEMENT_3D('',#35835,#27857,#27858); #23875=AXIS2_PLACEMENT_3D('',#35836,#27859,#27860); #23876=AXIS2_PLACEMENT_3D('',#35838,#27861,#27862); #23877=AXIS2_PLACEMENT_3D('',#35839,#27863,#27864); #23878=AXIS2_PLACEMENT_3D('',#35841,#27865,#27866); #23879=AXIS2_PLACEMENT_3D('',#35842,#27867,#27868); #23880=AXIS2_PLACEMENT_3D('',#35844,#27869,#27870); #23881=AXIS2_PLACEMENT_3D('',#35846,#27871,#27872); #23882=AXIS2_PLACEMENT_3D('',#35847,#27873,#27874); #23883=AXIS2_PLACEMENT_3D('',#35849,#27875,#27876); #23884=AXIS2_PLACEMENT_3D('',#35850,#27877,#27878); #23885=AXIS2_PLACEMENT_3D('',#35852,#27879,#27880); #23886=AXIS2_PLACEMENT_3D('',#35853,#27881,#27882); #23887=AXIS2_PLACEMENT_3D('',#35855,#27883,#27884); #23888=AXIS2_PLACEMENT_3D('',#35857,#27885,#27886); #23889=AXIS2_PLACEMENT_3D('',#35858,#27887,#27888); #23890=AXIS2_PLACEMENT_3D('',#35860,#27889,#27890); #23891=AXIS2_PLACEMENT_3D('',#35861,#27891,#27892); #23892=AXIS2_PLACEMENT_3D('',#35863,#27893,#27894); #23893=AXIS2_PLACEMENT_3D('',#35864,#27895,#27896); #23894=AXIS2_PLACEMENT_3D('',#35866,#27897,#27898); #23895=AXIS2_PLACEMENT_3D('',#35868,#27899,#27900); #23896=AXIS2_PLACEMENT_3D('',#35869,#27901,#27902); #23897=AXIS2_PLACEMENT_3D('',#35871,#27903,#27904); #23898=AXIS2_PLACEMENT_3D('',#35872,#27905,#27906); #23899=AXIS2_PLACEMENT_3D('',#35874,#27907,#27908); #23900=AXIS2_PLACEMENT_3D('',#35875,#27909,#27910); #23901=AXIS2_PLACEMENT_3D('',#35877,#27911,#27912); #23902=AXIS2_PLACEMENT_3D('',#35879,#27913,#27914); #23903=AXIS2_PLACEMENT_3D('',#35880,#27915,#27916); #23904=AXIS2_PLACEMENT_3D('',#35882,#27917,#27918); #23905=AXIS2_PLACEMENT_3D('',#35883,#27919,#27920); #23906=AXIS2_PLACEMENT_3D('',#35885,#27921,#27922); #23907=AXIS2_PLACEMENT_3D('',#35886,#27923,#27924); #23908=AXIS2_PLACEMENT_3D('',#35888,#27925,#27926); #23909=AXIS2_PLACEMENT_3D('',#35890,#27927,#27928); #23910=AXIS2_PLACEMENT_3D('',#35891,#27929,#27930); #23911=AXIS2_PLACEMENT_3D('',#35893,#27931,#27932); #23912=AXIS2_PLACEMENT_3D('',#35894,#27933,#27934); #23913=AXIS2_PLACEMENT_3D('',#35896,#27935,#27936); #23914=AXIS2_PLACEMENT_3D('',#35897,#27937,#27938); #23915=AXIS2_PLACEMENT_3D('',#35899,#27939,#27940); #23916=AXIS2_PLACEMENT_3D('',#35901,#27941,#27942); #23917=AXIS2_PLACEMENT_3D('',#35902,#27943,#27944); #23918=AXIS2_PLACEMENT_3D('',#35904,#27945,#27946); #23919=AXIS2_PLACEMENT_3D('',#35905,#27947,#27948); #23920=AXIS2_PLACEMENT_3D('',#35907,#27949,#27950); #23921=AXIS2_PLACEMENT_3D('',#35908,#27951,#27952); #23922=AXIS2_PLACEMENT_3D('',#35910,#27953,#27954); #23923=AXIS2_PLACEMENT_3D('',#35912,#27955,#27956); #23924=AXIS2_PLACEMENT_3D('',#35913,#27957,#27958); #23925=AXIS2_PLACEMENT_3D('',#35915,#27959,#27960); #23926=AXIS2_PLACEMENT_3D('',#35916,#27961,#27962); #23927=AXIS2_PLACEMENT_3D('',#35918,#27963,#27964); #23928=AXIS2_PLACEMENT_3D('',#35919,#27965,#27966); #23929=AXIS2_PLACEMENT_3D('',#35921,#27967,#27968); #23930=AXIS2_PLACEMENT_3D('',#35923,#27969,#27970); #23931=AXIS2_PLACEMENT_3D('',#35924,#27971,#27972); #23932=AXIS2_PLACEMENT_3D('',#35926,#27973,#27974); #23933=AXIS2_PLACEMENT_3D('',#35927,#27975,#27976); #23934=AXIS2_PLACEMENT_3D('',#35929,#27977,#27978); #23935=AXIS2_PLACEMENT_3D('',#35930,#27979,#27980); #23936=AXIS2_PLACEMENT_3D('',#35932,#27981,#27982); #23937=AXIS2_PLACEMENT_3D('',#35934,#27983,#27984); #23938=AXIS2_PLACEMENT_3D('',#35935,#27985,#27986); #23939=AXIS2_PLACEMENT_3D('',#35937,#27987,#27988); #23940=AXIS2_PLACEMENT_3D('',#35938,#27989,#27990); #23941=AXIS2_PLACEMENT_3D('',#35940,#27991,#27992); #23942=AXIS2_PLACEMENT_3D('',#35941,#27993,#27994); #23943=AXIS2_PLACEMENT_3D('',#35943,#27995,#27996); #23944=AXIS2_PLACEMENT_3D('',#35945,#27997,#27998); #23945=AXIS2_PLACEMENT_3D('',#35946,#27999,#28000); #23946=AXIS2_PLACEMENT_3D('',#35948,#28001,#28002); #23947=AXIS2_PLACEMENT_3D('',#35949,#28003,#28004); #23948=AXIS2_PLACEMENT_3D('',#35951,#28005,#28006); #23949=AXIS2_PLACEMENT_3D('',#35952,#28007,#28008); #23950=AXIS2_PLACEMENT_3D('',#35954,#28009,#28010); #23951=AXIS2_PLACEMENT_3D('',#35956,#28011,#28012); #23952=AXIS2_PLACEMENT_3D('',#35957,#28013,#28014); #23953=AXIS2_PLACEMENT_3D('',#35959,#28015,#28016); #23954=AXIS2_PLACEMENT_3D('',#35960,#28017,#28018); #23955=AXIS2_PLACEMENT_3D('',#35962,#28019,#28020); #23956=AXIS2_PLACEMENT_3D('',#35963,#28021,#28022); #23957=AXIS2_PLACEMENT_3D('',#35965,#28023,#28024); #23958=AXIS2_PLACEMENT_3D('',#35967,#28025,#28026); #23959=AXIS2_PLACEMENT_3D('',#35968,#28027,#28028); #23960=AXIS2_PLACEMENT_3D('',#35970,#28029,#28030); #23961=AXIS2_PLACEMENT_3D('',#35971,#28031,#28032); #23962=AXIS2_PLACEMENT_3D('',#35973,#28033,#28034); #23963=AXIS2_PLACEMENT_3D('',#35974,#28035,#28036); #23964=AXIS2_PLACEMENT_3D('',#35976,#28037,#28038); #23965=AXIS2_PLACEMENT_3D('',#35978,#28039,#28040); #23966=AXIS2_PLACEMENT_3D('',#35979,#28041,#28042); #23967=AXIS2_PLACEMENT_3D('',#35981,#28043,#28044); #23968=AXIS2_PLACEMENT_3D('',#35982,#28045,#28046); #23969=AXIS2_PLACEMENT_3D('',#35984,#28047,#28048); #23970=AXIS2_PLACEMENT_3D('',#35985,#28049,#28050); #23971=AXIS2_PLACEMENT_3D('',#35987,#28051,#28052); #23972=AXIS2_PLACEMENT_3D('',#35989,#28053,#28054); #23973=AXIS2_PLACEMENT_3D('',#35990,#28055,#28056); #23974=AXIS2_PLACEMENT_3D('',#35992,#28057,#28058); #23975=AXIS2_PLACEMENT_3D('',#35994,#28059,#28060); #23976=AXIS2_PLACEMENT_3D('',#35995,#28061,#28062); #23977=AXIS2_PLACEMENT_3D('',#35997,#28063,#28064); #23978=AXIS2_PLACEMENT_3D('',#35998,#28065,#28066); #23979=AXIS2_PLACEMENT_3D('',#36000,#28067,#28068); #23980=AXIS2_PLACEMENT_3D('',#36001,#28069,#28070); #23981=AXIS2_PLACEMENT_3D('',#36003,#28071,#28072); #23982=AXIS2_PLACEMENT_3D('',#36004,#28073,#28074); #23983=AXIS2_PLACEMENT_3D('',#36006,#28075,#28076); #23984=AXIS2_PLACEMENT_3D('',#36007,#28077,#28078); #23985=AXIS2_PLACEMENT_3D('',#36009,#28079,#28080); #23986=AXIS2_PLACEMENT_3D('',#36010,#28081,#28082); #23987=AXIS2_PLACEMENT_3D('',#36012,#28083,#28084); #23988=AXIS2_PLACEMENT_3D('',#36013,#28085,#28086); #23989=AXIS2_PLACEMENT_3D('',#36015,#28087,#28088); #23990=AXIS2_PLACEMENT_3D('',#36016,#28089,#28090); #23991=AXIS2_PLACEMENT_3D('',#36018,#28091,#28092); #23992=AXIS2_PLACEMENT_3D('',#36019,#28093,#28094); #23993=AXIS2_PLACEMENT_3D('',#36021,#28095,#28096); #23994=AXIS2_PLACEMENT_3D('',#36022,#28097,#28098); #23995=AXIS2_PLACEMENT_3D('',#36024,#28099,#28100); #23996=AXIS2_PLACEMENT_3D('',#36025,#28101,#28102); #23997=AXIS2_PLACEMENT_3D('',#36027,#28103,#28104); #23998=AXIS2_PLACEMENT_3D('',#36028,#28105,#28106); #23999=AXIS2_PLACEMENT_3D('',#36030,#28107,#28108); #24000=AXIS2_PLACEMENT_3D('',#36031,#28109,#28110); #24001=AXIS2_PLACEMENT_3D('',#36033,#28111,#28112); #24002=AXIS2_PLACEMENT_3D('',#36034,#28113,#28114); #24003=AXIS2_PLACEMENT_3D('',#36036,#28115,#28116); #24004=AXIS2_PLACEMENT_3D('',#36037,#28117,#28118); #24005=AXIS2_PLACEMENT_3D('',#36039,#28119,#28120); #24006=AXIS2_PLACEMENT_3D('',#36040,#28121,#28122); #24007=AXIS2_PLACEMENT_3D('',#36042,#28123,#28124); #24008=AXIS2_PLACEMENT_3D('',#36043,#28125,#28126); #24009=AXIS2_PLACEMENT_3D('',#36045,#28127,#28128); #24010=AXIS2_PLACEMENT_3D('',#36046,#28129,#28130); #24011=AXIS2_PLACEMENT_3D('',#36048,#28131,#28132); #24012=AXIS2_PLACEMENT_3D('',#36049,#28133,#28134); #24013=AXIS2_PLACEMENT_3D('',#36051,#28135,#28136); #24014=AXIS2_PLACEMENT_3D('',#36052,#28137,#28138); #24015=AXIS2_PLACEMENT_3D('',#36054,#28139,#28140); #24016=AXIS2_PLACEMENT_3D('',#36055,#28141,#28142); #24017=AXIS2_PLACEMENT_3D('',#36057,#28143,#28144); #24018=AXIS2_PLACEMENT_3D('',#36058,#28145,#28146); #24019=AXIS2_PLACEMENT_3D('',#36060,#28147,#28148); #24020=AXIS2_PLACEMENT_3D('',#36061,#28149,#28150); #24021=AXIS2_PLACEMENT_3D('',#36063,#28151,#28152); #24022=AXIS2_PLACEMENT_3D('',#36064,#28153,#28154); #24023=AXIS2_PLACEMENT_3D('',#36066,#28155,#28156); #24024=AXIS2_PLACEMENT_3D('',#36067,#28157,#28158); #24025=AXIS2_PLACEMENT_3D('',#36069,#28159,#28160); #24026=AXIS2_PLACEMENT_3D('',#36070,#28161,#28162); #24027=AXIS2_PLACEMENT_3D('',#36072,#28163,#28164); #24028=AXIS2_PLACEMENT_3D('',#36073,#28165,#28166); #24029=AXIS2_PLACEMENT_3D('',#36075,#28167,#28168); #24030=AXIS2_PLACEMENT_3D('',#36076,#28169,#28170); #24031=AXIS2_PLACEMENT_3D('',#36078,#28171,#28172); #24032=AXIS2_PLACEMENT_3D('',#36079,#28173,#28174); #24033=AXIS2_PLACEMENT_3D('',#36081,#28175,#28176); #24034=AXIS2_PLACEMENT_3D('',#36082,#28177,#28178); #24035=AXIS2_PLACEMENT_3D('',#36084,#28179,#28180); #24036=AXIS2_PLACEMENT_3D('',#36085,#28181,#28182); #24037=AXIS2_PLACEMENT_3D('',#36087,#28183,#28184); #24038=AXIS2_PLACEMENT_3D('',#36088,#28185,#28186); #24039=AXIS2_PLACEMENT_3D('',#36090,#28187,#28188); #24040=AXIS2_PLACEMENT_3D('',#36091,#28189,#28190); #24041=AXIS2_PLACEMENT_3D('',#36093,#28191,#28192); #24042=AXIS2_PLACEMENT_3D('',#36094,#28193,#28194); #24043=AXIS2_PLACEMENT_3D('',#36096,#28195,#28196); #24044=AXIS2_PLACEMENT_3D('',#36097,#28197,#28198); #24045=AXIS2_PLACEMENT_3D('',#36099,#28199,#28200); #24046=AXIS2_PLACEMENT_3D('',#36100,#28201,#28202); #24047=AXIS2_PLACEMENT_3D('',#36102,#28203,#28204); #24048=AXIS2_PLACEMENT_3D('',#36103,#28205,#28206); #24049=AXIS2_PLACEMENT_3D('',#36105,#28207,#28208); #24050=AXIS2_PLACEMENT_3D('',#36106,#28209,#28210); #24051=AXIS2_PLACEMENT_3D('',#36108,#28211,#28212); #24052=AXIS2_PLACEMENT_3D('',#36109,#28213,#28214); #24053=AXIS2_PLACEMENT_3D('',#36111,#28215,#28216); #24054=AXIS2_PLACEMENT_3D('',#36112,#28217,#28218); #24055=AXIS2_PLACEMENT_3D('',#36114,#28219,#28220); #24056=AXIS2_PLACEMENT_3D('',#36115,#28221,#28222); #24057=AXIS2_PLACEMENT_3D('',#36117,#28223,#28224); #24058=AXIS2_PLACEMENT_3D('',#36118,#28225,#28226); #24059=AXIS2_PLACEMENT_3D('',#36120,#28227,#28228); #24060=AXIS2_PLACEMENT_3D('',#36121,#28229,#28230); #24061=AXIS2_PLACEMENT_3D('',#36123,#28231,#28232); #24062=AXIS2_PLACEMENT_3D('',#36125,#28233,#28234); #24063=AXIS2_PLACEMENT_3D('',#36126,#28235,#28236); #24064=AXIS2_PLACEMENT_3D('',#36128,#28237,#28238); #24065=AXIS2_PLACEMENT_3D('',#36130,#28239,#28240); #24066=AXIS2_PLACEMENT_3D('',#36131,#28241,#28242); #24067=AXIS2_PLACEMENT_3D('',#36133,#28243,#28244); #24068=AXIS2_PLACEMENT_3D('',#36135,#28245,#28246); #24069=AXIS2_PLACEMENT_3D('',#36136,#28247,#28248); #24070=AXIS2_PLACEMENT_3D('',#36138,#28249,#28250); #24071=AXIS2_PLACEMENT_3D('',#36140,#28251,#28252); #24072=AXIS2_PLACEMENT_3D('',#36141,#28253,#28254); #24073=AXIS2_PLACEMENT_3D('',#36143,#28255,#28256); #24074=AXIS2_PLACEMENT_3D('',#36145,#28257,#28258); #24075=AXIS2_PLACEMENT_3D('',#36146,#28259,#28260); #24076=AXIS2_PLACEMENT_3D('',#36148,#28261,#28262); #24077=AXIS2_PLACEMENT_3D('',#36150,#28263,#28264); #24078=AXIS2_PLACEMENT_3D('',#36151,#28265,#28266); #24079=AXIS2_PLACEMENT_3D('',#36153,#28267,#28268); #24080=AXIS2_PLACEMENT_3D('',#36155,#28269,#28270); #24081=AXIS2_PLACEMENT_3D('',#36156,#28271,#28272); #24082=AXIS2_PLACEMENT_3D('',#36158,#28273,#28274); #24083=AXIS2_PLACEMENT_3D('',#36160,#28275,#28276); #24084=AXIS2_PLACEMENT_3D('',#36161,#28277,#28278); #24085=AXIS2_PLACEMENT_3D('',#36163,#28279,#28280); #24086=AXIS2_PLACEMENT_3D('',#36165,#28281,#28282); #24087=AXIS2_PLACEMENT_3D('',#36166,#28283,#28284); #24088=AXIS2_PLACEMENT_3D('',#36168,#28285,#28286); #24089=AXIS2_PLACEMENT_3D('',#36170,#28287,#28288); #24090=AXIS2_PLACEMENT_3D('',#36171,#28289,#28290); #24091=AXIS2_PLACEMENT_3D('',#36174,#28291,#28292); #24092=AXIS2_PLACEMENT_3D('',#36176,#28293,#28294); #24093=AXIS2_PLACEMENT_3D('',#36178,#28295,#28296); #24094=AXIS2_PLACEMENT_3D('',#36179,#28297,#28298); #24095=AXIS2_PLACEMENT_3D('',#36180,#28299,#28300); #24096=AXIS2_PLACEMENT_3D('',#36183,#28301,#28302); #24097=AXIS2_PLACEMENT_3D('',#36186,#28305,#28306); #24098=AXIS2_PLACEMENT_3D('',#36189,#28307,#28308); #24099=AXIS2_PLACEMENT_3D('',#36190,#28309,#28310); #24100=AXIS2_PLACEMENT_3D('',#36191,#28311,#28312); #24101=AXIS2_PLACEMENT_3D('',#36192,#28313,#28314); #24102=AXIS2_PLACEMENT_3D('',#36195,#28315,#28316); #24103=AXIS2_PLACEMENT_3D('',#36196,#28317,#28318); #24104=AXIS2_PLACEMENT_3D('',#36197,#28319,#28320); #24105=AXIS2_PLACEMENT_3D('',#36198,#28321,#28322); #24106=AXIS2_PLACEMENT_3D('',#36201,#28323,#28324); #24107=AXIS2_PLACEMENT_3D('',#36202,#28325,#28326); #24108=AXIS2_PLACEMENT_3D('',#36203,#28327,#28328); #24109=AXIS2_PLACEMENT_3D('',#36204,#28329,#28330); #24110=AXIS2_PLACEMENT_3D('',#36207,#28331,#28332); #24111=AXIS2_PLACEMENT_3D('',#36210,#28335,#28336); #24112=AXIS2_PLACEMENT_3D('',#36213,#28337,#28338); #24113=AXIS2_PLACEMENT_3D('',#36214,#28339,#28340); #24114=AXIS2_PLACEMENT_3D('',#36215,#28341,#28342); #24115=AXIS2_PLACEMENT_3D('',#36216,#28343,#28344); #24116=AXIS2_PLACEMENT_3D('',#36217,#28345,#28346); #24117=AXIS2_PLACEMENT_3D('',#36218,#28347,#28348); #24118=AXIS2_PLACEMENT_3D('',#36219,#28349,#28350); #24119=AXIS2_PLACEMENT_3D('',#36223,#28352,#28353); #24120=AXIS2_PLACEMENT_3D('',#36225,#28355,#28356); #24121=AXIS2_PLACEMENT_3D('',#36228,#28358,#28359); #24122=AXIS2_PLACEMENT_3D('',#36229,#28360,#28361); #24123=AXIS2_PLACEMENT_3D('',#36233,#28364,#28365); #24124=AXIS2_PLACEMENT_3D('',#36236,#28367,#28368); #24125=AXIS2_PLACEMENT_3D('',#36237,#28369,#28370); #24126=AXIS2_PLACEMENT_3D('',#36240,#28371,#28372); #24127=AXIS2_PLACEMENT_3D('',#36244,#28374,#28375); #24128=AXIS2_PLACEMENT_3D('',#36246,#28376,#28377); #24129=AXIS2_PLACEMENT_3D('',#36248,#28378,#28379); #24130=AXIS2_PLACEMENT_3D('',#36252,#28381,#28382); #24131=AXIS2_PLACEMENT_3D('',#36253,#28383,#28384); #24132=AXIS2_PLACEMENT_3D('',#36254,#28385,#28386); #24133=AXIS2_PLACEMENT_3D('',#36256,#28387,#28388); #24134=AXIS2_PLACEMENT_3D('',#36258,#28390,#28391); #24135=AXIS2_PLACEMENT_3D('',#36262,#28394,#28395); #24136=AXIS2_PLACEMENT_3D('',#36264,#28396,#28397); #24137=AXIS2_PLACEMENT_3D('',#36266,#28399,#28400); #24138=AXIS2_PLACEMENT_3D('',#36267,#28401,#28402); #24139=AXIS2_PLACEMENT_3D('',#36268,#28403,#28404); #24140=AXIS2_PLACEMENT_3D('',#36270,#28405,#28406); #24141=AXIS2_PLACEMENT_3D('',#36272,#28407,#28408); #24142=AXIS2_PLACEMENT_3D('',#36273,#28409,#28410); #24143=AXIS2_PLACEMENT_3D('',#36275,#28411,#28412); #24144=AXIS2_PLACEMENT_3D('',#36277,#28413,#28414); #24145=AXIS2_PLACEMENT_3D('',#36278,#28415,#28416); #24146=AXIS2_PLACEMENT_3D('',#36280,#28417,#28418); #24147=AXIS2_PLACEMENT_3D('',#36282,#28419,#28420); #24148=AXIS2_PLACEMENT_3D('',#36283,#28421,#28422); #24149=AXIS2_PLACEMENT_3D('',#36285,#28423,#28424); #24150=AXIS2_PLACEMENT_3D('',#36287,#28425,#28426); #24151=AXIS2_PLACEMENT_3D('',#36288,#28427,#28428); #24152=AXIS2_PLACEMENT_3D('',#36290,#28429,#28430); #24153=AXIS2_PLACEMENT_3D('',#36292,#28431,#28432); #24154=AXIS2_PLACEMENT_3D('',#36293,#28433,#28434); #24155=AXIS2_PLACEMENT_3D('',#36295,#28435,#28436); #24156=AXIS2_PLACEMENT_3D('',#36297,#28437,#28438); #24157=AXIS2_PLACEMENT_3D('',#36298,#28439,#28440); #24158=AXIS2_PLACEMENT_3D('',#36300,#28441,#28442); #24159=AXIS2_PLACEMENT_3D('',#36302,#28443,#28444); #24160=AXIS2_PLACEMENT_3D('',#36303,#28445,#28446); #24161=AXIS2_PLACEMENT_3D('',#36305,#28447,#28448); #24162=AXIS2_PLACEMENT_3D('',#36307,#28449,#28450); #24163=AXIS2_PLACEMENT_3D('',#36308,#28451,#28452); #24164=AXIS2_PLACEMENT_3D('',#36310,#28453,#28454); #24165=AXIS2_PLACEMENT_3D('',#36312,#28455,#28456); #24166=AXIS2_PLACEMENT_3D('',#36313,#28457,#28458); #24167=AXIS2_PLACEMENT_3D('',#36315,#28459,#28460); #24168=AXIS2_PLACEMENT_3D('',#36317,#28461,#28462); #24169=AXIS2_PLACEMENT_3D('',#36318,#28463,#28464); #24170=AXIS2_PLACEMENT_3D('',#36320,#28465,#28466); #24171=AXIS2_PLACEMENT_3D('',#36322,#28467,#28468); #24172=AXIS2_PLACEMENT_3D('',#36323,#28469,#28470); #24173=AXIS2_PLACEMENT_3D('',#36325,#28471,#28472); #24174=AXIS2_PLACEMENT_3D('',#36327,#28473,#28474); #24175=AXIS2_PLACEMENT_3D('',#36328,#28475,#28476); #24176=AXIS2_PLACEMENT_3D('',#36330,#28477,#28478); #24177=AXIS2_PLACEMENT_3D('',#36332,#28479,#28480); #24178=AXIS2_PLACEMENT_3D('',#36333,#28481,#28482); #24179=AXIS2_PLACEMENT_3D('',#36335,#28483,#28484); #24180=AXIS2_PLACEMENT_3D('',#36337,#28485,#28486); #24181=AXIS2_PLACEMENT_3D('',#36338,#28487,#28488); #24182=AXIS2_PLACEMENT_3D('',#36340,#28489,#28490); #24183=AXIS2_PLACEMENT_3D('',#36342,#28491,#28492); #24184=AXIS2_PLACEMENT_3D('',#36343,#28493,#28494); #24185=AXIS2_PLACEMENT_3D('',#36345,#28495,#28496); #24186=AXIS2_PLACEMENT_3D('',#36347,#28497,#28498); #24187=AXIS2_PLACEMENT_3D('',#36348,#28499,#28500); #24188=AXIS2_PLACEMENT_3D('',#36350,#28501,#28502); #24189=AXIS2_PLACEMENT_3D('',#36352,#28503,#28504); #24190=AXIS2_PLACEMENT_3D('',#36353,#28505,#28506); #24191=AXIS2_PLACEMENT_3D('',#36355,#28507,#28508); #24192=AXIS2_PLACEMENT_3D('',#36357,#28509,#28510); #24193=AXIS2_PLACEMENT_3D('',#36358,#28511,#28512); #24194=AXIS2_PLACEMENT_3D('',#36360,#28513,#28514); #24195=AXIS2_PLACEMENT_3D('',#36362,#28515,#28516); #24196=AXIS2_PLACEMENT_3D('',#36363,#28517,#28518); #24197=AXIS2_PLACEMENT_3D('',#36365,#28519,#28520); #24198=AXIS2_PLACEMENT_3D('',#36367,#28521,#28522); #24199=AXIS2_PLACEMENT_3D('',#36368,#28523,#28524); #24200=AXIS2_PLACEMENT_3D('',#36370,#28525,#28526); #24201=AXIS2_PLACEMENT_3D('',#36372,#28527,#28528); #24202=AXIS2_PLACEMENT_3D('',#36373,#28529,#28530); #24203=AXIS2_PLACEMENT_3D('',#36375,#28531,#28532); #24204=AXIS2_PLACEMENT_3D('',#36377,#28533,#28534); #24205=AXIS2_PLACEMENT_3D('',#36378,#28535,#28536); #24206=AXIS2_PLACEMENT_3D('',#36380,#28537,#28538); #24207=AXIS2_PLACEMENT_3D('',#36382,#28539,#28540); #24208=AXIS2_PLACEMENT_3D('',#36383,#28541,#28542); #24209=AXIS2_PLACEMENT_3D('',#36385,#28543,#28544); #24210=AXIS2_PLACEMENT_3D('',#36387,#28545,#28546); #24211=AXIS2_PLACEMENT_3D('',#36388,#28547,#28548); #24212=AXIS2_PLACEMENT_3D('',#36390,#28549,#28550); #24213=AXIS2_PLACEMENT_3D('',#36392,#28551,#28552); #24214=AXIS2_PLACEMENT_3D('',#36393,#28553,#28554); #24215=AXIS2_PLACEMENT_3D('',#36395,#28555,#28556); #24216=AXIS2_PLACEMENT_3D('',#36397,#28557,#28558); #24217=AXIS2_PLACEMENT_3D('',#36398,#28559,#28560); #24218=AXIS2_PLACEMENT_3D('',#36400,#28561,#28562); #24219=AXIS2_PLACEMENT_3D('',#36402,#28563,#28564); #24220=AXIS2_PLACEMENT_3D('',#36403,#28565,#28566); #24221=AXIS2_PLACEMENT_3D('',#36405,#28567,#28568); #24222=AXIS2_PLACEMENT_3D('',#36407,#28569,#28570); #24223=AXIS2_PLACEMENT_3D('',#36408,#28571,#28572); #24224=AXIS2_PLACEMENT_3D('',#36410,#28573,#28574); #24225=AXIS2_PLACEMENT_3D('',#36412,#28575,#28576); #24226=AXIS2_PLACEMENT_3D('',#36413,#28577,#28578); #24227=AXIS2_PLACEMENT_3D('',#36415,#28579,#28580); #24228=AXIS2_PLACEMENT_3D('',#36417,#28581,#28582); #24229=AXIS2_PLACEMENT_3D('',#36418,#28583,#28584); #24230=AXIS2_PLACEMENT_3D('',#36420,#28585,#28586); #24231=AXIS2_PLACEMENT_3D('',#36422,#28587,#28588); #24232=AXIS2_PLACEMENT_3D('',#36423,#28589,#28590); #24233=AXIS2_PLACEMENT_3D('',#36425,#28591,#28592); #24234=AXIS2_PLACEMENT_3D('',#36427,#28593,#28594); #24235=AXIS2_PLACEMENT_3D('',#36428,#28595,#28596); #24236=AXIS2_PLACEMENT_3D('',#36430,#28597,#28598); #24237=AXIS2_PLACEMENT_3D('',#36432,#28599,#28600); #24238=AXIS2_PLACEMENT_3D('',#36433,#28601,#28602); #24239=AXIS2_PLACEMENT_3D('',#36435,#28603,#28604); #24240=AXIS2_PLACEMENT_3D('',#36437,#28605,#28606); #24241=AXIS2_PLACEMENT_3D('',#36438,#28607,#28608); #24242=AXIS2_PLACEMENT_3D('',#36440,#28609,#28610); #24243=AXIS2_PLACEMENT_3D('',#36442,#28611,#28612); #24244=AXIS2_PLACEMENT_3D('',#36443,#28613,#28614); #24245=AXIS2_PLACEMENT_3D('',#36445,#28615,#28616); #24246=AXIS2_PLACEMENT_3D('',#36447,#28617,#28618); #24247=AXIS2_PLACEMENT_3D('',#36448,#28619,#28620); #24248=AXIS2_PLACEMENT_3D('',#36450,#28621,#28622); #24249=AXIS2_PLACEMENT_3D('',#36452,#28623,#28624); #24250=AXIS2_PLACEMENT_3D('',#36453,#28625,#28626); #24251=AXIS2_PLACEMENT_3D('',#36455,#28627,#28628); #24252=AXIS2_PLACEMENT_3D('',#36457,#28629,#28630); #24253=AXIS2_PLACEMENT_3D('',#36458,#28631,#28632); #24254=AXIS2_PLACEMENT_3D('',#36460,#28633,#28634); #24255=AXIS2_PLACEMENT_3D('',#36462,#28635,#28636); #24256=AXIS2_PLACEMENT_3D('',#36463,#28637,#28638); #24257=AXIS2_PLACEMENT_3D('',#36465,#28639,#28640); #24258=AXIS2_PLACEMENT_3D('',#36467,#28641,#28642); #24259=AXIS2_PLACEMENT_3D('',#36468,#28643,#28644); #24260=AXIS2_PLACEMENT_3D('',#36470,#28645,#28646); #24261=AXIS2_PLACEMENT_3D('',#36472,#28647,#28648); #24262=AXIS2_PLACEMENT_3D('',#36473,#28649,#28650); #24263=AXIS2_PLACEMENT_3D('',#36475,#28651,#28652); #24264=AXIS2_PLACEMENT_3D('',#36477,#28653,#28654); #24265=AXIS2_PLACEMENT_3D('',#36478,#28655,#28656); #24266=AXIS2_PLACEMENT_3D('',#36480,#28657,#28658); #24267=AXIS2_PLACEMENT_3D('',#36482,#28659,#28660); #24268=AXIS2_PLACEMENT_3D('',#36483,#28661,#28662); #24269=AXIS2_PLACEMENT_3D('',#36485,#28663,#28664); #24270=AXIS2_PLACEMENT_3D('',#36487,#28665,#28666); #24271=AXIS2_PLACEMENT_3D('',#36488,#28667,#28668); #24272=AXIS2_PLACEMENT_3D('',#36490,#28669,#28670); #24273=AXIS2_PLACEMENT_3D('',#36492,#28671,#28672); #24274=AXIS2_PLACEMENT_3D('',#36493,#28673,#28674); #24275=AXIS2_PLACEMENT_3D('',#36495,#28675,#28676); #24276=AXIS2_PLACEMENT_3D('',#36497,#28677,#28678); #24277=AXIS2_PLACEMENT_3D('',#36498,#28679,#28680); #24278=AXIS2_PLACEMENT_3D('',#36500,#28681,#28682); #24279=AXIS2_PLACEMENT_3D('',#36502,#28683,#28684); #24280=AXIS2_PLACEMENT_3D('',#36503,#28685,#28686); #24281=AXIS2_PLACEMENT_3D('',#36505,#28687,#28688); #24282=AXIS2_PLACEMENT_3D('',#36507,#28689,#28690); #24283=AXIS2_PLACEMENT_3D('',#36508,#28691,#28692); #24284=AXIS2_PLACEMENT_3D('',#36510,#28693,#28694); #24285=AXIS2_PLACEMENT_3D('',#36512,#28695,#28696); #24286=AXIS2_PLACEMENT_3D('',#36513,#28697,#28698); #24287=AXIS2_PLACEMENT_3D('',#36515,#28699,#28700); #24288=AXIS2_PLACEMENT_3D('',#36517,#28701,#28702); #24289=AXIS2_PLACEMENT_3D('',#36518,#28703,#28704); #24290=AXIS2_PLACEMENT_3D('',#36520,#28705,#28706); #24291=AXIS2_PLACEMENT_3D('',#36522,#28707,#28708); #24292=AXIS2_PLACEMENT_3D('',#36523,#28709,#28710); #24293=AXIS2_PLACEMENT_3D('',#36525,#28711,#28712); #24294=AXIS2_PLACEMENT_3D('',#36527,#28713,#28714); #24295=AXIS2_PLACEMENT_3D('',#36528,#28715,#28716); #24296=AXIS2_PLACEMENT_3D('',#36530,#28717,#28718); #24297=AXIS2_PLACEMENT_3D('',#36532,#28719,#28720); #24298=AXIS2_PLACEMENT_3D('',#36533,#28721,#28722); #24299=AXIS2_PLACEMENT_3D('',#36535,#28723,#28724); #24300=AXIS2_PLACEMENT_3D('',#36537,#28725,#28726); #24301=AXIS2_PLACEMENT_3D('',#36538,#28727,#28728); #24302=AXIS2_PLACEMENT_3D('',#36540,#28729,#28730); #24303=AXIS2_PLACEMENT_3D('',#36542,#28731,#28732); #24304=AXIS2_PLACEMENT_3D('',#36543,#28733,#28734); #24305=AXIS2_PLACEMENT_3D('',#36546,#28735,#28736); #24306=AXIS2_PLACEMENT_3D('',#36550,#28738,#28739); #24307=AXIS2_PLACEMENT_3D('',#36552,#28741,#28742); #24308=AXIS2_PLACEMENT_3D('',#36554,#28743,#28744); #24309=AXIS2_PLACEMENT_3D('',#36556,#28745,#28746); #24310=AXIS2_PLACEMENT_3D('',#36558,#28748,#28749); #24311=AXIS2_PLACEMENT_3D('',#36560,#28750,#28751); #24312=AXIS2_PLACEMENT_3D('',#36562,#28752,#28753); #24313=AXIS2_PLACEMENT_3D('',#36564,#28755,#28756); #24314=AXIS2_PLACEMENT_3D('',#36570,#28760,#28761); #24315=AXIS2_PLACEMENT_3D('',#36574,#28763,#28764); #24316=AXIS2_PLACEMENT_3D('',#36576,#28766,#28767); #24317=AXIS2_PLACEMENT_3D('',#36580,#28770,#28771); #24318=AXIS2_PLACEMENT_3D('',#36582,#28772,#28773); #24319=AXIS2_PLACEMENT_3D('',#36584,#28775,#28776); #24320=AXIS2_PLACEMENT_3D('',#36586,#28777,#28778); #24321=AXIS2_PLACEMENT_3D('',#36588,#28780,#28781); #24322=AXIS2_PLACEMENT_3D('',#36590,#28782,#28783); #24323=AXIS2_PLACEMENT_3D('',#36592,#28784,#28785); #24324=AXIS2_PLACEMENT_3D('',#36594,#28787,#28788); #24325=AXIS2_PLACEMENT_3D('',#36596,#28789,#28790); #24326=AXIS2_PLACEMENT_3D('',#36598,#28792,#28793); #24327=AXIS2_PLACEMENT_3D('',#36602,#28796,#28797); #24328=AXIS2_PLACEMENT_3D('',#36604,#28798,#28799); #24329=AXIS2_PLACEMENT_3D('',#36606,#28801,#28802); #24330=AXIS2_PLACEMENT_3D('',#36607,#28803,#28804); #24331=AXIS2_PLACEMENT_3D('',#36608,#28805,#28806); #24332=AXIS2_PLACEMENT_3D('',#36614,#28810,#28811); #24333=AXIS2_PLACEMENT_3D('',#36616,#28812,#28813); #24334=AXIS2_PLACEMENT_3D('',#36617,#28814,#28815); #24335=AXIS2_PLACEMENT_3D('',#36618,#28816,#28817); #24336=AXIS2_PLACEMENT_3D('',#36620,#28818,#28819); #24337=AXIS2_PLACEMENT_3D('',#36622,#28821,#28822); #24338=AXIS2_PLACEMENT_3D('',#36623,#28823,#28824); #24339=AXIS2_PLACEMENT_3D('',#36624,#28825,#28826); #24340=AXIS2_PLACEMENT_3D('',#36626,#28827,#28828); #24341=AXIS2_PLACEMENT_3D('',#36627,#28829,#28830); #24342=AXIS2_PLACEMENT_3D('',#36629,#28831,#28832); #24343=AXIS2_PLACEMENT_3D('',#36630,#28833,#28834); #24344=AXIS2_PLACEMENT_3D('',#36632,#28835,#28836); #24345=AXIS2_PLACEMENT_3D('',#36633,#28837,#28838); #24346=AXIS2_PLACEMENT_3D('',#36635,#28839,#28840); #24347=AXIS2_PLACEMENT_3D('',#36636,#28841,#28842); #24348=AXIS2_PLACEMENT_3D('',#36638,#28843,#28844); #24349=AXIS2_PLACEMENT_3D('',#36639,#28845,#28846); #24350=AXIS2_PLACEMENT_3D('',#36641,#28847,#28848); #24351=AXIS2_PLACEMENT_3D('',#36642,#28849,#28850); #24352=AXIS2_PLACEMENT_3D('',#36644,#28851,#28852); #24353=AXIS2_PLACEMENT_3D('',#36645,#28853,#28854); #24354=AXIS2_PLACEMENT_3D('',#36647,#28855,#28856); #24355=AXIS2_PLACEMENT_3D('',#36648,#28857,#28858); #24356=AXIS2_PLACEMENT_3D('',#36650,#28859,#28860); #24357=AXIS2_PLACEMENT_3D('',#36651,#28861,#28862); #24358=AXIS2_PLACEMENT_3D('',#36653,#28863,#28864); #24359=AXIS2_PLACEMENT_3D('',#36654,#28865,#28866); #24360=AXIS2_PLACEMENT_3D('',#36656,#28867,#28868); #24361=AXIS2_PLACEMENT_3D('',#36657,#28869,#28870); #24362=AXIS2_PLACEMENT_3D('',#36659,#28871,#28872); #24363=AXIS2_PLACEMENT_3D('',#36660,#28873,#28874); #24364=AXIS2_PLACEMENT_3D('',#36662,#28875,#28876); #24365=AXIS2_PLACEMENT_3D('',#36663,#28877,#28878); #24366=AXIS2_PLACEMENT_3D('',#36665,#28879,#28880); #24367=AXIS2_PLACEMENT_3D('',#36666,#28881,#28882); #24368=AXIS2_PLACEMENT_3D('',#36668,#28883,#28884); #24369=AXIS2_PLACEMENT_3D('',#36669,#28885,#28886); #24370=AXIS2_PLACEMENT_3D('',#36671,#28887,#28888); #24371=AXIS2_PLACEMENT_3D('',#36672,#28889,#28890); #24372=AXIS2_PLACEMENT_3D('',#36674,#28891,#28892); #24373=AXIS2_PLACEMENT_3D('',#36675,#28893,#28894); #24374=AXIS2_PLACEMENT_3D('',#36677,#28895,#28896); #24375=AXIS2_PLACEMENT_3D('',#36678,#28897,#28898); #24376=AXIS2_PLACEMENT_3D('',#36680,#28899,#28900); #24377=AXIS2_PLACEMENT_3D('',#36681,#28901,#28902); #24378=AXIS2_PLACEMENT_3D('',#36683,#28903,#28904); #24379=AXIS2_PLACEMENT_3D('',#36684,#28905,#28906); #24380=AXIS2_PLACEMENT_3D('',#36686,#28907,#28908); #24381=AXIS2_PLACEMENT_3D('',#36687,#28909,#28910); #24382=AXIS2_PLACEMENT_3D('',#36689,#28911,#28912); #24383=AXIS2_PLACEMENT_3D('',#36690,#28913,#28914); #24384=AXIS2_PLACEMENT_3D('',#36692,#28915,#28916); #24385=AXIS2_PLACEMENT_3D('',#36693,#28917,#28918); #24386=AXIS2_PLACEMENT_3D('',#36695,#28919,#28920); #24387=AXIS2_PLACEMENT_3D('',#36696,#28921,#28922); #24388=AXIS2_PLACEMENT_3D('',#36698,#28923,#28924); #24389=AXIS2_PLACEMENT_3D('',#36699,#28925,#28926); #24390=AXIS2_PLACEMENT_3D('',#36701,#28927,#28928); #24391=AXIS2_PLACEMENT_3D('',#36702,#28929,#28930); #24392=AXIS2_PLACEMENT_3D('',#36704,#28931,#28932); #24393=AXIS2_PLACEMENT_3D('',#36705,#28933,#28934); #24394=AXIS2_PLACEMENT_3D('',#36707,#28935,#28936); #24395=AXIS2_PLACEMENT_3D('',#36708,#28937,#28938); #24396=AXIS2_PLACEMENT_3D('',#36710,#28939,#28940); #24397=AXIS2_PLACEMENT_3D('',#36711,#28941,#28942); #24398=AXIS2_PLACEMENT_3D('',#36713,#28943,#28944); #24399=AXIS2_PLACEMENT_3D('',#36714,#28945,#28946); #24400=AXIS2_PLACEMENT_3D('',#36716,#28947,#28948); #24401=AXIS2_PLACEMENT_3D('',#36717,#28949,#28950); #24402=AXIS2_PLACEMENT_3D('',#36719,#28951,#28952); #24403=AXIS2_PLACEMENT_3D('',#36720,#28953,#28954); #24404=AXIS2_PLACEMENT_3D('',#36722,#28955,#28956); #24405=AXIS2_PLACEMENT_3D('',#36723,#28957,#28958); #24406=AXIS2_PLACEMENT_3D('',#36725,#28959,#28960); #24407=AXIS2_PLACEMENT_3D('',#36726,#28961,#28962); #24408=AXIS2_PLACEMENT_3D('',#36728,#28963,#28964); #24409=AXIS2_PLACEMENT_3D('',#36729,#28965,#28966); #24410=AXIS2_PLACEMENT_3D('',#36731,#28967,#28968); #24411=AXIS2_PLACEMENT_3D('',#36732,#28969,#28970); #24412=AXIS2_PLACEMENT_3D('',#36734,#28971,#28972); #24413=AXIS2_PLACEMENT_3D('',#36735,#28973,#28974); #24414=AXIS2_PLACEMENT_3D('',#36737,#28975,#28976); #24415=AXIS2_PLACEMENT_3D('',#36738,#28977,#28978); #24416=AXIS2_PLACEMENT_3D('',#36740,#28979,#28980); #24417=AXIS2_PLACEMENT_3D('',#36741,#28981,#28982); #24418=AXIS2_PLACEMENT_3D('',#36743,#28983,#28984); #24419=AXIS2_PLACEMENT_3D('',#36744,#28985,#28986); #24420=AXIS2_PLACEMENT_3D('',#36746,#28987,#28988); #24421=AXIS2_PLACEMENT_3D('',#36747,#28989,#28990); #24422=AXIS2_PLACEMENT_3D('',#36749,#28991,#28992); #24423=AXIS2_PLACEMENT_3D('',#36750,#28993,#28994); #24424=AXIS2_PLACEMENT_3D('',#36752,#28995,#28996); #24425=AXIS2_PLACEMENT_3D('',#36753,#28997,#28998); #24426=AXIS2_PLACEMENT_3D('',#36755,#28999,#29000); #24427=AXIS2_PLACEMENT_3D('',#36756,#29001,#29002); #24428=AXIS2_PLACEMENT_3D('',#36758,#29003,#29004); #24429=AXIS2_PLACEMENT_3D('',#36759,#29005,#29006); #24430=AXIS2_PLACEMENT_3D('',#36761,#29007,#29008); #24431=AXIS2_PLACEMENT_3D('',#36762,#29009,#29010); #24432=AXIS2_PLACEMENT_3D('',#36764,#29011,#29012); #24433=AXIS2_PLACEMENT_3D('',#36765,#29013,#29014); #24434=AXIS2_PLACEMENT_3D('',#36767,#29015,#29016); #24435=AXIS2_PLACEMENT_3D('',#36768,#29017,#29018); #24436=AXIS2_PLACEMENT_3D('',#36770,#29019,#29020); #24437=AXIS2_PLACEMENT_3D('',#36771,#29021,#29022); #24438=AXIS2_PLACEMENT_3D('',#36773,#29023,#29024); #24439=AXIS2_PLACEMENT_3D('',#36774,#29025,#29026); #24440=AXIS2_PLACEMENT_3D('',#36776,#29027,#29028); #24441=AXIS2_PLACEMENT_3D('',#36777,#29029,#29030); #24442=AXIS2_PLACEMENT_3D('',#36779,#29031,#29032); #24443=AXIS2_PLACEMENT_3D('',#36780,#29033,#29034); #24444=AXIS2_PLACEMENT_3D('',#36782,#29035,#29036); #24445=AXIS2_PLACEMENT_3D('',#36783,#29037,#29038); #24446=AXIS2_PLACEMENT_3D('',#36785,#29039,#29040); #24447=AXIS2_PLACEMENT_3D('',#36786,#29041,#29042); #24448=AXIS2_PLACEMENT_3D('',#36788,#29043,#29044); #24449=AXIS2_PLACEMENT_3D('',#36789,#29045,#29046); #24450=AXIS2_PLACEMENT_3D('',#36791,#29047,#29048); #24451=AXIS2_PLACEMENT_3D('',#36792,#29049,#29050); #24452=AXIS2_PLACEMENT_3D('',#36794,#29051,#29052); #24453=AXIS2_PLACEMENT_3D('',#36795,#29053,#29054); #24454=AXIS2_PLACEMENT_3D('',#36797,#29055,#29056); #24455=AXIS2_PLACEMENT_3D('',#36798,#29057,#29058); #24456=AXIS2_PLACEMENT_3D('',#36800,#29059,#29060); #24457=AXIS2_PLACEMENT_3D('',#36801,#29061,#29062); #24458=AXIS2_PLACEMENT_3D('',#36803,#29063,#29064); #24459=AXIS2_PLACEMENT_3D('',#36804,#29065,#29066); #24460=AXIS2_PLACEMENT_3D('',#36806,#29067,#29068); #24461=AXIS2_PLACEMENT_3D('',#36807,#29069,#29070); #24462=AXIS2_PLACEMENT_3D('',#36809,#29071,#29072); #24463=AXIS2_PLACEMENT_3D('',#36810,#29073,#29074); #24464=AXIS2_PLACEMENT_3D('',#36812,#29075,#29076); #24465=AXIS2_PLACEMENT_3D('',#36813,#29077,#29078); #24466=AXIS2_PLACEMENT_3D('',#36815,#29079,#29080); #24467=AXIS2_PLACEMENT_3D('',#36816,#29081,#29082); #24468=AXIS2_PLACEMENT_3D('',#36818,#29083,#29084); #24469=AXIS2_PLACEMENT_3D('',#36819,#29085,#29086); #24470=AXIS2_PLACEMENT_3D('',#36821,#29087,#29088); #24471=AXIS2_PLACEMENT_3D('',#36822,#29089,#29090); #24472=AXIS2_PLACEMENT_3D('',#36824,#29091,#29092); #24473=AXIS2_PLACEMENT_3D('',#36825,#29093,#29094); #24474=AXIS2_PLACEMENT_3D('',#36827,#29095,#29096); #24475=AXIS2_PLACEMENT_3D('',#36828,#29097,#29098); #24476=AXIS2_PLACEMENT_3D('',#36830,#29099,#29100); #24477=AXIS2_PLACEMENT_3D('',#36831,#29101,#29102); #24478=AXIS2_PLACEMENT_3D('',#36833,#29103,#29104); #24479=AXIS2_PLACEMENT_3D('',#36834,#29105,#29106); #24480=AXIS2_PLACEMENT_3D('',#36843,#29111,#29112); #24481=AXIS2_PLACEMENT_3D('',#36851,#29116,#29117); #24482=AXIS2_PLACEMENT_3D('',#36853,#29119,#29120); #24483=AXIS2_PLACEMENT_3D('',#36857,#29123,#29124); #24484=AXIS2_PLACEMENT_3D('',#36861,#29127,#29128); #24485=AXIS2_PLACEMENT_3D('',#36865,#29131,#29132); #24486=AXIS2_PLACEMENT_3D('',#36868,#29134,#29135); #24487=AXIS2_PLACEMENT_3D('',#36869,#29136,#29137); #24488=AXIS2_PLACEMENT_3D('',#36871,#29139,#29140); #24489=AXIS2_PLACEMENT_3D('placement',#36872,#29141,#29142); #24490=AXIS2_PLACEMENT_3D('',#36873,#29143,#29144); #24491=AXIS2_PLACEMENT_3D('',#36875,#29145,#29146); #24492=AXIS2_PLACEMENT_3D('',#36876,#29147,#29148); #24493=AXIS2_PLACEMENT_3D('',#36878,#29149,#29150); #24494=AXIS2_PLACEMENT_3D('',#36879,#29151,#29152); #24495=AXIS2_PLACEMENT_3D('',#36881,#29153,#29154); #24496=AXIS2_PLACEMENT_3D('',#36882,#29155,#29156); #24497=AXIS2_PLACEMENT_3D('',#36884,#29157,#29158); #24498=AXIS2_PLACEMENT_3D('',#36885,#29159,#29160); #24499=AXIS2_PLACEMENT_3D('',#36887,#29161,#29162); #24500=AXIS2_PLACEMENT_3D('',#36888,#29163,#29164); #24501=AXIS2_PLACEMENT_3D('',#36890,#29165,#29166); #24502=AXIS2_PLACEMENT_3D('',#36891,#29167,#29168); #24503=AXIS2_PLACEMENT_3D('',#36893,#29169,#29170); #24504=AXIS2_PLACEMENT_3D('',#36894,#29171,#29172); #24505=AXIS2_PLACEMENT_3D('',#36896,#29173,#29174); #24506=AXIS2_PLACEMENT_3D('',#36897,#29175,#29176); #24507=AXIS2_PLACEMENT_3D('',#36899,#29177,#29178); #24508=AXIS2_PLACEMENT_3D('',#36900,#29179,#29180); #24509=AXIS2_PLACEMENT_3D('',#36902,#29181,#29182); #24510=AXIS2_PLACEMENT_3D('',#36903,#29183,#29184); #24511=AXIS2_PLACEMENT_3D('',#36905,#29185,#29186); #24512=AXIS2_PLACEMENT_3D('',#36906,#29187,#29188); #24513=AXIS2_PLACEMENT_3D('',#36908,#29189,#29190); #24514=AXIS2_PLACEMENT_3D('',#36909,#29191,#29192); #24515=AXIS2_PLACEMENT_3D('',#36911,#29193,#29194); #24516=AXIS2_PLACEMENT_3D('',#36912,#29195,#29196); #24517=AXIS2_PLACEMENT_3D('',#36914,#29197,#29198); #24518=AXIS2_PLACEMENT_3D('',#36915,#29199,#29200); #24519=AXIS2_PLACEMENT_3D('',#36917,#29201,#29202); #24520=AXIS2_PLACEMENT_3D('',#36919,#29203,#29204); #24521=AXIS2_PLACEMENT_3D('',#36920,#29205,#29206); #24522=AXIS2_PLACEMENT_3D('',#36922,#29207,#29208); #24523=AXIS2_PLACEMENT_3D('',#36924,#29209,#29210); #24524=AXIS2_PLACEMENT_3D('',#36925,#29211,#29212); #24525=AXIS2_PLACEMENT_3D('',#36927,#29213,#29214); #24526=AXIS2_PLACEMENT_3D('',#36929,#29215,#29216); #24527=AXIS2_PLACEMENT_3D('',#36930,#29217,#29218); #24528=AXIS2_PLACEMENT_3D('',#36932,#29219,#29220); #24529=AXIS2_PLACEMENT_3D('',#36934,#29221,#29222); #24530=AXIS2_PLACEMENT_3D('',#36935,#29223,#29224); #24531=AXIS2_PLACEMENT_3D('',#36937,#29225,#29226); #24532=AXIS2_PLACEMENT_3D('',#36939,#29227,#29228); #24533=AXIS2_PLACEMENT_3D('',#36940,#29229,#29230); #24534=AXIS2_PLACEMENT_3D('',#36942,#29231,#29232); #24535=AXIS2_PLACEMENT_3D('',#36944,#29233,#29234); #24536=AXIS2_PLACEMENT_3D('',#36945,#29235,#29236); #24537=AXIS2_PLACEMENT_3D('',#36947,#29237,#29238); #24538=AXIS2_PLACEMENT_3D('',#36949,#29239,#29240); #24539=AXIS2_PLACEMENT_3D('',#36950,#29241,#29242); #24540=AXIS2_PLACEMENT_3D('',#36952,#29243,#29244); #24541=AXIS2_PLACEMENT_3D('',#36954,#29245,#29246); #24542=AXIS2_PLACEMENT_3D('',#36955,#29247,#29248); #24543=AXIS2_PLACEMENT_3D('',#36957,#29249,#29250); #24544=AXIS2_PLACEMENT_3D('',#36959,#29251,#29252); #24545=AXIS2_PLACEMENT_3D('',#36960,#29253,#29254); #24546=AXIS2_PLACEMENT_3D('',#36962,#29255,#29256); #24547=AXIS2_PLACEMENT_3D('',#36964,#29257,#29258); #24548=AXIS2_PLACEMENT_3D('',#36965,#29259,#29260); #24549=AXIS2_PLACEMENT_3D('',#36967,#29261,#29262); #24550=AXIS2_PLACEMENT_3D('',#36969,#29263,#29264); #24551=AXIS2_PLACEMENT_3D('',#36970,#29265,#29266); #24552=AXIS2_PLACEMENT_3D('',#36972,#29267,#29268); #24553=AXIS2_PLACEMENT_3D('',#36974,#29269,#29270); #24554=AXIS2_PLACEMENT_3D('',#36975,#29271,#29272); #24555=AXIS2_PLACEMENT_3D('',#36977,#29273,#29274); #24556=AXIS2_PLACEMENT_3D('',#36979,#29275,#29276); #24557=AXIS2_PLACEMENT_3D('',#36980,#29277,#29278); #24558=AXIS2_PLACEMENT_3D('',#36982,#29279,#29280); #24559=AXIS2_PLACEMENT_3D('',#36984,#29281,#29282); #24560=AXIS2_PLACEMENT_3D('',#36985,#29283,#29284); #24561=AXIS2_PLACEMENT_3D('',#36987,#29285,#29286); #24562=AXIS2_PLACEMENT_3D('',#36989,#29287,#29288); #24563=AXIS2_PLACEMENT_3D('',#36990,#29289,#29290); #24564=AXIS2_PLACEMENT_3D('',#36992,#29291,#29292); #24565=AXIS2_PLACEMENT_3D('',#36994,#29293,#29294); #24566=AXIS2_PLACEMENT_3D('',#36995,#29295,#29296); #24567=AXIS2_PLACEMENT_3D('',#37002,#29299,#29300); #24568=AXIS2_PLACEMENT_3D('',#37004,#29302,#29303); #24569=AXIS2_PLACEMENT_3D('',#37010,#29307,#29308); #24570=AXIS2_PLACEMENT_3D('',#37012,#29309,#29310); #24571=AXIS2_PLACEMENT_3D('',#37016,#29313,#29314); #24572=AXIS2_PLACEMENT_3D('',#37018,#29315,#29316); #24573=AXIS2_PLACEMENT_3D('',#37020,#29317,#29318); #24574=AXIS2_PLACEMENT_3D('',#37024,#29320,#29321); #24575=AXIS2_PLACEMENT_3D('',#37026,#29322,#29323); #24576=AXIS2_PLACEMENT_3D('',#37028,#29324,#29325); #24577=AXIS2_PLACEMENT_3D('',#37029,#29326,#29327); #24578=AXIS2_PLACEMENT_3D('',#37030,#29328,#29329); #24579=AXIS2_PLACEMENT_3D('',#37036,#29333,#29334); #24580=AXIS2_PLACEMENT_3D('',#37038,#29336,#29337); #24581=AXIS2_PLACEMENT_3D('',#37048,#29343,#29344); #24582=AXIS2_PLACEMENT_3D('',#37050,#29346,#29347); #24583=AXIS2_PLACEMENT_3D('',#37052,#29349,#29350); #24584=AXIS2_PLACEMENT_3D('',#37054,#29352,#29353); #24585=AXIS2_PLACEMENT_3D('',#37056,#29355,#29356); #24586=AXIS2_PLACEMENT_3D('placement',#37057,#29357,#29358); #24587=AXIS2_PLACEMENT_3D('',#37058,#29359,#29360); #24588=AXIS2_PLACEMENT_3D('',#37060,#29361,#29362); #24589=AXIS2_PLACEMENT_3D('',#37061,#29363,#29364); #24590=AXIS2_PLACEMENT_3D('',#37063,#29365,#29366); #24591=AXIS2_PLACEMENT_3D('',#37064,#29367,#29368); #24592=AXIS2_PLACEMENT_3D('',#37066,#29369,#29370); #24593=AXIS2_PLACEMENT_3D('',#37067,#29371,#29372); #24594=AXIS2_PLACEMENT_3D('',#37069,#29373,#29374); #24595=AXIS2_PLACEMENT_3D('',#37070,#29375,#29376); #24596=AXIS2_PLACEMENT_3D('',#37072,#29377,#29378); #24597=AXIS2_PLACEMENT_3D('',#37073,#29379,#29380); #24598=AXIS2_PLACEMENT_3D('',#37075,#29381,#29382); #24599=AXIS2_PLACEMENT_3D('',#37076,#29383,#29384); #24600=AXIS2_PLACEMENT_3D('',#37078,#29385,#29386); #24601=AXIS2_PLACEMENT_3D('',#37079,#29387,#29388); #24602=AXIS2_PLACEMENT_3D('',#37081,#29389,#29390); #24603=AXIS2_PLACEMENT_3D('',#37082,#29391,#29392); #24604=AXIS2_PLACEMENT_3D('',#37084,#29393,#29394); #24605=AXIS2_PLACEMENT_3D('',#37085,#29395,#29396); #24606=AXIS2_PLACEMENT_3D('',#37087,#29397,#29398); #24607=AXIS2_PLACEMENT_3D('',#37088,#29399,#29400); #24608=AXIS2_PLACEMENT_3D('',#37090,#29401,#29402); #24609=AXIS2_PLACEMENT_3D('',#37092,#29403,#29404); #24610=AXIS2_PLACEMENT_3D('',#37093,#29405,#29406); #24611=AXIS2_PLACEMENT_3D('',#37095,#29407,#29408); #24612=AXIS2_PLACEMENT_3D('',#37097,#29409,#29410); #24613=AXIS2_PLACEMENT_3D('',#37098,#29411,#29412); #24614=AXIS2_PLACEMENT_3D('',#37100,#29413,#29414); #24615=AXIS2_PLACEMENT_3D('',#37102,#29415,#29416); #24616=AXIS2_PLACEMENT_3D('',#37103,#29417,#29418); #24617=AXIS2_PLACEMENT_3D('',#37105,#29419,#29420); #24618=AXIS2_PLACEMENT_3D('',#37107,#29421,#29422); #24619=AXIS2_PLACEMENT_3D('',#37108,#29423,#29424); #24620=AXIS2_PLACEMENT_3D('',#37110,#29425,#29426); #24621=AXIS2_PLACEMENT_3D('',#37112,#29427,#29428); #24622=AXIS2_PLACEMENT_3D('',#37113,#29429,#29430); #24623=AXIS2_PLACEMENT_3D('',#37115,#29431,#29432); #24624=AXIS2_PLACEMENT_3D('',#37117,#29433,#29434); #24625=AXIS2_PLACEMENT_3D('',#37118,#29435,#29436); #24626=AXIS2_PLACEMENT_3D('',#37120,#29437,#29438); #24627=AXIS2_PLACEMENT_3D('',#37122,#29439,#29440); #24628=AXIS2_PLACEMENT_3D('',#37123,#29441,#29442); #24629=AXIS2_PLACEMENT_3D('',#37125,#29443,#29444); #24630=AXIS2_PLACEMENT_3D('',#37127,#29445,#29446); #24631=AXIS2_PLACEMENT_3D('',#37128,#29447,#29448); #24632=AXIS2_PLACEMENT_3D('',#37130,#29449,#29450); #24633=AXIS2_PLACEMENT_3D('',#37132,#29451,#29452); #24634=AXIS2_PLACEMENT_3D('',#37133,#29453,#29454); #24635=AXIS2_PLACEMENT_3D('',#37135,#29455,#29456); #24636=AXIS2_PLACEMENT_3D('',#37137,#29457,#29458); #24637=AXIS2_PLACEMENT_3D('',#37138,#29459,#29460); #24638=AXIS2_PLACEMENT_3D('',#37140,#29461,#29462); #24639=AXIS2_PLACEMENT_3D('',#37142,#29463,#29464); #24640=AXIS2_PLACEMENT_3D('',#37143,#29465,#29466); #24641=AXIS2_PLACEMENT_3D('',#37145,#29467,#29468); #24642=AXIS2_PLACEMENT_3D('',#37147,#29469,#29470); #24643=AXIS2_PLACEMENT_3D('',#37148,#29471,#29472); #24644=AXIS2_PLACEMENT_3D('',#37150,#29473,#29474); #24645=AXIS2_PLACEMENT_3D('',#37152,#29475,#29476); #24646=AXIS2_PLACEMENT_3D('',#37153,#29477,#29478); #24647=AXIS2_PLACEMENT_3D('',#37155,#29479,#29480); #24648=AXIS2_PLACEMENT_3D('',#37157,#29481,#29482); #24649=AXIS2_PLACEMENT_3D('',#37158,#29483,#29484); #24650=AXIS2_PLACEMENT_3D('',#37160,#29485,#29486); #24651=AXIS2_PLACEMENT_3D('',#37162,#29487,#29488); #24652=AXIS2_PLACEMENT_3D('',#37163,#29489,#29490); #24653=AXIS2_PLACEMENT_3D('',#37165,#29491,#29492); #24654=AXIS2_PLACEMENT_3D('',#37167,#29493,#29494); #24655=AXIS2_PLACEMENT_3D('',#37168,#29495,#29496); #24656=AXIS2_PLACEMENT_3D('',#37170,#29497,#29498); #24657=AXIS2_PLACEMENT_3D('',#37172,#29499,#29500); #24658=AXIS2_PLACEMENT_3D('',#37173,#29501,#29502); #24659=AXIS2_PLACEMENT_3D('',#37176,#29503,#29504); #24660=AXIS2_PLACEMENT_3D('',#37182,#29508,#29509); #24661=AXIS2_PLACEMENT_3D('',#37184,#29510,#29511); #24662=AXIS2_PLACEMENT_3D('',#37188,#29514,#29515); #24663=AXIS2_PLACEMENT_3D('',#37191,#29516,#29517); #24664=AXIS2_PLACEMENT_3D('',#37197,#29521,#29522); #24665=AXIS2_PLACEMENT_3D('',#37198,#29523,#29524); #24666=AXIS2_PLACEMENT_3D('',#37200,#29526,#29527); #24667=AXIS2_PLACEMENT_3D('',#37204,#29530,#29531); #24668=AXIS2_PLACEMENT_3D('',#37206,#29532,#29533); #24669=AXIS2_PLACEMENT_3D('',#37207,#29534,#29535); #24670=AXIS2_PLACEMENT_3D('',#37208,#29536,#29537); #24671=AXIS2_PLACEMENT_3D('',#37210,#29539,#29540); #24672=AXIS2_PLACEMENT_3D('placement',#37211,#29541,#29542); #24673=AXIS2_PLACEMENT_3D('',#37212,#29543,#29544); #24674=AXIS2_PLACEMENT_3D('',#37214,#29545,#29546); #24675=AXIS2_PLACEMENT_3D('',#37215,#29547,#29548); #24676=AXIS2_PLACEMENT_3D('',#37217,#29549,#29550); #24677=AXIS2_PLACEMENT_3D('',#37218,#29551,#29552); #24678=AXIS2_PLACEMENT_3D('',#37220,#29553,#29554); #24679=AXIS2_PLACEMENT_3D('',#37221,#29555,#29556); #24680=AXIS2_PLACEMENT_3D('',#37223,#29557,#29558); #24681=AXIS2_PLACEMENT_3D('',#37224,#29559,#29560); #24682=AXIS2_PLACEMENT_3D('',#37226,#29561,#29562); #24683=AXIS2_PLACEMENT_3D('',#37227,#29563,#29564); #24684=AXIS2_PLACEMENT_3D('',#37229,#29565,#29566); #24685=AXIS2_PLACEMENT_3D('',#37230,#29567,#29568); #24686=AXIS2_PLACEMENT_3D('',#37232,#29569,#29570); #24687=AXIS2_PLACEMENT_3D('',#37233,#29571,#29572); #24688=AXIS2_PLACEMENT_3D('',#37235,#29573,#29574); #24689=AXIS2_PLACEMENT_3D('',#37236,#29575,#29576); #24690=AXIS2_PLACEMENT_3D('',#37238,#29577,#29578); #24691=AXIS2_PLACEMENT_3D('',#37239,#29579,#29580); #24692=AXIS2_PLACEMENT_3D('',#37241,#29581,#29582); #24693=AXIS2_PLACEMENT_3D('',#37242,#29583,#29584); #24694=AXIS2_PLACEMENT_3D('',#37244,#29585,#29586); #24695=AXIS2_PLACEMENT_3D('',#37246,#29587,#29588); #24696=AXIS2_PLACEMENT_3D('',#37247,#29589,#29590); #24697=AXIS2_PLACEMENT_3D('',#37249,#29591,#29592); #24698=AXIS2_PLACEMENT_3D('',#37251,#29593,#29594); #24699=AXIS2_PLACEMENT_3D('',#37252,#29595,#29596); #24700=AXIS2_PLACEMENT_3D('',#37254,#29597,#29598); #24701=AXIS2_PLACEMENT_3D('',#37256,#29599,#29600); #24702=AXIS2_PLACEMENT_3D('',#37257,#29601,#29602); #24703=AXIS2_PLACEMENT_3D('',#37259,#29603,#29604); #24704=AXIS2_PLACEMENT_3D('',#37261,#29605,#29606); #24705=AXIS2_PLACEMENT_3D('',#37262,#29607,#29608); #24706=AXIS2_PLACEMENT_3D('',#37264,#29609,#29610); #24707=AXIS2_PLACEMENT_3D('',#37266,#29611,#29612); #24708=AXIS2_PLACEMENT_3D('',#37267,#29613,#29614); #24709=AXIS2_PLACEMENT_3D('',#37269,#29615,#29616); #24710=AXIS2_PLACEMENT_3D('',#37271,#29617,#29618); #24711=AXIS2_PLACEMENT_3D('',#37272,#29619,#29620); #24712=AXIS2_PLACEMENT_3D('',#37274,#29621,#29622); #24713=AXIS2_PLACEMENT_3D('',#37276,#29623,#29624); #24714=AXIS2_PLACEMENT_3D('',#37277,#29625,#29626); #24715=AXIS2_PLACEMENT_3D('',#37279,#29627,#29628); #24716=AXIS2_PLACEMENT_3D('',#37281,#29629,#29630); #24717=AXIS2_PLACEMENT_3D('',#37282,#29631,#29632); #24718=AXIS2_PLACEMENT_3D('',#37284,#29633,#29634); #24719=AXIS2_PLACEMENT_3D('',#37286,#29635,#29636); #24720=AXIS2_PLACEMENT_3D('',#37287,#29637,#29638); #24721=AXIS2_PLACEMENT_3D('',#37289,#29639,#29640); #24722=AXIS2_PLACEMENT_3D('',#37291,#29641,#29642); #24723=AXIS2_PLACEMENT_3D('',#37292,#29643,#29644); #24724=AXIS2_PLACEMENT_3D('',#37294,#29645,#29646); #24725=AXIS2_PLACEMENT_3D('',#37296,#29647,#29648); #24726=AXIS2_PLACEMENT_3D('',#37297,#29649,#29650); #24727=AXIS2_PLACEMENT_3D('',#37299,#29651,#29652); #24728=AXIS2_PLACEMENT_3D('',#37301,#29653,#29654); #24729=AXIS2_PLACEMENT_3D('',#37302,#29655,#29656); #24730=AXIS2_PLACEMENT_3D('',#37304,#29657,#29658); #24731=AXIS2_PLACEMENT_3D('',#37306,#29659,#29660); #24732=AXIS2_PLACEMENT_3D('',#37307,#29661,#29662); #24733=AXIS2_PLACEMENT_3D('',#37309,#29663,#29664); #24734=AXIS2_PLACEMENT_3D('',#37311,#29665,#29666); #24735=AXIS2_PLACEMENT_3D('',#37312,#29667,#29668); #24736=AXIS2_PLACEMENT_3D('',#37314,#29669,#29670); #24737=AXIS2_PLACEMENT_3D('',#37316,#29671,#29672); #24738=AXIS2_PLACEMENT_3D('',#37317,#29673,#29674); #24739=AXIS2_PLACEMENT_3D('',#37319,#29675,#29676); #24740=AXIS2_PLACEMENT_3D('',#37321,#29677,#29678); #24741=AXIS2_PLACEMENT_3D('',#37322,#29679,#29680); #24742=AXIS2_PLACEMENT_3D('',#37324,#29681,#29682); #24743=AXIS2_PLACEMENT_3D('',#37326,#29683,#29684); #24744=AXIS2_PLACEMENT_3D('',#37327,#29685,#29686); #24745=AXIS2_PLACEMENT_3D('',#37335,#29690,#29691); #24746=AXIS2_PLACEMENT_3D('',#37336,#29692,#29693); #24747=AXIS2_PLACEMENT_3D('',#37346,#29699,#29700); #24748=AXIS2_PLACEMENT_3D('',#37348,#29701,#29702); #24749=AXIS2_PLACEMENT_3D('',#37350,#29704,#29705); #24750=AXIS2_PLACEMENT_3D('',#37352,#29706,#29707); #24751=AXIS2_PLACEMENT_3D('',#37354,#29708,#29709); #24752=AXIS2_PLACEMENT_3D('',#37356,#29710,#29711); #24753=AXIS2_PLACEMENT_3D('',#37357,#29712,#29713); #24754=AXIS2_PLACEMENT_3D('',#37358,#29714,#29715); #24755=AXIS2_PLACEMENT_3D('',#37361,#29718,#29719); #24756=AXIS2_PLACEMENT_3D('',#37362,#29720,#29721); #24757=AXIS2_PLACEMENT_3D('',#37364,#29723,#29724); #24758=AXIS2_PLACEMENT_3D('placement',#37365,#29725,#29726); #24759=AXIS2_PLACEMENT_3D('',#37366,#29727,#29728); #24760=AXIS2_PLACEMENT_3D('',#37368,#29729,#29730); #24761=AXIS2_PLACEMENT_3D('',#37369,#29731,#29732); #24762=AXIS2_PLACEMENT_3D('',#37371,#29733,#29734); #24763=AXIS2_PLACEMENT_3D('',#37372,#29735,#29736); #24764=AXIS2_PLACEMENT_3D('',#37374,#29737,#29738); #24765=AXIS2_PLACEMENT_3D('',#37375,#29739,#29740); #24766=AXIS2_PLACEMENT_3D('',#37377,#29741,#29742); #24767=AXIS2_PLACEMENT_3D('',#37378,#29743,#29744); #24768=AXIS2_PLACEMENT_3D('',#37380,#29745,#29746); #24769=AXIS2_PLACEMENT_3D('',#37381,#29747,#29748); #24770=AXIS2_PLACEMENT_3D('',#37383,#29749,#29750); #24771=AXIS2_PLACEMENT_3D('',#37384,#29751,#29752); #24772=AXIS2_PLACEMENT_3D('',#37386,#29753,#29754); #24773=AXIS2_PLACEMENT_3D('',#37387,#29755,#29756); #24774=AXIS2_PLACEMENT_3D('',#37389,#29757,#29758); #24775=AXIS2_PLACEMENT_3D('',#37390,#29759,#29760); #24776=AXIS2_PLACEMENT_3D('',#37392,#29761,#29762); #24777=AXIS2_PLACEMENT_3D('',#37393,#29763,#29764); #24778=AXIS2_PLACEMENT_3D('',#37395,#29765,#29766); #24779=AXIS2_PLACEMENT_3D('',#37396,#29767,#29768); #24780=AXIS2_PLACEMENT_3D('',#37398,#29769,#29770); #24781=AXIS2_PLACEMENT_3D('',#37399,#29771,#29772); #24782=AXIS2_PLACEMENT_3D('',#37401,#29773,#29774); #24783=AXIS2_PLACEMENT_3D('',#37402,#29775,#29776); #24784=AXIS2_PLACEMENT_3D('',#37404,#29777,#29778); #24785=AXIS2_PLACEMENT_3D('',#37405,#29779,#29780); #24786=AXIS2_PLACEMENT_3D('',#37407,#29781,#29782); #24787=AXIS2_PLACEMENT_3D('',#37408,#29783,#29784); #24788=AXIS2_PLACEMENT_3D('',#37410,#29785,#29786); #24789=AXIS2_PLACEMENT_3D('',#37411,#29787,#29788); #24790=AXIS2_PLACEMENT_3D('',#37413,#29789,#29790); #24791=AXIS2_PLACEMENT_3D('',#37414,#29791,#29792); #24792=AXIS2_PLACEMENT_3D('',#37416,#29793,#29794); #24793=AXIS2_PLACEMENT_3D('',#37417,#29795,#29796); #24794=AXIS2_PLACEMENT_3D('',#37419,#29797,#29798); #24795=AXIS2_PLACEMENT_3D('',#37420,#29799,#29800); #24796=AXIS2_PLACEMENT_3D('',#37422,#29801,#29802); #24797=AXIS2_PLACEMENT_3D('',#37423,#29803,#29804); #24798=AXIS2_PLACEMENT_3D('',#37425,#29805,#29806); #24799=AXIS2_PLACEMENT_3D('',#37426,#29807,#29808); #24800=AXIS2_PLACEMENT_3D('',#37428,#29809,#29810); #24801=AXIS2_PLACEMENT_3D('',#37429,#29811,#29812); #24802=AXIS2_PLACEMENT_3D('',#37431,#29813,#29814); #24803=AXIS2_PLACEMENT_3D('',#37432,#29815,#29816); #24804=AXIS2_PLACEMENT_3D('',#37473,#29817,#29818); #24805=AXIS2_PLACEMENT_3D('',#37474,#29819,#29820); #24806=AXIS2_PLACEMENT_3D('',#37476,#29821,#29822); #24807=AXIS2_PLACEMENT_3D('',#37477,#29823,#29824); #24808=AXIS2_PLACEMENT_3D('',#37478,#29825,#29826); #24809=AXIS2_PLACEMENT_3D('',#37480,#29827,#29828); #24810=AXIS2_PLACEMENT_3D('',#37481,#29829,#29830); #24811=AXIS2_PLACEMENT_3D('',#37483,#29831,#29832); #24812=AXIS2_PLACEMENT_3D('',#37484,#29833,#29834); #24813=AXIS2_PLACEMENT_3D('',#37486,#29835,#29836); #24814=AXIS2_PLACEMENT_3D('',#37487,#29837,#29838); #24815=AXIS2_PLACEMENT_3D('',#37489,#29839,#29840); #24816=AXIS2_PLACEMENT_3D('',#37490,#29841,#29842); #24817=AXIS2_PLACEMENT_3D('',#37492,#29843,#29844); #24818=AXIS2_PLACEMENT_3D('',#37493,#29845,#29846); #24819=AXIS2_PLACEMENT_3D('',#37495,#29847,#29848); #24820=AXIS2_PLACEMENT_3D('',#37496,#29849,#29850); #24821=AXIS2_PLACEMENT_3D('',#37498,#29851,#29852); #24822=AXIS2_PLACEMENT_3D('',#37499,#29853,#29854); #24823=AXIS2_PLACEMENT_3D('',#37501,#29855,#29856); #24824=AXIS2_PLACEMENT_3D('',#37502,#29857,#29858); #24825=AXIS2_PLACEMENT_3D('',#37504,#29859,#29860); #24826=AXIS2_PLACEMENT_3D('',#37505,#29861,#29862); #24827=AXIS2_PLACEMENT_3D('',#37510,#29864,#29865); #24828=AXIS2_PLACEMENT_3D('',#37513,#29867,#29868); #24829=AXIS2_PLACEMENT_3D('',#37514,#29869,#29870); #24830=AXIS2_PLACEMENT_3D('',#37517,#29871,#29872); #24831=AXIS2_PLACEMENT_3D('',#37518,#29873,#29874); #24832=AXIS2_PLACEMENT_3D('',#37519,#29875,#29876); #24833=AXIS2_PLACEMENT_3D('',#37520,#29877,#29878); #24834=AXIS2_PLACEMENT_3D('',#37523,#29879,#29880); #24835=AXIS2_PLACEMENT_3D('',#37524,#29881,#29882); #24836=AXIS2_PLACEMENT_3D('',#37525,#29883,#29884); #24837=AXIS2_PLACEMENT_3D('',#37526,#29885,#29886); #24838=AXIS2_PLACEMENT_3D('',#37529,#29887,#29888); #24839=AXIS2_PLACEMENT_3D('',#37530,#29889,#29890); #24840=AXIS2_PLACEMENT_3D('',#37531,#29891,#29892); #24841=AXIS2_PLACEMENT_3D('',#37532,#29893,#29894); #24842=AXIS2_PLACEMENT_3D('',#37535,#29895,#29896); #24843=AXIS2_PLACEMENT_3D('',#37538,#29899,#29900); #24844=AXIS2_PLACEMENT_3D('',#37541,#29901,#29902); #24845=AXIS2_PLACEMENT_3D('',#37542,#29903,#29904); #24846=AXIS2_PLACEMENT_3D('',#37543,#29905,#29906); #24847=AXIS2_PLACEMENT_3D('',#37544,#29907,#29908); #24848=AXIS2_PLACEMENT_3D('',#37547,#29909,#29910); #24849=AXIS2_PLACEMENT_3D('',#37550,#29913,#29914); #24850=AXIS2_PLACEMENT_3D('',#37553,#29915,#29916); #24851=AXIS2_PLACEMENT_3D('',#37554,#29917,#29918); #24852=AXIS2_PLACEMENT_3D('',#37555,#29919,#29920); #24853=AXIS2_PLACEMENT_3D('',#37556,#29921,#29922); #24854=AXIS2_PLACEMENT_3D('',#37559,#29923,#29924); #24855=AXIS2_PLACEMENT_3D('',#37562,#29927,#29928); #24856=AXIS2_PLACEMENT_3D('',#37565,#29929,#29930); #24857=AXIS2_PLACEMENT_3D('',#37566,#29931,#29932); #24858=AXIS2_PLACEMENT_3D('',#37567,#29933,#29934); #24859=AXIS2_PLACEMENT_3D('',#37568,#29935,#29936); #24860=AXIS2_PLACEMENT_3D('',#37571,#29937,#29938); #24861=AXIS2_PLACEMENT_3D('',#37574,#29941,#29942); #24862=AXIS2_PLACEMENT_3D('',#37577,#29943,#29944); #24863=AXIS2_PLACEMENT_3D('',#37578,#29945,#29946); #24864=AXIS2_PLACEMENT_3D('',#37579,#29947,#29948); #24865=AXIS2_PLACEMENT_3D('',#37580,#29949,#29950); #24866=AXIS2_PLACEMENT_3D('',#37583,#29953,#29954); #24867=AXIS2_PLACEMENT_3D('',#37587,#29956,#29957); #24868=AXIS2_PLACEMENT_3D('',#37589,#29959,#29960); #24869=AXIS2_PLACEMENT_3D('',#37593,#29963,#29964); #24870=AXIS2_PLACEMENT_3D('',#37596,#29966,#29967); #24871=AXIS2_PLACEMENT_3D('',#37597,#29968,#29969); #24872=AXIS2_PLACEMENT_3D('',#37601,#29972,#29973); #24873=AXIS2_PLACEMENT_3D('',#37604,#29975,#29976); #24874=AXIS2_PLACEMENT_3D('',#37605,#29977,#29978); #24875=AXIS2_PLACEMENT_3D('',#37609,#29981,#29982); #24876=AXIS2_PLACEMENT_3D('',#37613,#29985,#29986); #24877=AXIS2_PLACEMENT_3D('',#37614,#29987,#29988); #24878=AXIS2_PLACEMENT_3D('',#37616,#29989,#29990); #24879=AXIS2_PLACEMENT_3D('',#37617,#29991,#29992); #24880=AXIS2_PLACEMENT_3D('',#37619,#29993,#29994); #24881=AXIS2_PLACEMENT_3D('',#37620,#29995,#29996); #24882=AXIS2_PLACEMENT_3D('',#37622,#29997,#29998); #24883=AXIS2_PLACEMENT_3D('',#37623,#29999,#30000); #24884=AXIS2_PLACEMENT_3D('',#37625,#30001,#30002); #24885=AXIS2_PLACEMENT_3D('',#37626,#30003,#30004); #24886=AXIS2_PLACEMENT_3D('',#37628,#30005,#30006); #24887=AXIS2_PLACEMENT_3D('',#37629,#30007,#30008); #24888=AXIS2_PLACEMENT_3D('',#37631,#30009,#30010); #24889=AXIS2_PLACEMENT_3D('',#37632,#30011,#30012); #24890=AXIS2_PLACEMENT_3D('',#37634,#30013,#30014); #24891=AXIS2_PLACEMENT_3D('',#37635,#30015,#30016); #24892=AXIS2_PLACEMENT_3D('',#37637,#30017,#30018); #24893=AXIS2_PLACEMENT_3D('',#37638,#30019,#30020); #24894=AXIS2_PLACEMENT_3D('',#37639,#30021,#30022); #24895=AXIS2_PLACEMENT_3D('',#37641,#30023,#30024); #24896=AXIS2_PLACEMENT_3D('',#37642,#30025,#30026); #24897=AXIS2_PLACEMENT_3D('',#37644,#30027,#30028); #24898=AXIS2_PLACEMENT_3D('',#37645,#30029,#30030); #24899=AXIS2_PLACEMENT_3D('',#37647,#30031,#30032); #24900=AXIS2_PLACEMENT_3D('',#37648,#30033,#30034); #24901=AXIS2_PLACEMENT_3D('',#37650,#30035,#30036); #24902=AXIS2_PLACEMENT_3D('',#37651,#30037,#30038); #24903=AXIS2_PLACEMENT_3D('',#37653,#30039,#30040); #24904=AXIS2_PLACEMENT_3D('',#37654,#30041,#30042); #24905=AXIS2_PLACEMENT_3D('',#37656,#30043,#30044); #24906=AXIS2_PLACEMENT_3D('',#37657,#30045,#30046); #24907=AXIS2_PLACEMENT_3D('',#37659,#30047,#30048); #24908=AXIS2_PLACEMENT_3D('',#37660,#30049,#30050); #24909=AXIS2_PLACEMENT_3D('',#37662,#30051,#30052); #24910=AXIS2_PLACEMENT_3D('',#37663,#30053,#30054); #24911=AXIS2_PLACEMENT_3D('',#37665,#30055,#30056); #24912=AXIS2_PLACEMENT_3D('',#37666,#30057,#30058); #24913=AXIS2_PLACEMENT_3D('',#37668,#30059,#30060); #24914=AXIS2_PLACEMENT_3D('',#37669,#30061,#30062); #24915=AXIS2_PLACEMENT_3D('',#37671,#30063,#30064); #24916=AXIS2_PLACEMENT_3D('',#37672,#30065,#30066); #24917=AXIS2_PLACEMENT_3D('',#37674,#30067,#30068); #24918=AXIS2_PLACEMENT_3D('',#37675,#30069,#30070); #24919=AXIS2_PLACEMENT_3D('',#37677,#30071,#30072); #24920=AXIS2_PLACEMENT_3D('',#37678,#30073,#30074); #24921=AXIS2_PLACEMENT_3D('',#37680,#30075,#30076); #24922=AXIS2_PLACEMENT_3D('',#37681,#30077,#30078); #24923=AXIS2_PLACEMENT_3D('',#37683,#30079,#30080); #24924=AXIS2_PLACEMENT_3D('',#37684,#30081,#30082); #24925=AXIS2_PLACEMENT_3D('',#37686,#30083,#30084); #24926=AXIS2_PLACEMENT_3D('',#37687,#30085,#30086); #24927=AXIS2_PLACEMENT_3D('',#37689,#30087,#30088); #24928=AXIS2_PLACEMENT_3D('',#37690,#30089,#30090); #24929=AXIS2_PLACEMENT_3D('',#37692,#30091,#30092); #24930=AXIS2_PLACEMENT_3D('',#37693,#30093,#30094); #24931=AXIS2_PLACEMENT_3D('',#37695,#30095,#30096); #24932=AXIS2_PLACEMENT_3D('',#37696,#30097,#30098); #24933=AXIS2_PLACEMENT_3D('',#37698,#30099,#30100); #24934=AXIS2_PLACEMENT_3D('',#37699,#30101,#30102); #24935=AXIS2_PLACEMENT_3D('',#37701,#30103,#30104); #24936=AXIS2_PLACEMENT_3D('',#37702,#30105,#30106); #24937=AXIS2_PLACEMENT_3D('',#37704,#30107,#30108); #24938=AXIS2_PLACEMENT_3D('',#37705,#30109,#30110); #24939=AXIS2_PLACEMENT_3D('',#37707,#30111,#30112); #24940=AXIS2_PLACEMENT_3D('',#37708,#30113,#30114); #24941=AXIS2_PLACEMENT_3D('',#37710,#30115,#30116); #24942=AXIS2_PLACEMENT_3D('',#37711,#30117,#30118); #24943=AXIS2_PLACEMENT_3D('',#37713,#30119,#30120); #24944=AXIS2_PLACEMENT_3D('',#37714,#30121,#30122); #24945=AXIS2_PLACEMENT_3D('',#37716,#30123,#30124); #24946=AXIS2_PLACEMENT_3D('',#37717,#30125,#30126); #24947=AXIS2_PLACEMENT_3D('',#37719,#30127,#30128); #24948=AXIS2_PLACEMENT_3D('',#37720,#30129,#30130); #24949=AXIS2_PLACEMENT_3D('',#37722,#30131,#30132); #24950=AXIS2_PLACEMENT_3D('',#37723,#30133,#30134); #24951=AXIS2_PLACEMENT_3D('',#37725,#30135,#30136); #24952=AXIS2_PLACEMENT_3D('',#37726,#30137,#30138); #24953=AXIS2_PLACEMENT_3D('',#37728,#30139,#30140); #24954=AXIS2_PLACEMENT_3D('',#37729,#30141,#30142); #24955=AXIS2_PLACEMENT_3D('',#37731,#30143,#30144); #24956=AXIS2_PLACEMENT_3D('',#37732,#30145,#30146); #24957=AXIS2_PLACEMENT_3D('',#37734,#30147,#30148); #24958=AXIS2_PLACEMENT_3D('',#37735,#30149,#30150); #24959=AXIS2_PLACEMENT_3D('',#37737,#30151,#30152); #24960=AXIS2_PLACEMENT_3D('',#37738,#30153,#30154); #24961=AXIS2_PLACEMENT_3D('',#37740,#30155,#30156); #24962=AXIS2_PLACEMENT_3D('',#37741,#30157,#30158); #24963=AXIS2_PLACEMENT_3D('',#37743,#30159,#30160); #24964=AXIS2_PLACEMENT_3D('',#37744,#30161,#30162); #24965=AXIS2_PLACEMENT_3D('',#37746,#30163,#30164); #24966=AXIS2_PLACEMENT_3D('',#37747,#30165,#30166); #24967=AXIS2_PLACEMENT_3D('',#37749,#30167,#30168); #24968=AXIS2_PLACEMENT_3D('',#37750,#30169,#30170); #24969=AXIS2_PLACEMENT_3D('',#37752,#30171,#30172); #24970=AXIS2_PLACEMENT_3D('',#37753,#30173,#30174); #24971=AXIS2_PLACEMENT_3D('',#37755,#30175,#30176); #24972=AXIS2_PLACEMENT_3D('',#37756,#30177,#30178); #24973=AXIS2_PLACEMENT_3D('',#37758,#30179,#30180); #24974=AXIS2_PLACEMENT_3D('',#37759,#30181,#30182); #24975=AXIS2_PLACEMENT_3D('',#37761,#30183,#30184); #24976=AXIS2_PLACEMENT_3D('',#37762,#30185,#30186); #24977=AXIS2_PLACEMENT_3D('',#37764,#30187,#30188); #24978=AXIS2_PLACEMENT_3D('',#37765,#30189,#30190); #24979=AXIS2_PLACEMENT_3D('',#37767,#30191,#30192); #24980=AXIS2_PLACEMENT_3D('',#37768,#30193,#30194); #24981=AXIS2_PLACEMENT_3D('',#37770,#30195,#30196); #24982=AXIS2_PLACEMENT_3D('',#37771,#30197,#30198); #24983=AXIS2_PLACEMENT_3D('',#37773,#30199,#30200); #24984=AXIS2_PLACEMENT_3D('',#37774,#30201,#30202); #24985=AXIS2_PLACEMENT_3D('',#37776,#30203,#30204); #24986=AXIS2_PLACEMENT_3D('',#37777,#30205,#30206); #24987=AXIS2_PLACEMENT_3D('',#37779,#30207,#30208); #24988=AXIS2_PLACEMENT_3D('',#37780,#30209,#30210); #24989=AXIS2_PLACEMENT_3D('',#37782,#30211,#30212); #24990=AXIS2_PLACEMENT_3D('',#37783,#30213,#30214); #24991=AXIS2_PLACEMENT_3D('',#37785,#30215,#30216); #24992=AXIS2_PLACEMENT_3D('',#37786,#30217,#30218); #24993=AXIS2_PLACEMENT_3D('',#37788,#30219,#30220); #24994=AXIS2_PLACEMENT_3D('',#37789,#30221,#30222); #24995=AXIS2_PLACEMENT_3D('',#37791,#30223,#30224); #24996=AXIS2_PLACEMENT_3D('',#37792,#30225,#30226); #24997=AXIS2_PLACEMENT_3D('',#37794,#30227,#30228); #24998=AXIS2_PLACEMENT_3D('',#37795,#30229,#30230); #24999=AXIS2_PLACEMENT_3D('',#37797,#30231,#30232); #25000=AXIS2_PLACEMENT_3D('',#37798,#30233,#30234); #25001=AXIS2_PLACEMENT_3D('',#37800,#30235,#30236); #25002=AXIS2_PLACEMENT_3D('',#37801,#30237,#30238); #25003=AXIS2_PLACEMENT_3D('',#37803,#30239,#30240); #25004=AXIS2_PLACEMENT_3D('',#37804,#30241,#30242); #25005=AXIS2_PLACEMENT_3D('',#37806,#30243,#30244); #25006=AXIS2_PLACEMENT_3D('',#37807,#30245,#30246); #25007=AXIS2_PLACEMENT_3D('',#37809,#30247,#30248); #25008=AXIS2_PLACEMENT_3D('',#37810,#30249,#30250); #25009=AXIS2_PLACEMENT_3D('',#37812,#30251,#30252); #25010=AXIS2_PLACEMENT_3D('',#37813,#30253,#30254); #25011=AXIS2_PLACEMENT_3D('',#37815,#30255,#30256); #25012=AXIS2_PLACEMENT_3D('',#37816,#30257,#30258); #25013=AXIS2_PLACEMENT_3D('',#37818,#30259,#30260); #25014=AXIS2_PLACEMENT_3D('',#37819,#30261,#30262); #25015=AXIS2_PLACEMENT_3D('',#37821,#30263,#30264); #25016=AXIS2_PLACEMENT_3D('',#37822,#30265,#30266); #25017=AXIS2_PLACEMENT_3D('',#37824,#30267,#30268); #25018=AXIS2_PLACEMENT_3D('',#37825,#30269,#30270); #25019=AXIS2_PLACEMENT_3D('',#37827,#30271,#30272); #25020=AXIS2_PLACEMENT_3D('',#37828,#30273,#30274); #25021=AXIS2_PLACEMENT_3D('',#37830,#30275,#30276); #25022=AXIS2_PLACEMENT_3D('',#37831,#30277,#30278); #25023=AXIS2_PLACEMENT_3D('',#37833,#30279,#30280); #25024=AXIS2_PLACEMENT_3D('',#37834,#30281,#30282); #25025=AXIS2_PLACEMENT_3D('',#37836,#30283,#30284); #25026=AXIS2_PLACEMENT_3D('',#37837,#30285,#30286); #25027=AXIS2_PLACEMENT_3D('',#37839,#30287,#30288); #25028=AXIS2_PLACEMENT_3D('',#37840,#30289,#30290); #25029=AXIS2_PLACEMENT_3D('',#37842,#30291,#30292); #25030=AXIS2_PLACEMENT_3D('',#37843,#30293,#30294); #25031=AXIS2_PLACEMENT_3D('',#37845,#30295,#30296); #25032=AXIS2_PLACEMENT_3D('',#37846,#30297,#30298); #25033=AXIS2_PLACEMENT_3D('',#37848,#30299,#30300); #25034=AXIS2_PLACEMENT_3D('',#37849,#30301,#30302); #25035=AXIS2_PLACEMENT_3D('',#37851,#30303,#30304); #25036=AXIS2_PLACEMENT_3D('',#37852,#30305,#30306); #25037=AXIS2_PLACEMENT_3D('',#37854,#30307,#30308); #25038=AXIS2_PLACEMENT_3D('',#37855,#30309,#30310); #25039=AXIS2_PLACEMENT_3D('',#37857,#30311,#30312); #25040=AXIS2_PLACEMENT_3D('',#37858,#30313,#30314); #25041=AXIS2_PLACEMENT_3D('',#37860,#30315,#30316); #25042=AXIS2_PLACEMENT_3D('',#37861,#30317,#30318); #25043=AXIS2_PLACEMENT_3D('',#37863,#30319,#30320); #25044=AXIS2_PLACEMENT_3D('',#37864,#30321,#30322); #25045=AXIS2_PLACEMENT_3D('',#37866,#30323,#30324); #25046=AXIS2_PLACEMENT_3D('',#37867,#30325,#30326); #25047=AXIS2_PLACEMENT_3D('',#37869,#30327,#30328); #25048=AXIS2_PLACEMENT_3D('',#37870,#30329,#30330); #25049=AXIS2_PLACEMENT_3D('',#37872,#30331,#30332); #25050=AXIS2_PLACEMENT_3D('',#37873,#30333,#30334); #25051=AXIS2_PLACEMENT_3D('',#37875,#30335,#30336); #25052=AXIS2_PLACEMENT_3D('',#37876,#30337,#30338); #25053=AXIS2_PLACEMENT_3D('',#37878,#30339,#30340); #25054=AXIS2_PLACEMENT_3D('',#37879,#30341,#30342); #25055=AXIS2_PLACEMENT_3D('',#37881,#30343,#30344); #25056=AXIS2_PLACEMENT_3D('',#37882,#30345,#30346); #25057=AXIS2_PLACEMENT_3D('',#37884,#30347,#30348); #25058=AXIS2_PLACEMENT_3D('',#37885,#30349,#30350); #25059=AXIS2_PLACEMENT_3D('',#37887,#30351,#30352); #25060=AXIS2_PLACEMENT_3D('',#37888,#30353,#30354); #25061=AXIS2_PLACEMENT_3D('',#37890,#30355,#30356); #25062=AXIS2_PLACEMENT_3D('',#37891,#30357,#30358); #25063=AXIS2_PLACEMENT_3D('',#37893,#30359,#30360); #25064=AXIS2_PLACEMENT_3D('',#37894,#30361,#30362); #25065=AXIS2_PLACEMENT_3D('',#37896,#30363,#30364); #25066=AXIS2_PLACEMENT_3D('',#37897,#30365,#30366); #25067=AXIS2_PLACEMENT_3D('',#37899,#30367,#30368); #25068=AXIS2_PLACEMENT_3D('',#37900,#30369,#30370); #25069=AXIS2_PLACEMENT_3D('',#37902,#30371,#30372); #25070=AXIS2_PLACEMENT_3D('',#37903,#30373,#30374); #25071=AXIS2_PLACEMENT_3D('',#37905,#30375,#30376); #25072=AXIS2_PLACEMENT_3D('',#37906,#30377,#30378); #25073=AXIS2_PLACEMENT_3D('',#37908,#30379,#30380); #25074=AXIS2_PLACEMENT_3D('',#37909,#30381,#30382); #25075=AXIS2_PLACEMENT_3D('',#37911,#30383,#30384); #25076=AXIS2_PLACEMENT_3D('',#37912,#30385,#30386); #25077=AXIS2_PLACEMENT_3D('',#37914,#30387,#30388); #25078=AXIS2_PLACEMENT_3D('',#37915,#30389,#30390); #25079=AXIS2_PLACEMENT_3D('',#37917,#30391,#30392); #25080=AXIS2_PLACEMENT_3D('',#37918,#30393,#30394); #25081=AXIS2_PLACEMENT_3D('',#37920,#30395,#30396); #25082=AXIS2_PLACEMENT_3D('',#37921,#30397,#30398); #25083=AXIS2_PLACEMENT_3D('',#37930,#30403,#30404); #25084=AXIS2_PLACEMENT_3D('',#37938,#30409,#30410); #25085=AXIS2_PLACEMENT_3D('',#37942,#30412,#30413); #25086=AXIS2_PLACEMENT_3D('',#37944,#30415,#30416); #25087=AXIS2_PLACEMENT_3D('',#37946,#30417,#30418); #25088=AXIS2_PLACEMENT_3D('',#37948,#30420,#30421); #25089=AXIS2_PLACEMENT_3D('',#37950,#30422,#30423); #25090=AXIS2_PLACEMENT_3D('',#37953,#30425,#30426); #25091=AXIS2_PLACEMENT_3D('',#37954,#30427,#30428); #25092=AXIS2_PLACEMENT_3D('',#37960,#30432,#30433); #25093=AXIS2_PLACEMENT_3D('',#37966,#30437,#30438); #25094=AXIS2_PLACEMENT_3D('',#37972,#30442,#30443); #25095=AXIS2_PLACEMENT_3D('',#37974,#30445,#30446); #25096=AXIS2_PLACEMENT_3D('',#37979,#30449,#30450); #25097=AXIS2_PLACEMENT_3D('',#37981,#30451,#30452); #25098=AXIS2_PLACEMENT_3D('',#37983,#30453,#30454); #25099=AXIS2_PLACEMENT_3D('',#37986,#30456,#30457); #25100=AXIS2_PLACEMENT_3D('',#37987,#30458,#30459); #25101=AXIS2_PLACEMENT_3D('',#37988,#30460,#30461); #25102=AXIS2_PLACEMENT_3D('',#37991,#30464,#30465); #25103=AXIS2_PLACEMENT_3D('',#37993,#30467,#30468); #25104=AXIS2_PLACEMENT_3D('',#37995,#30470,#30471); #25105=AXIS2_PLACEMENT_3D('',#37997,#30473,#30474); #25106=AXIS2_PLACEMENT_3D('',#37998,#30475,#30476); #25107=AXIS2_PLACEMENT_3D('placement',#37999,#30477,#30478); #25108=AXIS2_PLACEMENT_3D('',#38000,#30479,#30480); #25109=AXIS2_PLACEMENT_3D('',#38002,#30481,#30482); #25110=AXIS2_PLACEMENT_3D('',#38003,#30483,#30484); #25111=AXIS2_PLACEMENT_3D('',#38005,#30485,#30486); #25112=AXIS2_PLACEMENT_3D('',#38006,#30487,#30488); #25113=AXIS2_PLACEMENT_3D('',#38047,#30489,#30490); #25114=AXIS2_PLACEMENT_3D('',#38048,#30491,#30492); #25115=AXIS2_PLACEMENT_3D('',#38050,#30493,#30494); #25116=AXIS2_PLACEMENT_3D('',#38051,#30495,#30496); #25117=AXIS2_PLACEMENT_3D('',#38053,#30497,#30498); #25118=AXIS2_PLACEMENT_3D('',#38054,#30499,#30500); #25119=AXIS2_PLACEMENT_3D('',#38056,#30501,#30502); #25120=AXIS2_PLACEMENT_3D('',#38057,#30503,#30504); #25121=AXIS2_PLACEMENT_3D('',#38098,#30505,#30506); #25122=AXIS2_PLACEMENT_3D('',#38099,#30507,#30508); #25123=AXIS2_PLACEMENT_3D('',#38101,#30509,#30510); #25124=AXIS2_PLACEMENT_3D('',#38102,#30511,#30512); #25125=AXIS2_PLACEMENT_3D('',#38104,#30513,#30514); #25126=AXIS2_PLACEMENT_3D('',#38106,#30515,#30516); #25127=AXIS2_PLACEMENT_3D('',#38107,#30517,#30518); #25128=AXIS2_PLACEMENT_3D('',#38109,#30519,#30520); #25129=AXIS2_PLACEMENT_3D('',#38111,#30521,#30522); #25130=AXIS2_PLACEMENT_3D('',#38112,#30523,#30524); #25131=AXIS2_PLACEMENT_3D('',#38114,#30525,#30526); #25132=AXIS2_PLACEMENT_3D('',#38116,#30527,#30528); #25133=AXIS2_PLACEMENT_3D('',#38117,#30529,#30530); #25134=AXIS2_PLACEMENT_3D('',#38119,#30531,#30532); #25135=AXIS2_PLACEMENT_3D('',#38121,#30533,#30534); #25136=AXIS2_PLACEMENT_3D('',#38122,#30535,#30536); #25137=AXIS2_PLACEMENT_3D('',#38124,#30537,#30538); #25138=AXIS2_PLACEMENT_3D('',#38126,#30539,#30540); #25139=AXIS2_PLACEMENT_3D('',#38127,#30541,#30542); #25140=AXIS2_PLACEMENT_3D('',#38129,#30543,#30544); #25141=AXIS2_PLACEMENT_3D('',#38131,#30545,#30546); #25142=AXIS2_PLACEMENT_3D('',#38132,#30547,#30548); #25143=AXIS2_PLACEMENT_3D('',#38134,#30549,#30550); #25144=AXIS2_PLACEMENT_3D('',#38136,#30551,#30552); #25145=AXIS2_PLACEMENT_3D('',#38137,#30553,#30554); #25146=AXIS2_PLACEMENT_3D('',#38139,#30555,#30556); #25147=AXIS2_PLACEMENT_3D('',#38141,#30557,#30558); #25148=AXIS2_PLACEMENT_3D('',#38142,#30559,#30560); #25149=AXIS2_PLACEMENT_3D('',#38144,#30561,#30562); #25150=AXIS2_PLACEMENT_3D('',#38146,#30563,#30564); #25151=AXIS2_PLACEMENT_3D('',#38147,#30565,#30566); #25152=AXIS2_PLACEMENT_3D('',#38149,#30567,#30568); #25153=AXIS2_PLACEMENT_3D('',#38151,#30569,#30570); #25154=AXIS2_PLACEMENT_3D('',#38152,#30571,#30572); #25155=AXIS2_PLACEMENT_3D('',#38154,#30573,#30574); #25156=AXIS2_PLACEMENT_3D('',#38156,#30575,#30576); #25157=AXIS2_PLACEMENT_3D('',#38157,#30577,#30578); #25158=AXIS2_PLACEMENT_3D('',#38159,#30579,#30580); #25159=AXIS2_PLACEMENT_3D('',#38161,#30581,#30582); #25160=AXIS2_PLACEMENT_3D('',#38162,#30583,#30584); #25161=AXIS2_PLACEMENT_3D('',#38164,#30585,#30586); #25162=AXIS2_PLACEMENT_3D('',#38166,#30587,#30588); #25163=AXIS2_PLACEMENT_3D('',#38167,#30589,#30590); #25164=AXIS2_PLACEMENT_3D('',#38169,#30591,#30592); #25165=AXIS2_PLACEMENT_3D('',#38171,#30593,#30594); #25166=AXIS2_PLACEMENT_3D('',#38172,#30595,#30596); #25167=AXIS2_PLACEMENT_3D('',#38174,#30597,#30598); #25168=AXIS2_PLACEMENT_3D('',#38176,#30599,#30600); #25169=AXIS2_PLACEMENT_3D('',#38177,#30601,#30602); #25170=AXIS2_PLACEMENT_3D('',#38179,#30603,#30604); #25171=AXIS2_PLACEMENT_3D('',#38181,#30605,#30606); #25172=AXIS2_PLACEMENT_3D('',#38182,#30607,#30608); #25173=AXIS2_PLACEMENT_3D('',#38184,#30609,#30610); #25174=AXIS2_PLACEMENT_3D('',#38186,#30611,#30612); #25175=AXIS2_PLACEMENT_3D('',#38187,#30613,#30614); #25176=AXIS2_PLACEMENT_3D('',#38189,#30615,#30616); #25177=AXIS2_PLACEMENT_3D('',#38191,#30617,#30618); #25178=AXIS2_PLACEMENT_3D('',#38192,#30619,#30620); #25179=AXIS2_PLACEMENT_3D('',#38195,#30621,#30622); #25180=AXIS2_PLACEMENT_3D('',#38199,#30624,#30625); #25181=AXIS2_PLACEMENT_3D('',#38201,#30627,#30628); #25182=AXIS2_PLACEMENT_3D('',#38207,#30632,#30633); #25183=AXIS2_PLACEMENT_3D('',#38211,#30636,#30637); #25184=AXIS2_PLACEMENT_3D('',#38215,#30640,#30641); #25185=AXIS2_PLACEMENT_3D('',#38217,#30643,#30644); #25186=AXIS2_PLACEMENT_3D('placement',#38218,#30645,#30646); #25187=AXIS2_PLACEMENT_3D('',#38219,#30647,#30648); #25188=AXIS2_PLACEMENT_3D('',#38228,#30653,#30654); #25189=AXIS2_PLACEMENT_3D('',#38237,#30659,#30660); #25190=AXIS2_PLACEMENT_3D('',#38239,#30661,#30662); #25191=AXIS2_PLACEMENT_3D('',#38241,#30663,#30664); #25192=AXIS2_PLACEMENT_3D('',#38243,#30665,#30666); #25193=AXIS2_PLACEMENT_3D('',#38245,#30667,#30668); #25194=AXIS2_PLACEMENT_3D('',#38247,#30669,#30670); #25195=AXIS2_PLACEMENT_3D('',#38249,#30671,#30672); #25196=AXIS2_PLACEMENT_3D('',#38251,#30673,#30674); #25197=AXIS2_PLACEMENT_3D('',#38252,#30675,#30676); #25198=AXIS2_PLACEMENT_3D('',#38254,#30677,#30678); #25199=AXIS2_PLACEMENT_3D('',#38255,#30679,#30680); #25200=AXIS2_PLACEMENT_3D('',#38257,#30681,#30682); #25201=AXIS2_PLACEMENT_3D('',#38258,#30683,#30684); #25202=AXIS2_PLACEMENT_3D('',#38260,#30685,#30686); #25203=AXIS2_PLACEMENT_3D('',#38261,#30687,#30688); #25204=AXIS2_PLACEMENT_3D('',#38263,#30689,#30690); #25205=AXIS2_PLACEMENT_3D('',#38264,#30691,#30692); #25206=AXIS2_PLACEMENT_3D('',#38266,#30693,#30694); #25207=AXIS2_PLACEMENT_3D('',#38267,#30695,#30696); #25208=AXIS2_PLACEMENT_3D('',#38269,#30697,#30698); #25209=AXIS2_PLACEMENT_3D('',#38270,#30699,#30700); #25210=AXIS2_PLACEMENT_3D('',#38272,#30701,#30702); #25211=AXIS2_PLACEMENT_3D('',#38273,#30703,#30704); #25212=AXIS2_PLACEMENT_3D('',#38275,#30705,#30706); #25213=AXIS2_PLACEMENT_3D('',#38276,#30707,#30708); #25214=AXIS2_PLACEMENT_3D('',#38278,#30709,#30710); #25215=AXIS2_PLACEMENT_3D('',#38279,#30711,#30712); #25216=AXIS2_PLACEMENT_3D('',#38281,#30713,#30714); #25217=AXIS2_PLACEMENT_3D('',#38282,#30715,#30716); #25218=AXIS2_PLACEMENT_3D('',#38284,#30717,#30718); #25219=AXIS2_PLACEMENT_3D('',#38285,#30719,#30720); #25220=AXIS2_PLACEMENT_3D('',#38287,#30721,#30722); #25221=AXIS2_PLACEMENT_3D('',#38288,#30723,#30724); #25222=AXIS2_PLACEMENT_3D('',#38290,#30725,#30726); #25223=AXIS2_PLACEMENT_3D('',#38291,#30727,#30728); #25224=AXIS2_PLACEMENT_3D('',#38293,#30729,#30730); #25225=AXIS2_PLACEMENT_3D('',#38294,#30731,#30732); #25226=AXIS2_PLACEMENT_3D('',#38296,#30733,#30734); #25227=AXIS2_PLACEMENT_3D('',#38297,#30735,#30736); #25228=AXIS2_PLACEMENT_3D('',#38299,#30737,#30738); #25229=AXIS2_PLACEMENT_3D('',#38300,#30739,#30740); #25230=AXIS2_PLACEMENT_3D('',#38302,#30741,#30742); #25231=AXIS2_PLACEMENT_3D('',#38304,#30743,#30744); #25232=AXIS2_PLACEMENT_3D('',#38349,#30745,#30746); #25233=AXIS2_PLACEMENT_3D('',#38350,#30747,#30748); #25234=AXIS2_PLACEMENT_3D('',#38351,#30749,#30750); #25235=AXIS2_PLACEMENT_3D('',#38352,#30751,#30752); #25236=AXIS2_PLACEMENT_3D('',#38354,#30753,#30754); #25237=AXIS2_PLACEMENT_3D('',#38355,#30755,#30756); #25238=AXIS2_PLACEMENT_3D('',#38357,#30757,#30758); #25239=AXIS2_PLACEMENT_3D('',#38358,#30759,#30760); #25240=AXIS2_PLACEMENT_3D('',#38360,#30761,#30762); #25241=AXIS2_PLACEMENT_3D('',#38361,#30763,#30764); #25242=AXIS2_PLACEMENT_3D('',#38363,#30765,#30766); #25243=AXIS2_PLACEMENT_3D('',#38364,#30767,#30768); #25244=AXIS2_PLACEMENT_3D('',#38366,#30769,#30770); #25245=AXIS2_PLACEMENT_3D('',#38367,#30771,#30772); #25246=AXIS2_PLACEMENT_3D('',#38369,#30773,#30774); #25247=AXIS2_PLACEMENT_3D('',#38370,#30775,#30776); #25248=AXIS2_PLACEMENT_3D('',#38372,#30777,#30778); #25249=AXIS2_PLACEMENT_3D('',#38373,#30779,#30780); #25250=AXIS2_PLACEMENT_3D('',#38375,#30781,#30782); #25251=AXIS2_PLACEMENT_3D('',#38376,#30783,#30784); #25252=AXIS2_PLACEMENT_3D('',#38387,#30785,#30786); #25253=AXIS2_PLACEMENT_3D('',#38388,#30787,#30788); #25254=AXIS2_PLACEMENT_3D('',#38419,#30789,#30790); #25255=AXIS2_PLACEMENT_3D('',#38420,#30791,#30792); #25256=AXIS2_PLACEMENT_3D('',#38422,#30793,#30794); #25257=AXIS2_PLACEMENT_3D('',#38423,#30795,#30796); #25258=AXIS2_PLACEMENT_3D('',#38425,#30797,#30798); #25259=AXIS2_PLACEMENT_3D('',#38426,#30799,#30800); #25260=AXIS2_PLACEMENT_3D('',#38428,#30801,#30802); #25261=AXIS2_PLACEMENT_3D('',#38429,#30803,#30804); #25262=AXIS2_PLACEMENT_3D('',#38431,#30805,#30806); #25263=AXIS2_PLACEMENT_3D('',#38432,#30807,#30808); #25264=AXIS2_PLACEMENT_3D('',#38434,#30809,#30810); #25265=AXIS2_PLACEMENT_3D('',#38435,#30811,#30812); #25266=AXIS2_PLACEMENT_3D('',#38437,#30813,#30814); #25267=AXIS2_PLACEMENT_3D('',#38438,#30815,#30816); #25268=AXIS2_PLACEMENT_3D('',#38440,#30817,#30818); #25269=AXIS2_PLACEMENT_3D('',#38441,#30819,#30820); #25270=AXIS2_PLACEMENT_3D('',#38443,#30821,#30822); #25271=AXIS2_PLACEMENT_3D('',#38444,#30823,#30824); #25272=AXIS2_PLACEMENT_3D('',#38446,#30825,#30826); #25273=AXIS2_PLACEMENT_3D('',#38447,#30827,#30828); #25274=AXIS2_PLACEMENT_3D('',#38449,#30829,#30830); #25275=AXIS2_PLACEMENT_3D('',#38450,#30831,#30832); #25276=AXIS2_PLACEMENT_3D('',#38452,#30833,#30834); #25277=AXIS2_PLACEMENT_3D('',#38453,#30835,#30836); #25278=AXIS2_PLACEMENT_3D('',#38455,#30837,#30838); #25279=AXIS2_PLACEMENT_3D('',#38456,#30839,#30840); #25280=AXIS2_PLACEMENT_3D('',#38458,#30841,#30842); #25281=AXIS2_PLACEMENT_3D('',#38459,#30843,#30844); #25282=AXIS2_PLACEMENT_3D('',#38461,#30845,#30846); #25283=AXIS2_PLACEMENT_3D('',#38462,#30847,#30848); #25284=AXIS2_PLACEMENT_3D('',#38464,#30849,#30850); #25285=AXIS2_PLACEMENT_3D('',#38465,#30851,#30852); #25286=AXIS2_PLACEMENT_3D('',#38467,#30853,#30854); #25287=AXIS2_PLACEMENT_3D('',#38468,#30855,#30856); #25288=AXIS2_PLACEMENT_3D('',#38470,#30857,#30858); #25289=AXIS2_PLACEMENT_3D('',#38471,#30859,#30860); #25290=AXIS2_PLACEMENT_3D('',#38473,#30861,#30862); #25291=AXIS2_PLACEMENT_3D('',#38474,#30863,#30864); #25292=AXIS2_PLACEMENT_3D('',#38476,#30865,#30866); #25293=AXIS2_PLACEMENT_3D('',#38477,#30867,#30868); #25294=AXIS2_PLACEMENT_3D('',#38479,#30869,#30870); #25295=AXIS2_PLACEMENT_3D('',#38480,#30871,#30872); #25296=AXIS2_PLACEMENT_3D('',#38482,#30873,#30874); #25297=AXIS2_PLACEMENT_3D('',#38483,#30875,#30876); #25298=AXIS2_PLACEMENT_3D('',#38485,#30877,#30878); #25299=AXIS2_PLACEMENT_3D('',#38486,#30879,#30880); #25300=AXIS2_PLACEMENT_3D('',#38488,#30881,#30882); #25301=AXIS2_PLACEMENT_3D('',#38489,#30883,#30884); #25302=AXIS2_PLACEMENT_3D('',#38491,#30885,#30886); #25303=AXIS2_PLACEMENT_3D('',#38492,#30887,#30888); #25304=AXIS2_PLACEMENT_3D('',#38494,#30889,#30890); #25305=AXIS2_PLACEMENT_3D('',#38495,#30891,#30892); #25306=AXIS2_PLACEMENT_3D('',#38497,#30893,#30894); #25307=AXIS2_PLACEMENT_3D('',#38498,#30895,#30896); #25308=AXIS2_PLACEMENT_3D('',#38500,#30897,#30898); #25309=AXIS2_PLACEMENT_3D('',#38501,#30899,#30900); #25310=AXIS2_PLACEMENT_3D('',#38503,#30901,#30902); #25311=AXIS2_PLACEMENT_3D('',#38504,#30903,#30904); #25312=AXIS2_PLACEMENT_3D('',#38506,#30905,#30906); #25313=AXIS2_PLACEMENT_3D('',#38507,#30907,#30908); #25314=AXIS2_PLACEMENT_3D('',#38509,#30909,#30910); #25315=AXIS2_PLACEMENT_3D('',#38510,#30911,#30912); #25316=AXIS2_PLACEMENT_3D('',#38512,#30913,#30914); #25317=AXIS2_PLACEMENT_3D('',#38513,#30915,#30916); #25318=AXIS2_PLACEMENT_3D('',#38515,#30917,#30918); #25319=AXIS2_PLACEMENT_3D('',#38516,#30919,#30920); #25320=AXIS2_PLACEMENT_3D('',#38518,#30921,#30922); #25321=AXIS2_PLACEMENT_3D('',#38519,#30923,#30924); #25322=AXIS2_PLACEMENT_3D('',#38521,#30925,#30926); #25323=AXIS2_PLACEMENT_3D('',#38522,#30927,#30928); #25324=AXIS2_PLACEMENT_3D('',#38524,#30929,#30930); #25325=AXIS2_PLACEMENT_3D('',#38525,#30931,#30932); #25326=AXIS2_PLACEMENT_3D('',#38527,#30933,#30934); #25327=AXIS2_PLACEMENT_3D('',#38528,#30935,#30936); #25328=AXIS2_PLACEMENT_3D('',#38530,#30937,#30938); #25329=AXIS2_PLACEMENT_3D('',#38531,#30939,#30940); #25330=AXIS2_PLACEMENT_3D('',#38533,#30941,#30942); #25331=AXIS2_PLACEMENT_3D('',#38534,#30943,#30944); #25332=AXIS2_PLACEMENT_3D('',#38536,#30945,#30946); #25333=AXIS2_PLACEMENT_3D('',#38537,#30947,#30948); #25334=AXIS2_PLACEMENT_3D('',#38539,#30949,#30950); #25335=AXIS2_PLACEMENT_3D('',#38540,#30951,#30952); #25336=AXIS2_PLACEMENT_3D('',#38542,#30953,#30954); #25337=AXIS2_PLACEMENT_3D('',#38543,#30955,#30956); #25338=AXIS2_PLACEMENT_3D('',#38545,#30957,#30958); #25339=AXIS2_PLACEMENT_3D('',#38546,#30959,#30960); #25340=AXIS2_PLACEMENT_3D('',#38548,#30961,#30962); #25341=AXIS2_PLACEMENT_3D('',#38549,#30963,#30964); #25342=AXIS2_PLACEMENT_3D('',#38551,#30965,#30966); #25343=AXIS2_PLACEMENT_3D('',#38552,#30967,#30968); #25344=AXIS2_PLACEMENT_3D('',#38554,#30969,#30970); #25345=AXIS2_PLACEMENT_3D('',#38555,#30971,#30972); #25346=AXIS2_PLACEMENT_3D('',#38557,#30973,#30974); #25347=AXIS2_PLACEMENT_3D('',#38558,#30975,#30976); #25348=AXIS2_PLACEMENT_3D('',#38560,#30977,#30978); #25349=AXIS2_PLACEMENT_3D('',#38561,#30979,#30980); #25350=AXIS2_PLACEMENT_3D('',#38563,#30981,#30982); #25351=AXIS2_PLACEMENT_3D('',#38564,#30983,#30984); #25352=AXIS2_PLACEMENT_3D('',#38566,#30985,#30986); #25353=AXIS2_PLACEMENT_3D('',#38567,#30987,#30988); #25354=AXIS2_PLACEMENT_3D('',#38569,#30989,#30990); #25355=AXIS2_PLACEMENT_3D('',#38570,#30991,#30992); #25356=AXIS2_PLACEMENT_3D('',#38572,#30993,#30994); #25357=AXIS2_PLACEMENT_3D('',#38573,#30995,#30996); #25358=AXIS2_PLACEMENT_3D('',#38575,#30997,#30998); #25359=AXIS2_PLACEMENT_3D('',#38576,#30999,#31000); #25360=AXIS2_PLACEMENT_3D('',#38578,#31001,#31002); #25361=AXIS2_PLACEMENT_3D('',#38579,#31003,#31004); #25362=AXIS2_PLACEMENT_3D('',#38581,#31005,#31006); #25363=AXIS2_PLACEMENT_3D('',#38582,#31007,#31008); #25364=AXIS2_PLACEMENT_3D('',#38584,#31009,#31010); #25365=AXIS2_PLACEMENT_3D('',#38585,#31011,#31012); #25366=AXIS2_PLACEMENT_3D('',#38587,#31013,#31014); #25367=AXIS2_PLACEMENT_3D('',#38588,#31015,#31016); #25368=AXIS2_PLACEMENT_3D('',#38590,#31017,#31018); #25369=AXIS2_PLACEMENT_3D('',#38591,#31019,#31020); #25370=AXIS2_PLACEMENT_3D('',#38593,#31021,#31022); #25371=AXIS2_PLACEMENT_3D('',#38594,#31023,#31024); #25372=AXIS2_PLACEMENT_3D('',#38596,#31025,#31026); #25373=AXIS2_PLACEMENT_3D('',#38597,#31027,#31028); #25374=AXIS2_PLACEMENT_3D('',#38599,#31029,#31030); #25375=AXIS2_PLACEMENT_3D('',#38600,#31031,#31032); #25376=AXIS2_PLACEMENT_3D('',#38602,#31033,#31034); #25377=AXIS2_PLACEMENT_3D('',#38603,#31035,#31036); #25378=AXIS2_PLACEMENT_3D('',#38605,#31037,#31038); #25379=AXIS2_PLACEMENT_3D('',#38606,#31039,#31040); #25380=AXIS2_PLACEMENT_3D('',#38608,#31041,#31042); #25381=AXIS2_PLACEMENT_3D('',#38609,#31043,#31044); #25382=AXIS2_PLACEMENT_3D('',#38611,#31045,#31046); #25383=AXIS2_PLACEMENT_3D('',#38612,#31047,#31048); #25384=AXIS2_PLACEMENT_3D('',#38614,#31049,#31050); #25385=AXIS2_PLACEMENT_3D('',#38615,#31051,#31052); #25386=AXIS2_PLACEMENT_3D('',#38617,#31053,#31054); #25387=AXIS2_PLACEMENT_3D('',#38618,#31055,#31056); #25388=AXIS2_PLACEMENT_3D('',#38620,#31057,#31058); #25389=AXIS2_PLACEMENT_3D('',#38621,#31059,#31060); #25390=AXIS2_PLACEMENT_3D('',#38623,#31061,#31062); #25391=AXIS2_PLACEMENT_3D('',#38624,#31063,#31064); #25392=AXIS2_PLACEMENT_3D('',#38626,#31065,#31066); #25393=AXIS2_PLACEMENT_3D('',#38627,#31067,#31068); #25394=AXIS2_PLACEMENT_3D('',#38629,#31069,#31070); #25395=AXIS2_PLACEMENT_3D('',#38630,#31071,#31072); #25396=AXIS2_PLACEMENT_3D('',#38632,#31073,#31074); #25397=AXIS2_PLACEMENT_3D('',#38633,#31075,#31076); #25398=AXIS2_PLACEMENT_3D('',#38635,#31077,#31078); #25399=AXIS2_PLACEMENT_3D('',#38636,#31079,#31080); #25400=AXIS2_PLACEMENT_3D('',#38638,#31081,#31082); #25401=AXIS2_PLACEMENT_3D('',#38639,#31083,#31084); #25402=AXIS2_PLACEMENT_3D('',#38641,#31085,#31086); #25403=AXIS2_PLACEMENT_3D('',#38642,#31087,#31088); #25404=AXIS2_PLACEMENT_3D('',#38644,#31089,#31090); #25405=AXIS2_PLACEMENT_3D('',#38645,#31091,#31092); #25406=AXIS2_PLACEMENT_3D('',#38647,#31093,#31094); #25407=AXIS2_PLACEMENT_3D('',#38648,#31095,#31096); #25408=AXIS2_PLACEMENT_3D('',#38650,#31097,#31098); #25409=AXIS2_PLACEMENT_3D('',#38651,#31099,#31100); #25410=AXIS2_PLACEMENT_3D('',#38653,#31101,#31102); #25411=AXIS2_PLACEMENT_3D('',#38654,#31103,#31104); #25412=AXIS2_PLACEMENT_3D('',#38656,#31105,#31106); #25413=AXIS2_PLACEMENT_3D('',#38657,#31107,#31108); #25414=AXIS2_PLACEMENT_3D('',#38659,#31109,#31110); #25415=AXIS2_PLACEMENT_3D('',#38660,#31111,#31112); #25416=AXIS2_PLACEMENT_3D('',#38662,#31113,#31114); #25417=AXIS2_PLACEMENT_3D('',#38663,#31115,#31116); #25418=AXIS2_PLACEMENT_3D('',#38665,#31117,#31118); #25419=AXIS2_PLACEMENT_3D('',#38666,#31119,#31120); #25420=AXIS2_PLACEMENT_3D('',#38668,#31121,#31122); #25421=AXIS2_PLACEMENT_3D('',#38669,#31123,#31124); #25422=AXIS2_PLACEMENT_3D('',#38671,#31125,#31126); #25423=AXIS2_PLACEMENT_3D('',#38672,#31127,#31128); #25424=AXIS2_PLACEMENT_3D('',#38674,#31129,#31130); #25425=AXIS2_PLACEMENT_3D('',#38675,#31131,#31132); #25426=AXIS2_PLACEMENT_3D('',#38677,#31133,#31134); #25427=AXIS2_PLACEMENT_3D('',#38678,#31135,#31136); #25428=AXIS2_PLACEMENT_3D('',#38680,#31137,#31138); #25429=AXIS2_PLACEMENT_3D('',#38681,#31139,#31140); #25430=AXIS2_PLACEMENT_3D('',#38683,#31141,#31142); #25431=AXIS2_PLACEMENT_3D('',#38684,#31143,#31144); #25432=AXIS2_PLACEMENT_3D('',#38686,#31145,#31146); #25433=AXIS2_PLACEMENT_3D('',#38687,#31147,#31148); #25434=AXIS2_PLACEMENT_3D('',#38689,#31149,#31150); #25435=AXIS2_PLACEMENT_3D('',#38690,#31151,#31152); #25436=AXIS2_PLACEMENT_3D('',#38692,#31153,#31154); #25437=AXIS2_PLACEMENT_3D('',#38693,#31155,#31156); #25438=AXIS2_PLACEMENT_3D('',#38695,#31157,#31158); #25439=AXIS2_PLACEMENT_3D('',#38696,#31159,#31160); #25440=AXIS2_PLACEMENT_3D('',#38698,#31161,#31162); #25441=AXIS2_PLACEMENT_3D('',#38699,#31163,#31164); #25442=AXIS2_PLACEMENT_3D('',#38701,#31165,#31166); #25443=AXIS2_PLACEMENT_3D('',#38702,#31167,#31168); #25444=AXIS2_PLACEMENT_3D('',#38704,#31169,#31170); #25445=AXIS2_PLACEMENT_3D('',#38705,#31171,#31172); #25446=AXIS2_PLACEMENT_3D('',#38707,#31173,#31174); #25447=AXIS2_PLACEMENT_3D('',#38708,#31175,#31176); #25448=AXIS2_PLACEMENT_3D('',#38710,#31177,#31178); #25449=AXIS2_PLACEMENT_3D('',#38711,#31179,#31180); #25450=AXIS2_PLACEMENT_3D('',#38713,#31181,#31182); #25451=AXIS2_PLACEMENT_3D('',#38714,#31183,#31184); #25452=AXIS2_PLACEMENT_3D('',#38716,#31185,#31186); #25453=AXIS2_PLACEMENT_3D('',#38717,#31187,#31188); #25454=AXIS2_PLACEMENT_3D('',#38719,#31189,#31190); #25455=AXIS2_PLACEMENT_3D('',#38720,#31191,#31192); #25456=AXIS2_PLACEMENT_3D('',#38722,#31193,#31194); #25457=AXIS2_PLACEMENT_3D('',#38723,#31195,#31196); #25458=AXIS2_PLACEMENT_3D('',#38725,#31197,#31198); #25459=AXIS2_PLACEMENT_3D('',#38726,#31199,#31200); #25460=AXIS2_PLACEMENT_3D('',#38728,#31201,#31202); #25461=AXIS2_PLACEMENT_3D('',#38729,#31203,#31204); #25462=AXIS2_PLACEMENT_3D('',#38731,#31205,#31206); #25463=AXIS2_PLACEMENT_3D('',#38732,#31207,#31208); #25464=AXIS2_PLACEMENT_3D('',#38734,#31209,#31210); #25465=AXIS2_PLACEMENT_3D('',#38735,#31211,#31212); #25466=AXIS2_PLACEMENT_3D('',#38737,#31213,#31214); #25467=AXIS2_PLACEMENT_3D('',#38738,#31215,#31216); #25468=AXIS2_PLACEMENT_3D('',#38740,#31217,#31218); #25469=AXIS2_PLACEMENT_3D('',#38741,#31219,#31220); #25470=AXIS2_PLACEMENT_3D('',#38743,#31221,#31222); #25471=AXIS2_PLACEMENT_3D('',#38744,#31223,#31224); #25472=AXIS2_PLACEMENT_3D('',#38746,#31225,#31226); #25473=AXIS2_PLACEMENT_3D('',#38747,#31227,#31228); #25474=AXIS2_PLACEMENT_3D('',#38749,#31229,#31230); #25475=AXIS2_PLACEMENT_3D('',#38750,#31231,#31232); #25476=AXIS2_PLACEMENT_3D('',#38752,#31233,#31234); #25477=AXIS2_PLACEMENT_3D('',#38753,#31235,#31236); #25478=AXIS2_PLACEMENT_3D('',#38755,#31237,#31238); #25479=AXIS2_PLACEMENT_3D('',#38756,#31239,#31240); #25480=AXIS2_PLACEMENT_3D('',#38758,#31241,#31242); #25481=AXIS2_PLACEMENT_3D('',#38759,#31243,#31244); #25482=AXIS2_PLACEMENT_3D('',#38761,#31245,#31246); #25483=AXIS2_PLACEMENT_3D('',#38762,#31247,#31248); #25484=AXIS2_PLACEMENT_3D('',#38764,#31249,#31250); #25485=AXIS2_PLACEMENT_3D('',#38765,#31251,#31252); #25486=AXIS2_PLACEMENT_3D('',#38767,#31253,#31254); #25487=AXIS2_PLACEMENT_3D('',#38768,#31255,#31256); #25488=AXIS2_PLACEMENT_3D('',#38770,#31257,#31258); #25489=AXIS2_PLACEMENT_3D('',#38771,#31259,#31260); #25490=AXIS2_PLACEMENT_3D('',#38773,#31261,#31262); #25491=AXIS2_PLACEMENT_3D('',#38774,#31263,#31264); #25492=AXIS2_PLACEMENT_3D('',#38776,#31265,#31266); #25493=AXIS2_PLACEMENT_3D('',#38777,#31267,#31268); #25494=AXIS2_PLACEMENT_3D('',#38779,#31269,#31270); #25495=AXIS2_PLACEMENT_3D('',#38780,#31271,#31272); #25496=AXIS2_PLACEMENT_3D('',#38782,#31273,#31274); #25497=AXIS2_PLACEMENT_3D('',#38783,#31275,#31276); #25498=AXIS2_PLACEMENT_3D('',#38785,#31277,#31278); #25499=AXIS2_PLACEMENT_3D('',#38786,#31279,#31280); #25500=AXIS2_PLACEMENT_3D('',#38788,#31281,#31282); #25501=AXIS2_PLACEMENT_3D('',#38789,#31283,#31284); #25502=AXIS2_PLACEMENT_3D('',#38791,#31285,#31286); #25503=AXIS2_PLACEMENT_3D('',#38792,#31287,#31288); #25504=AXIS2_PLACEMENT_3D('',#38794,#31289,#31290); #25505=AXIS2_PLACEMENT_3D('',#38795,#31291,#31292); #25506=AXIS2_PLACEMENT_3D('',#38797,#31293,#31294); #25507=AXIS2_PLACEMENT_3D('',#38798,#31295,#31296); #25508=AXIS2_PLACEMENT_3D('',#38800,#31297,#31298); #25509=AXIS2_PLACEMENT_3D('',#38801,#31299,#31300); #25510=AXIS2_PLACEMENT_3D('',#38803,#31301,#31302); #25511=AXIS2_PLACEMENT_3D('',#38804,#31303,#31304); #25512=AXIS2_PLACEMENT_3D('',#38806,#31305,#31306); #25513=AXIS2_PLACEMENT_3D('',#38807,#31307,#31308); #25514=AXIS2_PLACEMENT_3D('',#38809,#31309,#31310); #25515=AXIS2_PLACEMENT_3D('',#38810,#31311,#31312); #25516=AXIS2_PLACEMENT_3D('',#38812,#31313,#31314); #25517=AXIS2_PLACEMENT_3D('',#38813,#31315,#31316); #25518=AXIS2_PLACEMENT_3D('',#38815,#31317,#31318); #25519=AXIS2_PLACEMENT_3D('',#38816,#31319,#31320); #25520=AXIS2_PLACEMENT_3D('',#38818,#31321,#31322); #25521=AXIS2_PLACEMENT_3D('',#38819,#31323,#31324); #25522=AXIS2_PLACEMENT_3D('',#38821,#31325,#31326); #25523=AXIS2_PLACEMENT_3D('',#38822,#31327,#31328); #25524=AXIS2_PLACEMENT_3D('',#38824,#31329,#31330); #25525=AXIS2_PLACEMENT_3D('',#38825,#31331,#31332); #25526=AXIS2_PLACEMENT_3D('',#38827,#31333,#31334); #25527=AXIS2_PLACEMENT_3D('',#38828,#31335,#31336); #25528=AXIS2_PLACEMENT_3D('',#38830,#31337,#31338); #25529=AXIS2_PLACEMENT_3D('',#38831,#31339,#31340); #25530=AXIS2_PLACEMENT_3D('',#38833,#31341,#31342); #25531=AXIS2_PLACEMENT_3D('',#38834,#31343,#31344); #25532=AXIS2_PLACEMENT_3D('',#38836,#31345,#31346); #25533=AXIS2_PLACEMENT_3D('',#38837,#31347,#31348); #25534=AXIS2_PLACEMENT_3D('',#38839,#31349,#31350); #25535=AXIS2_PLACEMENT_3D('',#38840,#31351,#31352); #25536=AXIS2_PLACEMENT_3D('',#38842,#31353,#31354); #25537=AXIS2_PLACEMENT_3D('',#38843,#31355,#31356); #25538=AXIS2_PLACEMENT_3D('',#38845,#31357,#31358); #25539=AXIS2_PLACEMENT_3D('',#38846,#31359,#31360); #25540=AXIS2_PLACEMENT_3D('',#38848,#31361,#31362); #25541=AXIS2_PLACEMENT_3D('',#38849,#31363,#31364); #25542=AXIS2_PLACEMENT_3D('',#38851,#31365,#31366); #25543=AXIS2_PLACEMENT_3D('',#38852,#31367,#31368); #25544=AXIS2_PLACEMENT_3D('',#38854,#31369,#31370); #25545=AXIS2_PLACEMENT_3D('',#38855,#31371,#31372); #25546=AXIS2_PLACEMENT_3D('',#38857,#31373,#31374); #25547=AXIS2_PLACEMENT_3D('',#38858,#31375,#31376); #25548=AXIS2_PLACEMENT_3D('',#38860,#31377,#31378); #25549=AXIS2_PLACEMENT_3D('',#38861,#31379,#31380); #25550=AXIS2_PLACEMENT_3D('',#38863,#31381,#31382); #25551=AXIS2_PLACEMENT_3D('',#38864,#31383,#31384); #25552=AXIS2_PLACEMENT_3D('',#38866,#31385,#31386); #25553=AXIS2_PLACEMENT_3D('',#38867,#31387,#31388); #25554=AXIS2_PLACEMENT_3D('',#38868,#31389,#31390); #25555=AXIS2_PLACEMENT_3D('',#38870,#31391,#31392); #25556=AXIS2_PLACEMENT_3D('',#38871,#31393,#31394); #25557=AXIS2_PLACEMENT_3D('',#38872,#31395,#31396); #25558=AXIS2_PLACEMENT_3D('',#38874,#31397,#31398); #25559=AXIS2_PLACEMENT_3D('',#38875,#31399,#31400); #25560=AXIS2_PLACEMENT_3D('',#38876,#31401,#31402); #25561=AXIS2_PLACEMENT_3D('',#38878,#31403,#31404); #25562=AXIS2_PLACEMENT_3D('',#38879,#31405,#31406); #25563=AXIS2_PLACEMENT_3D('',#38880,#31407,#31408); #25564=AXIS2_PLACEMENT_3D('',#38882,#31409,#31410); #25565=AXIS2_PLACEMENT_3D('',#38883,#31411,#31412); #25566=AXIS2_PLACEMENT_3D('',#38884,#31413,#31414); #25567=AXIS2_PLACEMENT_3D('',#38886,#31415,#31416); #25568=AXIS2_PLACEMENT_3D('',#38887,#31417,#31418); #25569=AXIS2_PLACEMENT_3D('',#38888,#31419,#31420); #25570=AXIS2_PLACEMENT_3D('',#38890,#31421,#31422); #25571=AXIS2_PLACEMENT_3D('',#38891,#31423,#31424); #25572=AXIS2_PLACEMENT_3D('',#38892,#31425,#31426); #25573=AXIS2_PLACEMENT_3D('',#38894,#31427,#31428); #25574=AXIS2_PLACEMENT_3D('',#38895,#31429,#31430); #25575=AXIS2_PLACEMENT_3D('',#38896,#31431,#31432); #25576=AXIS2_PLACEMENT_3D('',#38898,#31433,#31434); #25577=AXIS2_PLACEMENT_3D('',#38899,#31435,#31436); #25578=AXIS2_PLACEMENT_3D('',#38901,#31437,#31438); #25579=AXIS2_PLACEMENT_3D('',#38902,#31439,#31440); #25580=AXIS2_PLACEMENT_3D('',#38904,#31441,#31442); #25581=AXIS2_PLACEMENT_3D('',#38905,#31443,#31444); #25582=AXIS2_PLACEMENT_3D('',#38907,#31445,#31446); #25583=AXIS2_PLACEMENT_3D('',#38908,#31447,#31448); #25584=AXIS2_PLACEMENT_3D('',#38910,#31449,#31450); #25585=AXIS2_PLACEMENT_3D('',#38911,#31451,#31452); #25586=AXIS2_PLACEMENT_3D('',#38913,#31453,#31454); #25587=AXIS2_PLACEMENT_3D('',#38914,#31455,#31456); #25588=AXIS2_PLACEMENT_3D('',#38916,#31457,#31458); #25589=AXIS2_PLACEMENT_3D('',#38917,#31459,#31460); #25590=AXIS2_PLACEMENT_3D('',#38919,#31461,#31462); #25591=AXIS2_PLACEMENT_3D('',#38920,#31463,#31464); #25592=AXIS2_PLACEMENT_3D('',#38922,#31465,#31466); #25593=AXIS2_PLACEMENT_3D('',#38923,#31467,#31468); #25594=AXIS2_PLACEMENT_3D('',#38925,#31469,#31470); #25595=AXIS2_PLACEMENT_3D('',#38926,#31471,#31472); #25596=AXIS2_PLACEMENT_3D('',#38928,#31473,#31474); #25597=AXIS2_PLACEMENT_3D('',#38929,#31475,#31476); #25598=AXIS2_PLACEMENT_3D('',#38931,#31477,#31478); #25599=AXIS2_PLACEMENT_3D('',#38932,#31479,#31480); #25600=AXIS2_PLACEMENT_3D('',#38934,#31481,#31482); #25601=AXIS2_PLACEMENT_3D('',#38935,#31483,#31484); #25602=AXIS2_PLACEMENT_3D('',#38937,#31485,#31486); #25603=AXIS2_PLACEMENT_3D('',#38938,#31487,#31488); #25604=AXIS2_PLACEMENT_3D('',#38940,#31489,#31490); #25605=AXIS2_PLACEMENT_3D('',#38941,#31491,#31492); #25606=AXIS2_PLACEMENT_3D('',#38943,#31493,#31494); #25607=AXIS2_PLACEMENT_3D('',#38944,#31495,#31496); #25608=AXIS2_PLACEMENT_3D('',#38946,#31497,#31498); #25609=AXIS2_PLACEMENT_3D('',#38947,#31499,#31500); #25610=AXIS2_PLACEMENT_3D('',#38949,#31501,#31502); #25611=AXIS2_PLACEMENT_3D('',#38950,#31503,#31504); #25612=AXIS2_PLACEMENT_3D('',#38952,#31505,#31506); #25613=AXIS2_PLACEMENT_3D('',#38953,#31507,#31508); #25614=AXIS2_PLACEMENT_3D('',#38955,#31509,#31510); #25615=AXIS2_PLACEMENT_3D('',#38956,#31511,#31512); #25616=AXIS2_PLACEMENT_3D('',#38958,#31513,#31514); #25617=AXIS2_PLACEMENT_3D('',#38959,#31515,#31516); #25618=AXIS2_PLACEMENT_3D('',#38961,#31517,#31518); #25619=AXIS2_PLACEMENT_3D('',#38962,#31519,#31520); #25620=AXIS2_PLACEMENT_3D('',#38964,#31521,#31522); #25621=AXIS2_PLACEMENT_3D('',#38965,#31523,#31524); #25622=AXIS2_PLACEMENT_3D('',#38967,#31525,#31526); #25623=AXIS2_PLACEMENT_3D('',#38968,#31527,#31528); #25624=AXIS2_PLACEMENT_3D('',#38970,#31529,#31530); #25625=AXIS2_PLACEMENT_3D('',#38971,#31531,#31532); #25626=AXIS2_PLACEMENT_3D('',#38973,#31533,#31534); #25627=AXIS2_PLACEMENT_3D('',#38974,#31535,#31536); #25628=AXIS2_PLACEMENT_3D('',#38976,#31537,#31538); #25629=AXIS2_PLACEMENT_3D('',#38977,#31539,#31540); #25630=AXIS2_PLACEMENT_3D('',#38979,#31541,#31542); #25631=AXIS2_PLACEMENT_3D('',#38980,#31543,#31544); #25632=AXIS2_PLACEMENT_3D('',#38982,#31545,#31546); #25633=AXIS2_PLACEMENT_3D('',#38983,#31547,#31548); #25634=AXIS2_PLACEMENT_3D('',#38985,#31549,#31550); #25635=AXIS2_PLACEMENT_3D('',#38986,#31551,#31552); #25636=AXIS2_PLACEMENT_3D('',#38988,#31553,#31554); #25637=AXIS2_PLACEMENT_3D('',#38989,#31555,#31556); #25638=AXIS2_PLACEMENT_3D('',#38995,#31560,#31561); #25639=AXIS2_PLACEMENT_3D('',#39003,#31565,#31566); #25640=AXIS2_PLACEMENT_3D('',#39007,#31568,#31569); #25641=AXIS2_PLACEMENT_3D('',#39011,#31571,#31572); #25642=AXIS2_PLACEMENT_3D('',#39013,#31573,#31574); #25643=AXIS2_PLACEMENT_3D('',#39015,#31575,#31576); #25644=AXIS2_PLACEMENT_3D('',#39019,#31578,#31579); #25645=AXIS2_PLACEMENT_3D('',#39023,#31581,#31582); #25646=AXIS2_PLACEMENT_3D('',#39027,#31584,#31585); #25647=AXIS2_PLACEMENT_3D('',#39029,#31587,#31588); #25648=AXIS2_PLACEMENT_3D('',#39033,#31591,#31592); #25649=AXIS2_PLACEMENT_3D('',#39041,#31597,#31598); #25650=AXIS2_PLACEMENT_3D('',#39045,#31601,#31602); #25651=AXIS2_PLACEMENT_3D('',#39047,#31604,#31605); #25652=AXIS2_PLACEMENT_3D('',#39051,#31607,#31608); #25653=AXIS2_PLACEMENT_3D('',#39055,#31610,#31611); #25654=AXIS2_PLACEMENT_3D('',#39059,#31613,#31614); #25655=AXIS2_PLACEMENT_3D('',#39063,#31616,#31617); #25656=AXIS2_PLACEMENT_3D('',#39067,#31619,#31620); #25657=AXIS2_PLACEMENT_3D('',#39071,#31622,#31623); #25658=AXIS2_PLACEMENT_3D('',#39073,#31624,#31625); #25659=AXIS2_PLACEMENT_3D('',#39075,#31626,#31627); #25660=AXIS2_PLACEMENT_3D('',#39077,#31629,#31630); #25661=AXIS2_PLACEMENT_3D('',#39080,#31633,#31634); #25662=AXIS2_PLACEMENT_3D('',#39082,#31636,#31637); #25663=AXIS2_PLACEMENT_3D('',#39085,#31640,#31641); #25664=AXIS2_PLACEMENT_3D('',#39086,#31642,#31643); #25665=AXIS2_PLACEMENT_3D('',#39088,#31645,#31646); #25666=AXIS2_PLACEMENT_3D('',#39091,#31649,#31650); #25667=AXIS2_PLACEMENT_3D('',#39094,#31653,#31654); #25668=AXIS2_PLACEMENT_3D('',#39097,#31657,#31658); #25669=AXIS2_PLACEMENT_3D('',#39098,#31659,#31660); #25670=AXIS2_PLACEMENT_3D('',#39102,#31663,#31664); #25671=AXIS2_PLACEMENT_3D('',#39104,#31665,#31666); #25672=AXIS2_PLACEMENT_3D('',#39107,#31668,#31669); #25673=AXIS2_PLACEMENT_3D('',#39108,#31670,#31671); #25674=AXIS2_PLACEMENT_3D('',#39110,#31672,#31673); #25675=AXIS2_PLACEMENT_3D('',#39112,#31675,#31676); #25676=AXIS2_PLACEMENT_3D('',#39113,#31677,#31678); #25677=AXIS2_PLACEMENT_3D('',#39114,#31679,#31680); #25678=AXIS2_PLACEMENT_3D('',#39116,#31682,#31683); #25679=AXIS2_PLACEMENT_3D('',#39117,#31684,#31685); #25680=AXIS2_PLACEMENT_3D('',#39118,#31686,#31687); #25681=AXIS2_PLACEMENT_3D('',#39119,#31688,#31689); #25682=AXIS2_PLACEMENT_3D('placement',#39120,#31690,#31691); #25683=AXIS2_PLACEMENT_3D('',#39121,#31692,#31693); #25684=AXIS2_PLACEMENT_3D('',#39126,#31695,#31696); #25685=AXIS2_PLACEMENT_3D('',#39129,#31698,#31699); #25686=AXIS2_PLACEMENT_3D('',#39130,#31700,#31701); #25687=AXIS2_PLACEMENT_3D('',#39133,#31702,#31703); #25688=AXIS2_PLACEMENT_3D('',#39134,#31704,#31705); #25689=AXIS2_PLACEMENT_3D('',#39135,#31706,#31707); #25690=AXIS2_PLACEMENT_3D('',#39136,#31708,#31709); #25691=AXIS2_PLACEMENT_3D('',#39139,#31710,#31711); #25692=AXIS2_PLACEMENT_3D('',#39140,#31712,#31713); #25693=AXIS2_PLACEMENT_3D('',#39141,#31714,#31715); #25694=AXIS2_PLACEMENT_3D('',#39142,#31716,#31717); #25695=AXIS2_PLACEMENT_3D('',#39145,#31718,#31719); #25696=AXIS2_PLACEMENT_3D('',#39146,#31720,#31721); #25697=AXIS2_PLACEMENT_3D('',#39147,#31722,#31723); #25698=AXIS2_PLACEMENT_3D('',#39148,#31724,#31725); #25699=AXIS2_PLACEMENT_3D('',#39151,#31726,#31727); #25700=AXIS2_PLACEMENT_3D('',#39154,#31730,#31731); #25701=AXIS2_PLACEMENT_3D('',#39157,#31732,#31733); #25702=AXIS2_PLACEMENT_3D('',#39158,#31734,#31735); #25703=AXIS2_PLACEMENT_3D('',#39159,#31736,#31737); #25704=AXIS2_PLACEMENT_3D('',#39160,#31738,#31739); #25705=AXIS2_PLACEMENT_3D('',#39163,#31740,#31741); #25706=AXIS2_PLACEMENT_3D('',#39166,#31744,#31745); #25707=AXIS2_PLACEMENT_3D('',#39169,#31746,#31747); #25708=AXIS2_PLACEMENT_3D('',#39170,#31748,#31749); #25709=AXIS2_PLACEMENT_3D('',#39171,#31750,#31751); #25710=AXIS2_PLACEMENT_3D('',#39172,#31752,#31753); #25711=AXIS2_PLACEMENT_3D('',#39175,#31754,#31755); #25712=AXIS2_PLACEMENT_3D('',#39178,#31758,#31759); #25713=AXIS2_PLACEMENT_3D('',#39181,#31760,#31761); #25714=AXIS2_PLACEMENT_3D('',#39182,#31762,#31763); #25715=AXIS2_PLACEMENT_3D('',#39183,#31764,#31765); #25716=AXIS2_PLACEMENT_3D('',#39184,#31766,#31767); #25717=AXIS2_PLACEMENT_3D('',#39187,#31768,#31769); #25718=AXIS2_PLACEMENT_3D('',#39190,#31772,#31773); #25719=AXIS2_PLACEMENT_3D('',#39193,#31774,#31775); #25720=AXIS2_PLACEMENT_3D('',#39194,#31776,#31777); #25721=AXIS2_PLACEMENT_3D('',#39195,#31778,#31779); #25722=AXIS2_PLACEMENT_3D('',#39196,#31780,#31781); #25723=AXIS2_PLACEMENT_3D('',#39199,#31784,#31785); #25724=AXIS2_PLACEMENT_3D('',#39205,#31789,#31790); #25725=AXIS2_PLACEMENT_3D('',#39208,#31792,#31793); #25726=AXIS2_PLACEMENT_3D('',#39209,#31794,#31795); #25727=AXIS2_PLACEMENT_3D('',#39213,#31798,#31799); #25728=AXIS2_PLACEMENT_3D('',#39216,#31801,#31802); #25729=AXIS2_PLACEMENT_3D('',#39217,#31803,#31804); #25730=AXIS2_PLACEMENT_3D('',#39220,#31806,#31807); #25731=AXIS2_PLACEMENT_3D('',#39221,#31808,#31809); #25732=AXIS2_PLACEMENT_3D('',#39224,#31811,#31812); #25733=AXIS2_PLACEMENT_3D('',#39225,#31813,#31814); #25734=AXIS2_PLACEMENT_3D('',#39229,#31817,#31818); #25735=AXIS2_PLACEMENT_3D('',#39230,#31819,#31820); #25736=AXIS2_PLACEMENT_3D('',#39232,#31821,#31822); #25737=AXIS2_PLACEMENT_3D('',#39233,#31823,#31824); #25738=AXIS2_PLACEMENT_3D('',#39235,#31825,#31826); #25739=AXIS2_PLACEMENT_3D('',#39236,#31827,#31828); #25740=AXIS2_PLACEMENT_3D('',#39238,#31829,#31830); #25741=AXIS2_PLACEMENT_3D('',#39239,#31831,#31832); #25742=AXIS2_PLACEMENT_3D('',#39241,#31833,#31834); #25743=AXIS2_PLACEMENT_3D('',#39242,#31835,#31836); #25744=AXIS2_PLACEMENT_3D('',#39244,#31837,#31838); #25745=AXIS2_PLACEMENT_3D('',#39245,#31839,#31840); #25746=AXIS2_PLACEMENT_3D('',#39247,#31841,#31842); #25747=AXIS2_PLACEMENT_3D('',#39248,#31843,#31844); #25748=AXIS2_PLACEMENT_3D('',#39250,#31845,#31846); #25749=AXIS2_PLACEMENT_3D('',#39251,#31847,#31848); #25750=AXIS2_PLACEMENT_3D('',#39253,#31849,#31850); #25751=AXIS2_PLACEMENT_3D('',#39254,#31851,#31852); #25752=AXIS2_PLACEMENT_3D('',#39256,#31853,#31854); #25753=AXIS2_PLACEMENT_3D('',#39257,#31855,#31856); #25754=AXIS2_PLACEMENT_3D('',#39259,#31857,#31858); #25755=AXIS2_PLACEMENT_3D('',#39260,#31859,#31860); #25756=AXIS2_PLACEMENT_3D('',#39262,#31861,#31862); #25757=AXIS2_PLACEMENT_3D('',#39263,#31863,#31864); #25758=AXIS2_PLACEMENT_3D('',#39265,#31865,#31866); #25759=AXIS2_PLACEMENT_3D('',#39266,#31867,#31868); #25760=AXIS2_PLACEMENT_3D('',#39268,#31869,#31870); #25761=AXIS2_PLACEMENT_3D('',#39269,#31871,#31872); #25762=AXIS2_PLACEMENT_3D('',#39271,#31873,#31874); #25763=AXIS2_PLACEMENT_3D('',#39272,#31875,#31876); #25764=AXIS2_PLACEMENT_3D('',#39274,#31877,#31878); #25765=AXIS2_PLACEMENT_3D('',#39275,#31879,#31880); #25766=AXIS2_PLACEMENT_3D('',#39277,#31881,#31882); #25767=AXIS2_PLACEMENT_3D('',#39278,#31883,#31884); #25768=AXIS2_PLACEMENT_3D('',#39280,#31885,#31886); #25769=AXIS2_PLACEMENT_3D('',#39281,#31887,#31888); #25770=AXIS2_PLACEMENT_3D('',#39283,#31889,#31890); #25771=AXIS2_PLACEMENT_3D('',#39284,#31891,#31892); #25772=AXIS2_PLACEMENT_3D('',#39286,#31893,#31894); #25773=AXIS2_PLACEMENT_3D('',#39287,#31895,#31896); #25774=AXIS2_PLACEMENT_3D('',#39289,#31897,#31898); #25775=AXIS2_PLACEMENT_3D('',#39290,#31899,#31900); #25776=AXIS2_PLACEMENT_3D('',#39292,#31901,#31902); #25777=AXIS2_PLACEMENT_3D('',#39293,#31903,#31904); #25778=AXIS2_PLACEMENT_3D('',#39295,#31905,#31906); #25779=AXIS2_PLACEMENT_3D('',#39296,#31907,#31908); #25780=AXIS2_PLACEMENT_3D('',#39298,#31909,#31910); #25781=AXIS2_PLACEMENT_3D('',#39299,#31911,#31912); #25782=AXIS2_PLACEMENT_3D('',#39301,#31913,#31914); #25783=AXIS2_PLACEMENT_3D('',#39302,#31915,#31916); #25784=AXIS2_PLACEMENT_3D('',#39304,#31917,#31918); #25785=AXIS2_PLACEMENT_3D('',#39306,#31919,#31920); #25786=AXIS2_PLACEMENT_3D('',#39353,#31921,#31922); #25787=AXIS2_PLACEMENT_3D('',#39354,#31923,#31924); #25788=AXIS2_PLACEMENT_3D('',#39355,#31925,#31926); #25789=AXIS2_PLACEMENT_3D('',#39356,#31927,#31928); #25790=AXIS2_PLACEMENT_3D('',#39358,#31929,#31930); #25791=AXIS2_PLACEMENT_3D('',#39359,#31931,#31932); #25792=AXIS2_PLACEMENT_3D('',#39361,#31933,#31934); #25793=AXIS2_PLACEMENT_3D('',#39362,#31935,#31936); #25794=AXIS2_PLACEMENT_3D('',#39364,#31937,#31938); #25795=AXIS2_PLACEMENT_3D('',#39365,#31939,#31940); #25796=AXIS2_PLACEMENT_3D('',#39367,#31941,#31942); #25797=AXIS2_PLACEMENT_3D('',#39368,#31943,#31944); #25798=AXIS2_PLACEMENT_3D('',#39370,#31945,#31946); #25799=AXIS2_PLACEMENT_3D('',#39371,#31947,#31948); #25800=AXIS2_PLACEMENT_3D('',#39373,#31949,#31950); #25801=AXIS2_PLACEMENT_3D('',#39374,#31951,#31952); #25802=AXIS2_PLACEMENT_3D('',#39376,#31953,#31954); #25803=AXIS2_PLACEMENT_3D('',#39377,#31955,#31956); #25804=AXIS2_PLACEMENT_3D('',#39379,#31957,#31958); #25805=AXIS2_PLACEMENT_3D('',#39380,#31959,#31960); #25806=AXIS2_PLACEMENT_3D('',#39382,#31961,#31962); #25807=AXIS2_PLACEMENT_3D('',#39383,#31963,#31964); #25808=AXIS2_PLACEMENT_3D('',#39385,#31965,#31966); #25809=AXIS2_PLACEMENT_3D('',#39386,#31967,#31968); #25810=AXIS2_PLACEMENT_3D('',#39388,#31969,#31970); #25811=AXIS2_PLACEMENT_3D('',#39389,#31971,#31972); #25812=AXIS2_PLACEMENT_3D('',#39391,#31973,#31974); #25813=AXIS2_PLACEMENT_3D('',#39392,#31975,#31976); #25814=AXIS2_PLACEMENT_3D('',#39394,#31977,#31978); #25815=AXIS2_PLACEMENT_3D('',#39395,#31979,#31980); #25816=AXIS2_PLACEMENT_3D('',#39397,#31981,#31982); #25817=AXIS2_PLACEMENT_3D('',#39398,#31983,#31984); #25818=AXIS2_PLACEMENT_3D('',#39400,#31985,#31986); #25819=AXIS2_PLACEMENT_3D('',#39401,#31987,#31988); #25820=AXIS2_PLACEMENT_3D('',#39403,#31989,#31990); #25821=AXIS2_PLACEMENT_3D('',#39404,#31991,#31992); #25822=AXIS2_PLACEMENT_3D('',#39406,#31993,#31994); #25823=AXIS2_PLACEMENT_3D('',#39407,#31995,#31996); #25824=AXIS2_PLACEMENT_3D('',#39409,#31997,#31998); #25825=AXIS2_PLACEMENT_3D('',#39410,#31999,#32000); #25826=AXIS2_PLACEMENT_3D('',#39412,#32001,#32002); #25827=AXIS2_PLACEMENT_3D('',#39413,#32003,#32004); #25828=AXIS2_PLACEMENT_3D('',#39415,#32005,#32006); #25829=AXIS2_PLACEMENT_3D('',#39416,#32007,#32008); #25830=AXIS2_PLACEMENT_3D('',#39418,#32009,#32010); #25831=AXIS2_PLACEMENT_3D('',#39419,#32011,#32012); #25832=AXIS2_PLACEMENT_3D('',#39421,#32013,#32014); #25833=AXIS2_PLACEMENT_3D('',#39422,#32015,#32016); #25834=AXIS2_PLACEMENT_3D('',#39424,#32017,#32018); #25835=AXIS2_PLACEMENT_3D('',#39425,#32019,#32020); #25836=AXIS2_PLACEMENT_3D('',#39427,#32021,#32022); #25837=AXIS2_PLACEMENT_3D('',#39428,#32023,#32024); #25838=AXIS2_PLACEMENT_3D('',#39430,#32025,#32026); #25839=AXIS2_PLACEMENT_3D('',#39431,#32027,#32028); #25840=AXIS2_PLACEMENT_3D('',#39433,#32029,#32030); #25841=AXIS2_PLACEMENT_3D('',#39434,#32031,#32032); #25842=AXIS2_PLACEMENT_3D('',#39436,#32033,#32034); #25843=AXIS2_PLACEMENT_3D('',#39437,#32035,#32036); #25844=AXIS2_PLACEMENT_3D('',#39439,#32037,#32038); #25845=AXIS2_PLACEMENT_3D('',#39440,#32039,#32040); #25846=AXIS2_PLACEMENT_3D('',#39442,#32041,#32042); #25847=AXIS2_PLACEMENT_3D('',#39443,#32043,#32044); #25848=AXIS2_PLACEMENT_3D('',#39445,#32045,#32046); #25849=AXIS2_PLACEMENT_3D('',#39446,#32047,#32048); #25850=AXIS2_PLACEMENT_3D('',#39448,#32049,#32050); #25851=AXIS2_PLACEMENT_3D('',#39449,#32051,#32052); #25852=AXIS2_PLACEMENT_3D('',#39451,#32053,#32054); #25853=AXIS2_PLACEMENT_3D('',#39452,#32055,#32056); #25854=AXIS2_PLACEMENT_3D('',#39454,#32057,#32058); #25855=AXIS2_PLACEMENT_3D('',#39455,#32059,#32060); #25856=AXIS2_PLACEMENT_3D('',#39457,#32061,#32062); #25857=AXIS2_PLACEMENT_3D('',#39458,#32063,#32064); #25858=AXIS2_PLACEMENT_3D('',#39460,#32065,#32066); #25859=AXIS2_PLACEMENT_3D('',#39461,#32067,#32068); #25860=AXIS2_PLACEMENT_3D('',#39463,#32069,#32070); #25861=AXIS2_PLACEMENT_3D('',#39464,#32071,#32072); #25862=AXIS2_PLACEMENT_3D('',#39466,#32073,#32074); #25863=AXIS2_PLACEMENT_3D('',#39467,#32075,#32076); #25864=AXIS2_PLACEMENT_3D('',#39469,#32077,#32078); #25865=AXIS2_PLACEMENT_3D('',#39470,#32079,#32080); #25866=AXIS2_PLACEMENT_3D('',#39472,#32081,#32082); #25867=AXIS2_PLACEMENT_3D('',#39473,#32083,#32084); #25868=AXIS2_PLACEMENT_3D('',#39475,#32085,#32086); #25869=AXIS2_PLACEMENT_3D('',#39476,#32087,#32088); #25870=AXIS2_PLACEMENT_3D('',#39478,#32089,#32090); #25871=AXIS2_PLACEMENT_3D('',#39479,#32091,#32092); #25872=AXIS2_PLACEMENT_3D('',#39481,#32093,#32094); #25873=AXIS2_PLACEMENT_3D('',#39482,#32095,#32096); #25874=AXIS2_PLACEMENT_3D('',#39484,#32097,#32098); #25875=AXIS2_PLACEMENT_3D('',#39485,#32099,#32100); #25876=AXIS2_PLACEMENT_3D('',#39487,#32101,#32102); #25877=AXIS2_PLACEMENT_3D('',#39488,#32103,#32104); #25878=AXIS2_PLACEMENT_3D('',#39490,#32105,#32106); #25879=AXIS2_PLACEMENT_3D('',#39491,#32107,#32108); #25880=AXIS2_PLACEMENT_3D('',#39493,#32109,#32110); #25881=AXIS2_PLACEMENT_3D('',#39494,#32111,#32112); #25882=AXIS2_PLACEMENT_3D('',#39496,#32113,#32114); #25883=AXIS2_PLACEMENT_3D('',#39497,#32115,#32116); #25884=AXIS2_PLACEMENT_3D('',#39499,#32117,#32118); #25885=AXIS2_PLACEMENT_3D('',#39500,#32119,#32120); #25886=AXIS2_PLACEMENT_3D('',#39502,#32121,#32122); #25887=AXIS2_PLACEMENT_3D('',#39503,#32123,#32124); #25888=AXIS2_PLACEMENT_3D('',#39505,#32125,#32126); #25889=AXIS2_PLACEMENT_3D('',#39506,#32127,#32128); #25890=AXIS2_PLACEMENT_3D('',#39508,#32129,#32130); #25891=AXIS2_PLACEMENT_3D('',#39509,#32131,#32132); #25892=AXIS2_PLACEMENT_3D('',#39511,#32133,#32134); #25893=AXIS2_PLACEMENT_3D('',#39512,#32135,#32136); #25894=AXIS2_PLACEMENT_3D('',#39514,#32137,#32138); #25895=AXIS2_PLACEMENT_3D('',#39515,#32139,#32140); #25896=AXIS2_PLACEMENT_3D('',#39517,#32141,#32142); #25897=AXIS2_PLACEMENT_3D('',#39518,#32143,#32144); #25898=AXIS2_PLACEMENT_3D('',#39520,#32145,#32146); #25899=AXIS2_PLACEMENT_3D('',#39521,#32147,#32148); #25900=AXIS2_PLACEMENT_3D('',#39523,#32149,#32150); #25901=AXIS2_PLACEMENT_3D('',#39524,#32151,#32152); #25902=AXIS2_PLACEMENT_3D('',#39526,#32153,#32154); #25903=AXIS2_PLACEMENT_3D('',#39527,#32155,#32156); #25904=AXIS2_PLACEMENT_3D('',#39529,#32157,#32158); #25905=AXIS2_PLACEMENT_3D('',#39530,#32159,#32160); #25906=AXIS2_PLACEMENT_3D('',#39532,#32161,#32162); #25907=AXIS2_PLACEMENT_3D('',#39533,#32163,#32164); #25908=AXIS2_PLACEMENT_3D('',#39535,#32165,#32166); #25909=AXIS2_PLACEMENT_3D('',#39536,#32167,#32168); #25910=AXIS2_PLACEMENT_3D('',#39538,#32169,#32170); #25911=AXIS2_PLACEMENT_3D('',#39539,#32171,#32172); #25912=AXIS2_PLACEMENT_3D('',#39548,#32177,#32178); #25913=AXIS2_PLACEMENT_3D('',#39556,#32183,#32184); #25914=AXIS2_PLACEMENT_3D('',#39560,#32187,#32188); #25915=AXIS2_PLACEMENT_3D('',#39566,#32192,#32193); #25916=AXIS2_PLACEMENT_3D('',#39572,#32197,#32198); #25917=AXIS2_PLACEMENT_3D('',#39578,#32201,#32202); #25918=AXIS2_PLACEMENT_3D('',#39580,#32204,#32205); #25919=AXIS2_PLACEMENT_3D('',#39586,#32209,#32210); #25920=AXIS2_PLACEMENT_3D('',#39588,#32212,#32213); #25921=AXIS2_PLACEMENT_3D('',#39591,#32215,#32216); #25922=AXIS2_PLACEMENT_3D('',#39595,#32218,#32219); #25923=AXIS2_PLACEMENT_3D('',#39599,#32221,#32222); #25924=AXIS2_PLACEMENT_3D('',#39602,#32224,#32225); #25925=AXIS2_PLACEMENT_3D('',#39603,#32226,#32227); #25926=AXIS2_PLACEMENT_3D('',#39605,#32229,#32230); #25927=AXIS2_PLACEMENT_3D('',#39606,#32231,#32232); #25928=AXIS2_PLACEMENT_3D('',#39608,#32234,#32235); #25929=AXIS2_PLACEMENT_3D('',#39611,#32238,#32239); #25930=AXIS2_PLACEMENT_3D('',#39613,#32241,#32242); #25931=AXIS2_PLACEMENT_3D('',#39615,#32244,#32245); #25932=AXIS2_PLACEMENT_3D('',#39616,#32246,#32247); #25933=AXIS2_PLACEMENT_3D('placement',#39617,#32248,#32249); #25934=AXIS2_PLACEMENT_3D('',#39618,#32250,#32251); #25935=AXIS2_PLACEMENT_3D('',#39627,#32256,#32257); #25936=AXIS2_PLACEMENT_3D('',#39633,#32261,#32262); #25937=AXIS2_PLACEMENT_3D('',#39638,#32265,#32266); #25938=AXIS2_PLACEMENT_3D('',#39640,#32267,#32268); #25939=AXIS2_PLACEMENT_3D('',#39642,#32269,#32270); #25940=AXIS2_PLACEMENT_3D('',#39644,#32271,#32272); #25941=AXIS2_PLACEMENT_3D('',#39646,#32273,#32274); #25942=AXIS2_PLACEMENT_3D('',#39648,#32275,#32276); #25943=AXIS2_PLACEMENT_3D('',#39650,#32277,#32278); #25944=AXIS2_PLACEMENT_3D('',#39652,#32279,#32280); #25945=AXIS2_PLACEMENT_3D('',#39654,#32281,#32282); #25946=AXIS2_PLACEMENT_3D('',#39656,#32283,#32284); #25947=AXIS2_PLACEMENT_3D('',#39658,#32285,#32286); #25948=AXIS2_PLACEMENT_3D('',#39660,#32287,#32288); #25949=AXIS2_PLACEMENT_3D('',#39662,#32289,#32290); #25950=AXIS2_PLACEMENT_3D('',#39664,#32291,#32292); #25951=AXIS2_PLACEMENT_3D('',#39666,#32293,#32294); #25952=AXIS2_PLACEMENT_3D('',#39668,#32295,#32296); #25953=AXIS2_PLACEMENT_3D('',#39670,#32297,#32298); #25954=AXIS2_PLACEMENT_3D('',#39672,#32299,#32300); #25955=AXIS2_PLACEMENT_3D('',#39674,#32301,#32302); #25956=AXIS2_PLACEMENT_3D('',#39676,#32303,#32304); #25957=AXIS2_PLACEMENT_3D('',#39678,#32305,#32306); #25958=AXIS2_PLACEMENT_3D('',#39680,#32307,#32308); #25959=AXIS2_PLACEMENT_3D('',#39682,#32309,#32310); #25960=AXIS2_PLACEMENT_3D('',#39684,#32311,#32312); #25961=AXIS2_PLACEMENT_3D('',#39686,#32313,#32314); #25962=AXIS2_PLACEMENT_3D('',#39688,#32315,#32316); #25963=AXIS2_PLACEMENT_3D('',#39690,#32317,#32318); #25964=AXIS2_PLACEMENT_3D('',#39692,#32319,#32320); #25965=AXIS2_PLACEMENT_3D('',#39694,#32321,#32322); #25966=AXIS2_PLACEMENT_3D('',#39696,#32323,#32324); #25967=AXIS2_PLACEMENT_3D('',#39698,#32325,#32326); #25968=AXIS2_PLACEMENT_3D('',#39700,#32327,#32328); #25969=AXIS2_PLACEMENT_3D('',#39702,#32329,#32330); #25970=AXIS2_PLACEMENT_3D('',#39704,#32331,#32332); #25971=AXIS2_PLACEMENT_3D('',#39706,#32333,#32334); #25972=AXIS2_PLACEMENT_3D('',#39708,#32335,#32336); #25973=AXIS2_PLACEMENT_3D('',#39709,#32337,#32338); #25974=AXIS2_PLACEMENT_3D('',#39711,#32339,#32340); #25975=AXIS2_PLACEMENT_3D('',#39712,#32341,#32342); #25976=AXIS2_PLACEMENT_3D('',#39714,#32343,#32344); #25977=AXIS2_PLACEMENT_3D('',#39715,#32345,#32346); #25978=AXIS2_PLACEMENT_3D('',#39717,#32347,#32348); #25979=AXIS2_PLACEMENT_3D('',#39718,#32349,#32350); #25980=AXIS2_PLACEMENT_3D('',#39720,#32351,#32352); #25981=AXIS2_PLACEMENT_3D('',#39721,#32353,#32354); #25982=AXIS2_PLACEMENT_3D('',#39723,#32355,#32356); #25983=AXIS2_PLACEMENT_3D('',#39724,#32357,#32358); #25984=AXIS2_PLACEMENT_3D('',#39726,#32359,#32360); #25985=AXIS2_PLACEMENT_3D('',#39727,#32361,#32362); #25986=AXIS2_PLACEMENT_3D('',#39729,#32363,#32364); #25987=AXIS2_PLACEMENT_3D('',#39730,#32365,#32366); #25988=AXIS2_PLACEMENT_3D('',#39732,#32367,#32368); #25989=AXIS2_PLACEMENT_3D('',#39733,#32369,#32370); #25990=AXIS2_PLACEMENT_3D('',#39735,#32371,#32372); #25991=AXIS2_PLACEMENT_3D('',#39736,#32373,#32374); #25992=AXIS2_PLACEMENT_3D('',#39738,#32375,#32376); #25993=AXIS2_PLACEMENT_3D('',#39739,#32377,#32378); #25994=AXIS2_PLACEMENT_3D('',#39741,#32379,#32380); #25995=AXIS2_PLACEMENT_3D('',#39742,#32381,#32382); #25996=AXIS2_PLACEMENT_3D('',#39744,#32383,#32384); #25997=AXIS2_PLACEMENT_3D('',#39745,#32385,#32386); #25998=AXIS2_PLACEMENT_3D('',#39747,#32387,#32388); #25999=AXIS2_PLACEMENT_3D('',#39748,#32389,#32390); #26000=AXIS2_PLACEMENT_3D('',#39750,#32391,#32392); #26001=AXIS2_PLACEMENT_3D('',#39751,#32393,#32394); #26002=AXIS2_PLACEMENT_3D('',#39753,#32395,#32396); #26003=AXIS2_PLACEMENT_3D('',#39754,#32397,#32398); #26004=AXIS2_PLACEMENT_3D('',#39756,#32399,#32400); #26005=AXIS2_PLACEMENT_3D('',#39757,#32401,#32402); #26006=AXIS2_PLACEMENT_3D('',#39759,#32403,#32404); #26007=AXIS2_PLACEMENT_3D('',#39760,#32405,#32406); #26008=AXIS2_PLACEMENT_3D('',#39762,#32407,#32408); #26009=AXIS2_PLACEMENT_3D('',#39763,#32409,#32410); #26010=AXIS2_PLACEMENT_3D('',#39765,#32411,#32412); #26011=AXIS2_PLACEMENT_3D('',#39766,#32413,#32414); #26012=AXIS2_PLACEMENT_3D('',#39768,#32415,#32416); #26013=AXIS2_PLACEMENT_3D('',#39769,#32417,#32418); #26014=AXIS2_PLACEMENT_3D('',#39771,#32419,#32420); #26015=AXIS2_PLACEMENT_3D('',#39772,#32421,#32422); #26016=AXIS2_PLACEMENT_3D('',#39774,#32423,#32424); #26017=AXIS2_PLACEMENT_3D('',#39775,#32425,#32426); #26018=AXIS2_PLACEMENT_3D('',#39777,#32427,#32428); #26019=AXIS2_PLACEMENT_3D('',#39778,#32429,#32430); #26020=AXIS2_PLACEMENT_3D('',#39780,#32431,#32432); #26021=AXIS2_PLACEMENT_3D('',#39781,#32433,#32434); #26022=AXIS2_PLACEMENT_3D('',#39783,#32435,#32436); #26023=AXIS2_PLACEMENT_3D('',#39784,#32437,#32438); #26024=AXIS2_PLACEMENT_3D('',#39786,#32439,#32440); #26025=AXIS2_PLACEMENT_3D('',#39787,#32441,#32442); #26026=AXIS2_PLACEMENT_3D('',#39789,#32443,#32444); #26027=AXIS2_PLACEMENT_3D('',#39790,#32445,#32446); #26028=AXIS2_PLACEMENT_3D('',#39792,#32447,#32448); #26029=AXIS2_PLACEMENT_3D('',#39793,#32449,#32450); #26030=AXIS2_PLACEMENT_3D('',#39795,#32451,#32452); #26031=AXIS2_PLACEMENT_3D('',#39796,#32453,#32454); #26032=AXIS2_PLACEMENT_3D('',#39798,#32455,#32456); #26033=AXIS2_PLACEMENT_3D('',#39799,#32457,#32458); #26034=AXIS2_PLACEMENT_3D('',#39801,#32459,#32460); #26035=AXIS2_PLACEMENT_3D('',#39802,#32461,#32462); #26036=AXIS2_PLACEMENT_3D('',#39804,#32463,#32464); #26037=AXIS2_PLACEMENT_3D('',#39805,#32465,#32466); #26038=AXIS2_PLACEMENT_3D('',#39807,#32467,#32468); #26039=AXIS2_PLACEMENT_3D('',#39808,#32469,#32470); #26040=AXIS2_PLACEMENT_3D('',#39810,#32471,#32472); #26041=AXIS2_PLACEMENT_3D('',#39811,#32473,#32474); #26042=AXIS2_PLACEMENT_3D('',#39813,#32475,#32476); #26043=AXIS2_PLACEMENT_3D('',#39814,#32477,#32478); #26044=AXIS2_PLACEMENT_3D('',#39816,#32479,#32480); #26045=AXIS2_PLACEMENT_3D('',#39817,#32481,#32482); #26046=AXIS2_PLACEMENT_3D('',#39819,#32483,#32484); #26047=AXIS2_PLACEMENT_3D('',#39820,#32485,#32486); #26048=AXIS2_PLACEMENT_3D('',#39822,#32487,#32488); #26049=AXIS2_PLACEMENT_3D('',#39823,#32489,#32490); #26050=AXIS2_PLACEMENT_3D('',#39825,#32491,#32492); #26051=AXIS2_PLACEMENT_3D('',#39826,#32493,#32494); #26052=AXIS2_PLACEMENT_3D('',#39828,#32495,#32496); #26053=AXIS2_PLACEMENT_3D('',#39829,#32497,#32498); #26054=AXIS2_PLACEMENT_3D('',#39831,#32499,#32500); #26055=AXIS2_PLACEMENT_3D('',#39832,#32501,#32502); #26056=AXIS2_PLACEMENT_3D('',#39834,#32503,#32504); #26057=AXIS2_PLACEMENT_3D('',#39835,#32505,#32506); #26058=AXIS2_PLACEMENT_3D('',#39837,#32507,#32508); #26059=AXIS2_PLACEMENT_3D('',#39838,#32509,#32510); #26060=AXIS2_PLACEMENT_3D('',#39840,#32511,#32512); #26061=AXIS2_PLACEMENT_3D('',#39841,#32513,#32514); #26062=AXIS2_PLACEMENT_3D('',#39843,#32515,#32516); #26063=AXIS2_PLACEMENT_3D('',#39844,#32517,#32518); #26064=AXIS2_PLACEMENT_3D('',#39846,#32519,#32520); #26065=AXIS2_PLACEMENT_3D('',#39847,#32521,#32522); #26066=AXIS2_PLACEMENT_3D('',#39849,#32523,#32524); #26067=AXIS2_PLACEMENT_3D('',#39850,#32525,#32526); #26068=AXIS2_PLACEMENT_3D('',#39852,#32527,#32528); #26069=AXIS2_PLACEMENT_3D('',#39853,#32529,#32530); #26070=AXIS2_PLACEMENT_3D('',#39855,#32531,#32532); #26071=AXIS2_PLACEMENT_3D('',#39856,#32533,#32534); #26072=AXIS2_PLACEMENT_3D('',#39858,#32535,#32536); #26073=AXIS2_PLACEMENT_3D('',#39859,#32537,#32538); #26074=AXIS2_PLACEMENT_3D('',#39863,#32541,#32542); #26075=AXIS2_PLACEMENT_3D('',#39865,#32544,#32545); #26076=AXIS2_PLACEMENT_3D('placement',#39866,#32546,#32547); #26077=AXIS2_PLACEMENT_3D('',#39867,#32548,#32549); #26078=AXIS2_PLACEMENT_3D('',#39869,#32550,#32551); #26079=AXIS2_PLACEMENT_3D('',#39871,#32552,#32553); #26080=AXIS2_PLACEMENT_3D('',#39872,#32554,#32555); #26081=AXIS2_PLACEMENT_3D('',#39874,#32556,#32557); #26082=AXIS2_PLACEMENT_3D('',#39876,#32558,#32559); #26083=AXIS2_PLACEMENT_3D('',#39877,#32560,#32561); #26084=AXIS2_PLACEMENT_3D('',#39879,#32562,#32563); #26085=AXIS2_PLACEMENT_3D('',#39881,#32564,#32565); #26086=AXIS2_PLACEMENT_3D('',#39882,#32566,#32567); #26087=AXIS2_PLACEMENT_3D('',#39884,#32568,#32569); #26088=AXIS2_PLACEMENT_3D('',#39886,#32570,#32571); #26089=AXIS2_PLACEMENT_3D('',#39887,#32572,#32573); #26090=AXIS2_PLACEMENT_3D('',#39889,#32574,#32575); #26091=AXIS2_PLACEMENT_3D('',#39891,#32576,#32577); #26092=AXIS2_PLACEMENT_3D('',#39892,#32578,#32579); #26093=AXIS2_PLACEMENT_3D('',#39894,#32580,#32581); #26094=AXIS2_PLACEMENT_3D('',#39896,#32582,#32583); #26095=AXIS2_PLACEMENT_3D('',#39897,#32584,#32585); #26096=AXIS2_PLACEMENT_3D('',#39899,#32586,#32587); #26097=AXIS2_PLACEMENT_3D('',#39901,#32588,#32589); #26098=AXIS2_PLACEMENT_3D('',#39902,#32590,#32591); #26099=AXIS2_PLACEMENT_3D('',#39904,#32592,#32593); #26100=AXIS2_PLACEMENT_3D('',#39906,#32594,#32595); #26101=AXIS2_PLACEMENT_3D('',#39907,#32596,#32597); #26102=AXIS2_PLACEMENT_3D('',#39909,#32598,#32599); #26103=AXIS2_PLACEMENT_3D('',#39911,#32600,#32601); #26104=AXIS2_PLACEMENT_3D('',#39912,#32602,#32603); #26105=AXIS2_PLACEMENT_3D('',#39914,#32604,#32605); #26106=AXIS2_PLACEMENT_3D('',#39916,#32606,#32607); #26107=AXIS2_PLACEMENT_3D('',#39917,#32608,#32609); #26108=AXIS2_PLACEMENT_3D('',#39919,#32610,#32611); #26109=AXIS2_PLACEMENT_3D('',#39921,#32612,#32613); #26110=AXIS2_PLACEMENT_3D('',#39922,#32614,#32615); #26111=AXIS2_PLACEMENT_3D('',#39924,#32616,#32617); #26112=AXIS2_PLACEMENT_3D('',#39926,#32618,#32619); #26113=AXIS2_PLACEMENT_3D('',#39927,#32620,#32621); #26114=AXIS2_PLACEMENT_3D('',#39929,#32622,#32623); #26115=AXIS2_PLACEMENT_3D('',#39931,#32624,#32625); #26116=AXIS2_PLACEMENT_3D('',#39932,#32626,#32627); #26117=AXIS2_PLACEMENT_3D('',#39934,#32628,#32629); #26118=AXIS2_PLACEMENT_3D('',#39936,#32630,#32631); #26119=AXIS2_PLACEMENT_3D('',#39937,#32632,#32633); #26120=AXIS2_PLACEMENT_3D('',#39939,#32634,#32635); #26121=AXIS2_PLACEMENT_3D('',#39941,#32636,#32637); #26122=AXIS2_PLACEMENT_3D('',#39942,#32638,#32639); #26123=AXIS2_PLACEMENT_3D('',#39944,#32640,#32641); #26124=AXIS2_PLACEMENT_3D('',#39946,#32642,#32643); #26125=AXIS2_PLACEMENT_3D('',#39947,#32644,#32645); #26126=AXIS2_PLACEMENT_3D('',#39949,#32646,#32647); #26127=AXIS2_PLACEMENT_3D('',#39951,#32648,#32649); #26128=AXIS2_PLACEMENT_3D('',#39952,#32650,#32651); #26129=AXIS2_PLACEMENT_3D('',#39954,#32652,#32653); #26130=AXIS2_PLACEMENT_3D('',#39956,#32654,#32655); #26131=AXIS2_PLACEMENT_3D('',#39957,#32656,#32657); #26132=AXIS2_PLACEMENT_3D('',#39959,#32658,#32659); #26133=AXIS2_PLACEMENT_3D('',#39961,#32660,#32661); #26134=AXIS2_PLACEMENT_3D('',#39962,#32662,#32663); #26135=AXIS2_PLACEMENT_3D('',#39964,#32664,#32665); #26136=AXIS2_PLACEMENT_3D('',#39966,#32666,#32667); #26137=AXIS2_PLACEMENT_3D('',#39967,#32668,#32669); #26138=AXIS2_PLACEMENT_3D('',#39969,#32670,#32671); #26139=AXIS2_PLACEMENT_3D('',#39971,#32672,#32673); #26140=AXIS2_PLACEMENT_3D('',#39972,#32674,#32675); #26141=AXIS2_PLACEMENT_3D('',#39974,#32676,#32677); #26142=AXIS2_PLACEMENT_3D('',#39976,#32678,#32679); #26143=AXIS2_PLACEMENT_3D('',#39977,#32680,#32681); #26144=AXIS2_PLACEMENT_3D('',#39979,#32682,#32683); #26145=AXIS2_PLACEMENT_3D('',#39981,#32684,#32685); #26146=AXIS2_PLACEMENT_3D('',#39982,#32686,#32687); #26147=AXIS2_PLACEMENT_3D('',#39984,#32688,#32689); #26148=AXIS2_PLACEMENT_3D('',#39986,#32690,#32691); #26149=AXIS2_PLACEMENT_3D('',#39987,#32692,#32693); #26150=AXIS2_PLACEMENT_3D('',#39989,#32694,#32695); #26151=AXIS2_PLACEMENT_3D('',#39991,#32696,#32697); #26152=AXIS2_PLACEMENT_3D('',#39992,#32698,#32699); #26153=AXIS2_PLACEMENT_3D('',#39994,#32700,#32701); #26154=AXIS2_PLACEMENT_3D('',#39996,#32702,#32703); #26155=AXIS2_PLACEMENT_3D('',#39997,#32704,#32705); #26156=AXIS2_PLACEMENT_3D('',#39999,#32706,#32707); #26157=AXIS2_PLACEMENT_3D('',#40001,#32708,#32709); #26158=AXIS2_PLACEMENT_3D('',#40002,#32710,#32711); #26159=AXIS2_PLACEMENT_3D('',#40004,#32712,#32713); #26160=AXIS2_PLACEMENT_3D('',#40006,#32714,#32715); #26161=AXIS2_PLACEMENT_3D('',#40007,#32716,#32717); #26162=AXIS2_PLACEMENT_3D('',#40009,#32718,#32719); #26163=AXIS2_PLACEMENT_3D('',#40011,#32720,#32721); #26164=AXIS2_PLACEMENT_3D('',#40012,#32722,#32723); #26165=AXIS2_PLACEMENT_3D('',#40014,#32724,#32725); #26166=AXIS2_PLACEMENT_3D('',#40016,#32726,#32727); #26167=AXIS2_PLACEMENT_3D('',#40017,#32728,#32729); #26168=AXIS2_PLACEMENT_3D('',#40019,#32730,#32731); #26169=AXIS2_PLACEMENT_3D('',#40021,#32732,#32733); #26170=AXIS2_PLACEMENT_3D('',#40022,#32734,#32735); #26171=AXIS2_PLACEMENT_3D('',#40024,#32736,#32737); #26172=AXIS2_PLACEMENT_3D('',#40026,#32738,#32739); #26173=AXIS2_PLACEMENT_3D('',#40027,#32740,#32741); #26174=AXIS2_PLACEMENT_3D('',#40036,#32746,#32747); #26175=AXIS2_PLACEMENT_3D('',#40045,#32752,#32753); #26176=AXIS2_PLACEMENT_3D('',#40048,#32756,#32757); #26177=AXIS2_PLACEMENT_3D('',#40050,#32759,#32760); #26178=AXIS2_PLACEMENT_3D('',#40052,#32762,#32763); #26179=AXIS2_PLACEMENT_3D('placement',#40053,#32764,#32765); #26180=AXIS2_PLACEMENT_3D('',#40054,#32766,#32767); #26181=AXIS2_PLACEMENT_3D('',#40056,#32768,#32769); #26182=AXIS2_PLACEMENT_3D('',#40057,#32770,#32771); #26183=AXIS2_PLACEMENT_3D('',#40059,#32772,#32773); #26184=AXIS2_PLACEMENT_3D('',#40060,#32774,#32775); #26185=AXIS2_PLACEMENT_3D('',#40062,#32776,#32777); #26186=AXIS2_PLACEMENT_3D('',#40063,#32778,#32779); #26187=AXIS2_PLACEMENT_3D('',#40065,#32780,#32781); #26188=AXIS2_PLACEMENT_3D('',#40066,#32782,#32783); #26189=AXIS2_PLACEMENT_3D('',#40068,#32784,#32785); #26190=AXIS2_PLACEMENT_3D('',#40069,#32786,#32787); #26191=AXIS2_PLACEMENT_3D('',#40071,#32788,#32789); #26192=AXIS2_PLACEMENT_3D('',#40072,#32790,#32791); #26193=AXIS2_PLACEMENT_3D('',#40074,#32792,#32793); #26194=AXIS2_PLACEMENT_3D('',#40075,#32794,#32795); #26195=AXIS2_PLACEMENT_3D('',#40077,#32796,#32797); #26196=AXIS2_PLACEMENT_3D('',#40078,#32798,#32799); #26197=AXIS2_PLACEMENT_3D('',#40080,#32800,#32801); #26198=AXIS2_PLACEMENT_3D('',#40081,#32802,#32803); #26199=AXIS2_PLACEMENT_3D('',#40083,#32804,#32805); #26200=AXIS2_PLACEMENT_3D('',#40084,#32806,#32807); #26201=AXIS2_PLACEMENT_3D('',#40086,#32808,#32809); #26202=AXIS2_PLACEMENT_3D('',#40087,#32810,#32811); #26203=AXIS2_PLACEMENT_3D('',#40089,#32812,#32813); #26204=AXIS2_PLACEMENT_3D('',#40090,#32814,#32815); #26205=AXIS2_PLACEMENT_3D('',#40092,#32816,#32817); #26206=AXIS2_PLACEMENT_3D('',#40093,#32818,#32819); #26207=AXIS2_PLACEMENT_3D('',#40095,#32820,#32821); #26208=AXIS2_PLACEMENT_3D('',#40096,#32822,#32823); #26209=AXIS2_PLACEMENT_3D('',#40098,#32824,#32825); #26210=AXIS2_PLACEMENT_3D('',#40099,#32826,#32827); #26211=AXIS2_PLACEMENT_3D('',#40101,#32828,#32829); #26212=AXIS2_PLACEMENT_3D('',#40102,#32830,#32831); #26213=AXIS2_PLACEMENT_3D('',#40104,#32832,#32833); #26214=AXIS2_PLACEMENT_3D('',#40105,#32834,#32835); #26215=AXIS2_PLACEMENT_3D('',#40107,#32836,#32837); #26216=AXIS2_PLACEMENT_3D('',#40108,#32838,#32839); #26217=AXIS2_PLACEMENT_3D('',#40110,#32840,#32841); #26218=AXIS2_PLACEMENT_3D('',#40111,#32842,#32843); #26219=AXIS2_PLACEMENT_3D('',#40113,#32844,#32845); #26220=AXIS2_PLACEMENT_3D('',#40114,#32846,#32847); #26221=AXIS2_PLACEMENT_3D('',#40116,#32848,#32849); #26222=AXIS2_PLACEMENT_3D('',#40117,#32850,#32851); #26223=AXIS2_PLACEMENT_3D('',#40119,#32852,#32853); #26224=AXIS2_PLACEMENT_3D('',#40120,#32854,#32855); #26225=AXIS2_PLACEMENT_3D('',#40122,#32856,#32857); #26226=AXIS2_PLACEMENT_3D('',#40123,#32858,#32859); #26227=AXIS2_PLACEMENT_3D('',#40125,#32860,#32861); #26228=AXIS2_PLACEMENT_3D('',#40126,#32862,#32863); #26229=AXIS2_PLACEMENT_3D('',#40128,#32864,#32865); #26230=AXIS2_PLACEMENT_3D('',#40129,#32866,#32867); #26231=AXIS2_PLACEMENT_3D('',#40131,#32868,#32869); #26232=AXIS2_PLACEMENT_3D('',#40132,#32870,#32871); #26233=AXIS2_PLACEMENT_3D('',#40134,#32872,#32873); #26234=AXIS2_PLACEMENT_3D('',#40135,#32874,#32875); #26235=AXIS2_PLACEMENT_3D('',#40137,#32876,#32877); #26236=AXIS2_PLACEMENT_3D('',#40138,#32878,#32879); #26237=AXIS2_PLACEMENT_3D('',#40140,#32880,#32881); #26238=AXIS2_PLACEMENT_3D('',#40141,#32882,#32883); #26239=AXIS2_PLACEMENT_3D('',#40143,#32884,#32885); #26240=AXIS2_PLACEMENT_3D('',#40144,#32886,#32887); #26241=AXIS2_PLACEMENT_3D('',#40146,#32888,#32889); #26242=AXIS2_PLACEMENT_3D('',#40147,#32890,#32891); #26243=AXIS2_PLACEMENT_3D('',#40149,#32892,#32893); #26244=AXIS2_PLACEMENT_3D('',#40150,#32894,#32895); #26245=AXIS2_PLACEMENT_3D('',#40152,#32896,#32897); #26246=AXIS2_PLACEMENT_3D('',#40153,#32898,#32899); #26247=AXIS2_PLACEMENT_3D('',#40155,#32900,#32901); #26248=AXIS2_PLACEMENT_3D('',#40156,#32902,#32903); #26249=AXIS2_PLACEMENT_3D('',#40158,#32904,#32905); #26250=AXIS2_PLACEMENT_3D('',#40159,#32906,#32907); #26251=AXIS2_PLACEMENT_3D('',#40161,#32908,#32909); #26252=AXIS2_PLACEMENT_3D('',#40162,#32910,#32911); #26253=AXIS2_PLACEMENT_3D('',#40164,#32912,#32913); #26254=AXIS2_PLACEMENT_3D('',#40165,#32914,#32915); #26255=AXIS2_PLACEMENT_3D('',#40167,#32916,#32917); #26256=AXIS2_PLACEMENT_3D('',#40168,#32918,#32919); #26257=AXIS2_PLACEMENT_3D('',#40170,#32920,#32921); #26258=AXIS2_PLACEMENT_3D('',#40171,#32922,#32923); #26259=AXIS2_PLACEMENT_3D('',#40173,#32924,#32925); #26260=AXIS2_PLACEMENT_3D('',#40174,#32926,#32927); #26261=AXIS2_PLACEMENT_3D('',#40176,#32928,#32929); #26262=AXIS2_PLACEMENT_3D('',#40177,#32930,#32931); #26263=AXIS2_PLACEMENT_3D('',#40179,#32932,#32933); #26264=AXIS2_PLACEMENT_3D('',#40180,#32934,#32935); #26265=AXIS2_PLACEMENT_3D('',#40182,#32936,#32937); #26266=AXIS2_PLACEMENT_3D('',#40183,#32938,#32939); #26267=AXIS2_PLACEMENT_3D('',#40185,#32940,#32941); #26268=AXIS2_PLACEMENT_3D('',#40186,#32942,#32943); #26269=AXIS2_PLACEMENT_3D('',#40188,#32944,#32945); #26270=AXIS2_PLACEMENT_3D('',#40189,#32946,#32947); #26271=AXIS2_PLACEMENT_3D('',#40191,#32948,#32949); #26272=AXIS2_PLACEMENT_3D('',#40192,#32950,#32951); #26273=AXIS2_PLACEMENT_3D('',#40194,#32952,#32953); #26274=AXIS2_PLACEMENT_3D('',#40195,#32954,#32955); #26275=AXIS2_PLACEMENT_3D('',#40197,#32956,#32957); #26276=AXIS2_PLACEMENT_3D('',#40198,#32958,#32959); #26277=AXIS2_PLACEMENT_3D('',#40200,#32960,#32961); #26278=AXIS2_PLACEMENT_3D('',#40202,#32962,#32963); #26279=AXIS2_PLACEMENT_3D('',#40203,#32964,#32965); #26280=AXIS2_PLACEMENT_3D('',#40205,#32966,#32967); #26281=AXIS2_PLACEMENT_3D('',#40207,#32968,#32969); #26282=AXIS2_PLACEMENT_3D('',#40208,#32970,#32971); #26283=AXIS2_PLACEMENT_3D('',#40210,#32972,#32973); #26284=AXIS2_PLACEMENT_3D('',#40212,#32974,#32975); #26285=AXIS2_PLACEMENT_3D('',#40213,#32976,#32977); #26286=AXIS2_PLACEMENT_3D('',#40215,#32978,#32979); #26287=AXIS2_PLACEMENT_3D('',#40217,#32980,#32981); #26288=AXIS2_PLACEMENT_3D('',#40218,#32982,#32983); #26289=AXIS2_PLACEMENT_3D('',#40220,#32984,#32985); #26290=AXIS2_PLACEMENT_3D('',#40222,#32986,#32987); #26291=AXIS2_PLACEMENT_3D('',#40223,#32988,#32989); #26292=AXIS2_PLACEMENT_3D('',#40225,#32990,#32991); #26293=AXIS2_PLACEMENT_3D('',#40227,#32992,#32993); #26294=AXIS2_PLACEMENT_3D('',#40228,#32994,#32995); #26295=AXIS2_PLACEMENT_3D('',#40230,#32996,#32997); #26296=AXIS2_PLACEMENT_3D('',#40232,#32998,#32999); #26297=AXIS2_PLACEMENT_3D('',#40233,#33000,#33001); #26298=AXIS2_PLACEMENT_3D('',#40235,#33002,#33003); #26299=AXIS2_PLACEMENT_3D('',#40237,#33004,#33005); #26300=AXIS2_PLACEMENT_3D('',#40238,#33006,#33007); #26301=AXIS2_PLACEMENT_3D('',#40240,#33008,#33009); #26302=AXIS2_PLACEMENT_3D('',#40242,#33010,#33011); #26303=AXIS2_PLACEMENT_3D('',#40243,#33012,#33013); #26304=AXIS2_PLACEMENT_3D('',#40245,#33014,#33015); #26305=AXIS2_PLACEMENT_3D('',#40247,#33016,#33017); #26306=AXIS2_PLACEMENT_3D('',#40248,#33018,#33019); #26307=AXIS2_PLACEMENT_3D('',#40250,#33020,#33021); #26308=AXIS2_PLACEMENT_3D('',#40252,#33022,#33023); #26309=AXIS2_PLACEMENT_3D('',#40253,#33024,#33025); #26310=AXIS2_PLACEMENT_3D('',#40255,#33026,#33027); #26311=AXIS2_PLACEMENT_3D('',#40257,#33028,#33029); #26312=AXIS2_PLACEMENT_3D('',#40258,#33030,#33031); #26313=AXIS2_PLACEMENT_3D('',#40260,#33032,#33033); #26314=AXIS2_PLACEMENT_3D('',#40262,#33034,#33035); #26315=AXIS2_PLACEMENT_3D('',#40263,#33036,#33037); #26316=AXIS2_PLACEMENT_3D('',#40265,#33038,#33039); #26317=AXIS2_PLACEMENT_3D('',#40267,#33040,#33041); #26318=AXIS2_PLACEMENT_3D('',#40268,#33042,#33043); #26319=AXIS2_PLACEMENT_3D('',#40270,#33044,#33045); #26320=AXIS2_PLACEMENT_3D('',#40272,#33046,#33047); #26321=AXIS2_PLACEMENT_3D('',#40273,#33048,#33049); #26322=AXIS2_PLACEMENT_3D('',#40275,#33050,#33051); #26323=AXIS2_PLACEMENT_3D('',#40277,#33052,#33053); #26324=AXIS2_PLACEMENT_3D('',#40278,#33054,#33055); #26325=AXIS2_PLACEMENT_3D('',#40280,#33056,#33057); #26326=AXIS2_PLACEMENT_3D('',#40282,#33058,#33059); #26327=AXIS2_PLACEMENT_3D('',#40283,#33060,#33061); #26328=AXIS2_PLACEMENT_3D('',#40285,#33062,#33063); #26329=AXIS2_PLACEMENT_3D('',#40287,#33064,#33065); #26330=AXIS2_PLACEMENT_3D('',#40288,#33066,#33067); #26331=AXIS2_PLACEMENT_3D('',#40297,#33072,#33073); #26332=AXIS2_PLACEMENT_3D('',#40303,#33077,#33078); #26333=AXIS2_PLACEMENT_3D('',#40307,#33081,#33082); #26334=AXIS2_PLACEMENT_3D('',#40311,#33085,#33086); #26335=AXIS2_PLACEMENT_3D('',#40313,#33088,#33089); #26336=AXIS2_PLACEMENT_3D('placement',#40314,#33090,#33091); #26337=AXIS2_PLACEMENT_3D('',#40315,#33092,#33093); #26338=AXIS2_PLACEMENT_3D('',#40324,#33098,#33099); #26339=AXIS2_PLACEMENT_3D('',#40333,#33104,#33105); #26340=AXIS2_PLACEMENT_3D('',#40344,#33112,#33113); #26341=AXIS2_PLACEMENT_3D('',#40347,#33115,#33116); #26342=AXIS2_PLACEMENT_3D('',#40349,#33117,#33118); #26343=AXIS2_PLACEMENT_3D('',#40351,#33119,#33120); #26344=AXIS2_PLACEMENT_3D('',#40353,#33121,#33122); #26345=AXIS2_PLACEMENT_3D('',#40355,#33123,#33124); #26346=AXIS2_PLACEMENT_3D('',#40357,#33125,#33126); #26347=AXIS2_PLACEMENT_3D('',#40359,#33127,#33128); #26348=AXIS2_PLACEMENT_3D('',#40360,#33129,#33130); #26349=AXIS2_PLACEMENT_3D('',#40363,#33132,#33133); #26350=AXIS2_PLACEMENT_3D('',#40365,#33134,#33135); #26351=AXIS2_PLACEMENT_3D('',#40367,#33136,#33137); #26352=AXIS2_PLACEMENT_3D('',#40369,#33138,#33139); #26353=AXIS2_PLACEMENT_3D('',#40371,#33140,#33141); #26354=AXIS2_PLACEMENT_3D('',#40373,#33142,#33143); #26355=AXIS2_PLACEMENT_3D('',#40375,#33144,#33145); #26356=AXIS2_PLACEMENT_3D('',#40376,#33146,#33147); #26357=AXIS2_PLACEMENT_3D('',#40382,#33150,#33151); #26358=AXIS2_PLACEMENT_3D('',#40389,#33155,#33156); #26359=AXIS2_PLACEMENT_3D('',#40391,#33157,#33158); #26360=AXIS2_PLACEMENT_3D('',#40393,#33159,#33160); #26361=AXIS2_PLACEMENT_3D('',#40395,#33161,#33162); #26362=AXIS2_PLACEMENT_3D('',#40397,#33163,#33164); #26363=AXIS2_PLACEMENT_3D('',#40399,#33165,#33166); #26364=AXIS2_PLACEMENT_3D('',#40401,#33167,#33168); #26365=AXIS2_PLACEMENT_3D('',#40403,#33169,#33170); #26366=AXIS2_PLACEMENT_3D('',#40404,#33171,#33172); #26367=AXIS2_PLACEMENT_3D('',#40406,#33173,#33174); #26368=AXIS2_PLACEMENT_3D('',#40407,#33175,#33176); #26369=AXIS2_PLACEMENT_3D('',#40409,#33177,#33178); #26370=AXIS2_PLACEMENT_3D('',#40410,#33179,#33180); #26371=AXIS2_PLACEMENT_3D('',#40412,#33181,#33182); #26372=AXIS2_PLACEMENT_3D('',#40413,#33183,#33184); #26373=AXIS2_PLACEMENT_3D('',#40415,#33185,#33186); #26374=AXIS2_PLACEMENT_3D('',#40416,#33187,#33188); #26375=AXIS2_PLACEMENT_3D('',#40418,#33189,#33190); #26376=AXIS2_PLACEMENT_3D('',#40419,#33191,#33192); #26377=AXIS2_PLACEMENT_3D('',#40421,#33193,#33194); #26378=AXIS2_PLACEMENT_3D('',#40422,#33195,#33196); #26379=AXIS2_PLACEMENT_3D('',#40425,#33198,#33199); #26380=AXIS2_PLACEMENT_3D('',#40426,#33200,#33201); #26381=AXIS2_PLACEMENT_3D('',#40434,#33205,#33206); #26382=AXIS2_PLACEMENT_3D('',#40439,#33209,#33210); #26383=AXIS2_PLACEMENT_3D('',#40441,#33211,#33212); #26384=AXIS2_PLACEMENT_3D('',#40443,#33213,#33214); #26385=AXIS2_PLACEMENT_3D('',#40445,#33215,#33216); #26386=AXIS2_PLACEMENT_3D('',#40447,#33217,#33218); #26387=AXIS2_PLACEMENT_3D('',#40449,#33219,#33220); #26388=AXIS2_PLACEMENT_3D('',#40451,#33221,#33222); #26389=AXIS2_PLACEMENT_3D('',#40453,#33223,#33224); #26390=AXIS2_PLACEMENT_3D('',#40455,#33225,#33226); #26391=AXIS2_PLACEMENT_3D('',#40457,#33227,#33228); #26392=AXIS2_PLACEMENT_3D('',#40459,#33229,#33230); #26393=AXIS2_PLACEMENT_3D('',#40461,#33231,#33232); #26394=AXIS2_PLACEMENT_3D('',#40463,#33233,#33234); #26395=AXIS2_PLACEMENT_3D('',#40465,#33235,#33236); #26396=AXIS2_PLACEMENT_3D('',#40467,#33237,#33238); #26397=AXIS2_PLACEMENT_3D('',#40468,#33239,#33240); #26398=AXIS2_PLACEMENT_3D('',#40470,#33242,#33243); #26399=AXIS2_PLACEMENT_3D('',#40471,#33244,#33245); #26400=AXIS2_PLACEMENT_3D('',#40472,#33246,#33247); #26401=AXIS2_PLACEMENT_3D('',#40473,#33248,#33249); #26402=AXIS2_PLACEMENT_3D('',#40474,#33250,#33251); #26403=AXIS2_PLACEMENT_3D('',#40475,#33252,#33253); #26404=AXIS2_PLACEMENT_3D('',#40476,#33254,#33255); #26405=AXIS2_PLACEMENT_3D('',#40477,#33256,#33257); #26406=AXIS2_PLACEMENT_3D('',#40478,#33258,#33259); #26407=AXIS2_PLACEMENT_3D('',#40479,#33260,#33261); #26408=AXIS2_PLACEMENT_3D('',#40480,#33262,#33263); #26409=AXIS2_PLACEMENT_3D('',#40481,#33264,#33265); #26410=AXIS2_PLACEMENT_3D('',#40482,#33266,#33267); #26411=AXIS2_PLACEMENT_3D('',#40483,#33268,#33269); #26412=AXIS2_PLACEMENT_3D('',#40484,#33270,#33271); #26413=AXIS2_PLACEMENT_3D('',#40485,#33272,#33273); #26414=AXIS2_PLACEMENT_3D('',#40488,#33276,#33277); #26415=AXIS2_PLACEMENT_3D('',#40490,#33279,#33280); #26416=AXIS2_PLACEMENT_3D('',#40491,#33281,#33282); #26417=AXIS2_PLACEMENT_3D('',#40493,#33284,#33285); #26418=AXIS2_PLACEMENT_3D('placement',#40494,#33286,#33287); #26419=AXIS2_PLACEMENT_3D('',#40495,#33288,#33289); #26420=AXIS2_PLACEMENT_3D('',#40497,#33290,#33291); #26421=AXIS2_PLACEMENT_3D('',#40498,#33292,#33293); #26422=AXIS2_PLACEMENT_3D('',#40500,#33294,#33295); #26423=AXIS2_PLACEMENT_3D('',#40501,#33296,#33297); #26424=AXIS2_PLACEMENT_3D('',#40503,#33298,#33299); #26425=AXIS2_PLACEMENT_3D('',#40504,#33300,#33301); #26426=AXIS2_PLACEMENT_3D('',#40506,#33302,#33303); #26427=AXIS2_PLACEMENT_3D('',#40507,#33304,#33305); #26428=AXIS2_PLACEMENT_3D('',#40509,#33306,#33307); #26429=AXIS2_PLACEMENT_3D('',#40510,#33308,#33309); #26430=AXIS2_PLACEMENT_3D('',#40512,#33310,#33311); #26431=AXIS2_PLACEMENT_3D('',#40513,#33312,#33313); #26432=AXIS2_PLACEMENT_3D('',#40515,#33314,#33315); #26433=AXIS2_PLACEMENT_3D('',#40516,#33316,#33317); #26434=AXIS2_PLACEMENT_3D('',#40518,#33318,#33319); #26435=AXIS2_PLACEMENT_3D('',#40519,#33320,#33321); #26436=AXIS2_PLACEMENT_3D('',#40521,#33322,#33323); #26437=AXIS2_PLACEMENT_3D('',#40522,#33324,#33325); #26438=AXIS2_PLACEMENT_3D('',#40524,#33326,#33327); #26439=AXIS2_PLACEMENT_3D('',#40525,#33328,#33329); #26440=AXIS2_PLACEMENT_3D('',#40527,#33330,#33331); #26441=AXIS2_PLACEMENT_3D('',#40528,#33332,#33333); #26442=AXIS2_PLACEMENT_3D('',#40530,#33334,#33335); #26443=AXIS2_PLACEMENT_3D('',#40531,#33336,#33337); #26444=AXIS2_PLACEMENT_3D('',#40533,#33338,#33339); #26445=AXIS2_PLACEMENT_3D('',#40534,#33340,#33341); #26446=AXIS2_PLACEMENT_3D('',#40536,#33342,#33343); #26447=AXIS2_PLACEMENT_3D('',#40537,#33344,#33345); #26448=AXIS2_PLACEMENT_3D('',#40539,#33346,#33347); #26449=AXIS2_PLACEMENT_3D('',#40540,#33348,#33349); #26450=AXIS2_PLACEMENT_3D('',#40542,#33350,#33351); #26451=AXIS2_PLACEMENT_3D('',#40543,#33352,#33353); #26452=AXIS2_PLACEMENT_3D('',#40545,#33354,#33355); #26453=AXIS2_PLACEMENT_3D('',#40546,#33356,#33357); #26454=AXIS2_PLACEMENT_3D('',#40548,#33358,#33359); #26455=AXIS2_PLACEMENT_3D('',#40549,#33360,#33361); #26456=AXIS2_PLACEMENT_3D('',#40551,#33362,#33363); #26457=AXIS2_PLACEMENT_3D('',#40552,#33364,#33365); #26458=AXIS2_PLACEMENT_3D('',#40554,#33366,#33367); #26459=AXIS2_PLACEMENT_3D('',#40555,#33368,#33369); #26460=AXIS2_PLACEMENT_3D('',#40557,#33370,#33371); #26461=AXIS2_PLACEMENT_3D('',#40558,#33372,#33373); #26462=AXIS2_PLACEMENT_3D('',#40560,#33374,#33375); #26463=AXIS2_PLACEMENT_3D('',#40561,#33376,#33377); #26464=AXIS2_PLACEMENT_3D('',#40563,#33378,#33379); #26465=AXIS2_PLACEMENT_3D('',#40564,#33380,#33381); #26466=AXIS2_PLACEMENT_3D('',#40566,#33382,#33383); #26467=AXIS2_PLACEMENT_3D('',#40567,#33384,#33385); #26468=AXIS2_PLACEMENT_3D('',#40569,#33386,#33387); #26469=AXIS2_PLACEMENT_3D('',#40570,#33388,#33389); #26470=AXIS2_PLACEMENT_3D('',#40572,#33390,#33391); #26471=AXIS2_PLACEMENT_3D('',#40573,#33392,#33393); #26472=AXIS2_PLACEMENT_3D('',#40575,#33394,#33395); #26473=AXIS2_PLACEMENT_3D('',#40576,#33396,#33397); #26474=AXIS2_PLACEMENT_3D('',#40578,#33398,#33399); #26475=AXIS2_PLACEMENT_3D('',#40579,#33400,#33401); #26476=AXIS2_PLACEMENT_3D('',#40581,#33402,#33403); #26477=AXIS2_PLACEMENT_3D('',#40582,#33404,#33405); #26478=AXIS2_PLACEMENT_3D('',#40584,#33406,#33407); #26479=AXIS2_PLACEMENT_3D('',#40585,#33408,#33409); #26480=AXIS2_PLACEMENT_3D('',#40587,#33410,#33411); #26481=AXIS2_PLACEMENT_3D('',#40588,#33412,#33413); #26482=AXIS2_PLACEMENT_3D('',#40590,#33414,#33415); #26483=AXIS2_PLACEMENT_3D('',#40591,#33416,#33417); #26484=AXIS2_PLACEMENT_3D('',#40593,#33418,#33419); #26485=AXIS2_PLACEMENT_3D('',#40594,#33420,#33421); #26486=AXIS2_PLACEMENT_3D('',#40596,#33422,#33423); #26487=AXIS2_PLACEMENT_3D('',#40597,#33424,#33425); #26488=AXIS2_PLACEMENT_3D('',#40599,#33426,#33427); #26489=AXIS2_PLACEMENT_3D('',#40600,#33428,#33429); #26490=AXIS2_PLACEMENT_3D('',#40602,#33430,#33431); #26491=AXIS2_PLACEMENT_3D('',#40603,#33432,#33433); #26492=AXIS2_PLACEMENT_3D('',#40605,#33434,#33435); #26493=AXIS2_PLACEMENT_3D('',#40606,#33436,#33437); #26494=AXIS2_PLACEMENT_3D('',#40608,#33438,#33439); #26495=AXIS2_PLACEMENT_3D('',#40609,#33440,#33441); #26496=AXIS2_PLACEMENT_3D('',#40611,#33442,#33443); #26497=AXIS2_PLACEMENT_3D('',#40612,#33444,#33445); #26498=AXIS2_PLACEMENT_3D('',#40614,#33446,#33447); #26499=AXIS2_PLACEMENT_3D('',#40615,#33448,#33449); #26500=AXIS2_PLACEMENT_3D('',#40617,#33450,#33451); #26501=AXIS2_PLACEMENT_3D('',#40618,#33452,#33453); #26502=AXIS2_PLACEMENT_3D('',#40620,#33454,#33455); #26503=AXIS2_PLACEMENT_3D('',#40621,#33456,#33457); #26504=AXIS2_PLACEMENT_3D('',#40623,#33458,#33459); #26505=AXIS2_PLACEMENT_3D('',#40624,#33460,#33461); #26506=AXIS2_PLACEMENT_3D('',#40626,#33462,#33463); #26507=AXIS2_PLACEMENT_3D('',#40627,#33464,#33465); #26508=AXIS2_PLACEMENT_3D('',#40629,#33466,#33467); #26509=AXIS2_PLACEMENT_3D('',#40630,#33468,#33469); #26510=AXIS2_PLACEMENT_3D('',#40632,#33470,#33471); #26511=AXIS2_PLACEMENT_3D('',#40633,#33472,#33473); #26512=AXIS2_PLACEMENT_3D('',#40635,#33474,#33475); #26513=AXIS2_PLACEMENT_3D('',#40636,#33476,#33477); #26514=AXIS2_PLACEMENT_3D('',#40638,#33478,#33479); #26515=AXIS2_PLACEMENT_3D('',#40639,#33480,#33481); #26516=AXIS2_PLACEMENT_3D('',#40641,#33482,#33483); #26517=AXIS2_PLACEMENT_3D('',#40642,#33484,#33485); #26518=AXIS2_PLACEMENT_3D('',#40644,#33486,#33487); #26519=AXIS2_PLACEMENT_3D('',#40645,#33488,#33489); #26520=AXIS2_PLACEMENT_3D('',#40647,#33490,#33491); #26521=AXIS2_PLACEMENT_3D('',#40648,#33492,#33493); #26522=AXIS2_PLACEMENT_3D('',#40650,#33494,#33495); #26523=AXIS2_PLACEMENT_3D('',#40651,#33496,#33497); #26524=AXIS2_PLACEMENT_3D('',#40653,#33498,#33499); #26525=AXIS2_PLACEMENT_3D('',#40654,#33500,#33501); #26526=AXIS2_PLACEMENT_3D('',#40656,#33502,#33503); #26527=AXIS2_PLACEMENT_3D('',#40657,#33504,#33505); #26528=AXIS2_PLACEMENT_3D('',#40659,#33506,#33507); #26529=AXIS2_PLACEMENT_3D('',#40660,#33508,#33509); #26530=AXIS2_PLACEMENT_3D('',#40662,#33510,#33511); #26531=AXIS2_PLACEMENT_3D('',#40663,#33512,#33513); #26532=AXIS2_PLACEMENT_3D('',#40665,#33514,#33515); #26533=AXIS2_PLACEMENT_3D('',#40666,#33516,#33517); #26534=AXIS2_PLACEMENT_3D('',#40668,#33518,#33519); #26535=AXIS2_PLACEMENT_3D('',#40669,#33520,#33521); #26536=AXIS2_PLACEMENT_3D('',#40671,#33522,#33523); #26537=AXIS2_PLACEMENT_3D('',#40672,#33524,#33525); #26538=AXIS2_PLACEMENT_3D('',#40674,#33526,#33527); #26539=AXIS2_PLACEMENT_3D('',#40675,#33528,#33529); #26540=AXIS2_PLACEMENT_3D('',#40677,#33530,#33531); #26541=AXIS2_PLACEMENT_3D('',#40678,#33532,#33533); #26542=AXIS2_PLACEMENT_3D('',#40680,#33534,#33535); #26543=AXIS2_PLACEMENT_3D('',#40681,#33536,#33537); #26544=AXIS2_PLACEMENT_3D('',#40683,#33538,#33539); #26545=AXIS2_PLACEMENT_3D('',#40684,#33540,#33541); #26546=AXIS2_PLACEMENT_3D('',#40686,#33542,#33543); #26547=AXIS2_PLACEMENT_3D('',#40687,#33544,#33545); #26548=AXIS2_PLACEMENT_3D('',#40689,#33546,#33547); #26549=AXIS2_PLACEMENT_3D('',#40690,#33548,#33549); #26550=AXIS2_PLACEMENT_3D('',#40692,#33550,#33551); #26551=AXIS2_PLACEMENT_3D('',#40693,#33552,#33553); #26552=AXIS2_PLACEMENT_3D('',#40695,#33554,#33555); #26553=AXIS2_PLACEMENT_3D('',#40696,#33556,#33557); #26554=AXIS2_PLACEMENT_3D('',#40698,#33558,#33559); #26555=AXIS2_PLACEMENT_3D('',#40699,#33560,#33561); #26556=AXIS2_PLACEMENT_3D('',#40701,#33562,#33563); #26557=AXIS2_PLACEMENT_3D('',#40702,#33564,#33565); #26558=AXIS2_PLACEMENT_3D('',#40704,#33566,#33567); #26559=AXIS2_PLACEMENT_3D('',#40705,#33568,#33569); #26560=AXIS2_PLACEMENT_3D('',#40707,#33570,#33571); #26561=AXIS2_PLACEMENT_3D('',#40708,#33572,#33573); #26562=AXIS2_PLACEMENT_3D('',#40710,#33574,#33575); #26563=AXIS2_PLACEMENT_3D('',#40711,#33576,#33577); #26564=AXIS2_PLACEMENT_3D('',#40720,#33582,#33583); #26565=AXIS2_PLACEMENT_3D('',#40728,#33588,#33589); #26566=AXIS2_PLACEMENT_3D('',#40732,#33592,#33593); #26567=AXIS2_PLACEMENT_3D('',#40740,#33597,#33598); #26568=AXIS2_PLACEMENT_3D('',#40744,#33601,#33602); #26569=AXIS2_PLACEMENT_3D('',#40748,#33605,#33606); #26570=AXIS2_PLACEMENT_3D('',#40754,#33610,#33611); #26571=AXIS2_PLACEMENT_3D('',#40756,#33613,#33614); #26572=AXIS2_PLACEMENT_3D('',#40760,#33616,#33617); #26573=AXIS2_PLACEMENT_3D('',#40762,#33619,#33620); #26574=AXIS2_PLACEMENT_3D('',#40764,#33622,#33623); #26575=AXIS2_PLACEMENT_3D('',#40768,#33626,#33627); #26576=AXIS2_PLACEMENT_3D('',#40770,#33629,#33630); #26577=AXIS2_PLACEMENT_3D('placement',#40771,#33631,#33632); #26578=AXIS2_PLACEMENT_3D('',#40772,#33633,#33634); #26579=AXIS2_PLACEMENT_3D('',#40775,#33635,#33636); #26580=AXIS2_PLACEMENT_3D('',#40779,#33638,#33639); #26581=AXIS2_PLACEMENT_3D('',#40781,#33641,#33642); #26582=AXIS2_PLACEMENT_3D('',#40785,#33644,#33645); #26583=AXIS2_PLACEMENT_3D('',#40800,#33653,#33654); #26584=AXIS2_PLACEMENT_3D('',#40802,#33655,#33656); #26585=AXIS2_PLACEMENT_3D('',#40804,#33657,#33658); #26586=AXIS2_PLACEMENT_3D('',#40806,#33659,#33660); #26587=AXIS2_PLACEMENT_3D('',#40808,#33661,#33662); #26588=AXIS2_PLACEMENT_3D('',#40810,#33663,#33664); #26589=AXIS2_PLACEMENT_3D('',#40812,#33665,#33666); #26590=AXIS2_PLACEMENT_3D('',#40814,#33667,#33668); #26591=AXIS2_PLACEMENT_3D('',#40816,#33669,#33670); #26592=AXIS2_PLACEMENT_3D('',#40818,#33671,#33672); #26593=AXIS2_PLACEMENT_3D('',#40820,#33673,#33674); #26594=AXIS2_PLACEMENT_3D('',#40822,#33675,#33676); #26595=AXIS2_PLACEMENT_3D('',#40824,#33677,#33678); #26596=AXIS2_PLACEMENT_3D('',#40826,#33679,#33680); #26597=AXIS2_PLACEMENT_3D('',#40828,#33681,#33682); #26598=AXIS2_PLACEMENT_3D('',#40830,#33683,#33684); #26599=AXIS2_PLACEMENT_3D('',#40832,#33685,#33686); #26600=AXIS2_PLACEMENT_3D('',#40834,#33687,#33688); #26601=AXIS2_PLACEMENT_3D('',#40836,#33689,#33690); #26602=AXIS2_PLACEMENT_3D('',#40838,#33691,#33692); #26603=AXIS2_PLACEMENT_3D('',#40840,#33693,#33694); #26604=AXIS2_PLACEMENT_3D('',#40841,#33695,#33696); #26605=AXIS2_PLACEMENT_3D('',#40845,#33699,#33700); #26606=AXIS2_PLACEMENT_3D('',#40847,#33701,#33702); #26607=AXIS2_PLACEMENT_3D('',#40849,#33704,#33705); #26608=AXIS2_PLACEMENT_3D('',#40853,#33708,#33709); #26609=AXIS2_PLACEMENT_3D('',#40859,#33712,#33713); #26610=AXIS2_PLACEMENT_3D('',#40862,#33715,#33716); #26611=AXIS2_PLACEMENT_3D('',#40864,#33717,#33718); #26612=AXIS2_PLACEMENT_3D('',#40866,#33719,#33720); #26613=AXIS2_PLACEMENT_3D('',#40868,#33721,#33722); #26614=AXIS2_PLACEMENT_3D('',#40870,#33723,#33724); #26615=AXIS2_PLACEMENT_3D('',#40872,#33725,#33726); #26616=AXIS2_PLACEMENT_3D('',#40874,#33727,#33728); #26617=AXIS2_PLACEMENT_3D('',#40876,#33729,#33730); #26618=AXIS2_PLACEMENT_3D('',#40878,#33731,#33732); #26619=AXIS2_PLACEMENT_3D('',#40880,#33733,#33734); #26620=AXIS2_PLACEMENT_3D('',#40882,#33735,#33736); #26621=AXIS2_PLACEMENT_3D('',#40884,#33737,#33738); #26622=AXIS2_PLACEMENT_3D('',#40885,#33739,#33740); #26623=AXIS2_PLACEMENT_3D('',#40891,#33744,#33745); #26624=AXIS2_PLACEMENT_3D('',#40895,#33748,#33749); #26625=AXIS2_PLACEMENT_3D('',#40899,#33752,#33753); #26626=AXIS2_PLACEMENT_3D('',#40901,#33755,#33756); #26627=AXIS2_PLACEMENT_3D('',#40909,#33760,#33761); #26628=AXIS2_PLACEMENT_3D('',#40916,#33765,#33766); #26629=AXIS2_PLACEMENT_3D('',#40918,#33767,#33768); #26630=AXIS2_PLACEMENT_3D('',#40920,#33769,#33770); #26631=AXIS2_PLACEMENT_3D('',#40922,#33771,#33772); #26632=AXIS2_PLACEMENT_3D('',#40924,#33773,#33774); #26633=AXIS2_PLACEMENT_3D('',#40926,#33775,#33776); #26634=AXIS2_PLACEMENT_3D('',#40928,#33777,#33778); #26635=AXIS2_PLACEMENT_3D('',#40930,#33779,#33780); #26636=AXIS2_PLACEMENT_3D('',#40931,#33781,#33782); #26637=AXIS2_PLACEMENT_3D('',#40937,#33786,#33787); #26638=AXIS2_PLACEMENT_3D('',#40939,#33788,#33789); #26639=AXIS2_PLACEMENT_3D('',#40940,#33790,#33791); #26640=AXIS2_PLACEMENT_3D('',#40942,#33792,#33793); #26641=AXIS2_PLACEMENT_3D('',#40943,#33794,#33795); #26642=AXIS2_PLACEMENT_3D('',#40945,#33796,#33797); #26643=AXIS2_PLACEMENT_3D('',#40946,#33798,#33799); #26644=AXIS2_PLACEMENT_3D('',#40948,#33800,#33801); #26645=AXIS2_PLACEMENT_3D('',#40949,#33802,#33803); #26646=AXIS2_PLACEMENT_3D('',#40951,#33804,#33805); #26647=AXIS2_PLACEMENT_3D('',#40952,#33806,#33807); #26648=AXIS2_PLACEMENT_3D('',#40954,#33808,#33809); #26649=AXIS2_PLACEMENT_3D('',#40955,#33810,#33811); #26650=AXIS2_PLACEMENT_3D('',#40957,#33812,#33813); #26651=AXIS2_PLACEMENT_3D('',#40958,#33814,#33815); #26652=AXIS2_PLACEMENT_3D('',#40960,#33816,#33817); #26653=AXIS2_PLACEMENT_3D('',#40961,#33818,#33819); #26654=AXIS2_PLACEMENT_3D('',#40963,#33820,#33821); #26655=AXIS2_PLACEMENT_3D('',#40964,#33822,#33823); #26656=AXIS2_PLACEMENT_3D('',#40966,#33824,#33825); #26657=AXIS2_PLACEMENT_3D('',#40967,#33826,#33827); #26658=AXIS2_PLACEMENT_3D('',#40969,#33828,#33829); #26659=AXIS2_PLACEMENT_3D('',#40970,#33830,#33831); #26660=AXIS2_PLACEMENT_3D('',#40972,#33832,#33833); #26661=AXIS2_PLACEMENT_3D('',#40973,#33834,#33835); #26662=AXIS2_PLACEMENT_3D('',#40976,#33837,#33838); #26663=AXIS2_PLACEMENT_3D('',#40977,#33839,#33840); #26664=AXIS2_PLACEMENT_3D('',#40985,#33844,#33845); #26665=AXIS2_PLACEMENT_3D('',#40990,#33848,#33849); #26666=AXIS2_PLACEMENT_3D('',#40992,#33850,#33851); #26667=AXIS2_PLACEMENT_3D('',#40994,#33852,#33853); #26668=AXIS2_PLACEMENT_3D('',#40996,#33854,#33855); #26669=AXIS2_PLACEMENT_3D('',#40998,#33856,#33857); #26670=AXIS2_PLACEMENT_3D('',#41000,#33858,#33859); #26671=AXIS2_PLACEMENT_3D('',#41002,#33860,#33861); #26672=AXIS2_PLACEMENT_3D('',#41004,#33862,#33863); #26673=AXIS2_PLACEMENT_3D('',#41006,#33864,#33865); #26674=AXIS2_PLACEMENT_3D('',#41008,#33866,#33867); #26675=AXIS2_PLACEMENT_3D('',#41010,#33868,#33869); #26676=AXIS2_PLACEMENT_3D('',#41012,#33870,#33871); #26677=AXIS2_PLACEMENT_3D('',#41014,#33872,#33873); #26678=AXIS2_PLACEMENT_3D('',#41016,#33874,#33875); #26679=AXIS2_PLACEMENT_3D('',#41018,#33876,#33877); #26680=AXIS2_PLACEMENT_3D('',#41020,#33878,#33879); #26681=AXIS2_PLACEMENT_3D('',#41022,#33880,#33881); #26682=AXIS2_PLACEMENT_3D('',#41024,#33882,#33883); #26683=AXIS2_PLACEMENT_3D('',#41026,#33884,#33885); #26684=AXIS2_PLACEMENT_3D('',#41028,#33886,#33887); #26685=AXIS2_PLACEMENT_3D('',#41030,#33888,#33889); #26686=AXIS2_PLACEMENT_3D('',#41032,#33890,#33891); #26687=AXIS2_PLACEMENT_3D('',#41034,#33892,#33893); #26688=AXIS2_PLACEMENT_3D('',#41036,#33894,#33895); #26689=AXIS2_PLACEMENT_3D('',#41038,#33896,#33897); #26690=AXIS2_PLACEMENT_3D('',#41040,#33898,#33899); #26691=AXIS2_PLACEMENT_3D('',#41042,#33900,#33901); #26692=AXIS2_PLACEMENT_3D('',#41044,#33902,#33903); #26693=AXIS2_PLACEMENT_3D('',#41046,#33904,#33905); #26694=AXIS2_PLACEMENT_3D('',#41047,#33906,#33907); #26695=AXIS2_PLACEMENT_3D('',#41048,#33908,#33909); #26696=AXIS2_PLACEMENT_3D('',#41049,#33910,#33911); #26697=AXIS2_PLACEMENT_3D('',#41050,#33912,#33913); #26698=AXIS2_PLACEMENT_3D('',#41051,#33914,#33915); #26699=AXIS2_PLACEMENT_3D('',#41052,#33916,#33917); #26700=AXIS2_PLACEMENT_3D('',#41053,#33918,#33919); #26701=AXIS2_PLACEMENT_3D('',#41054,#33920,#33921); #26702=AXIS2_PLACEMENT_3D('',#41055,#33922,#33923); #26703=AXIS2_PLACEMENT_3D('',#41056,#33924,#33925); #26704=AXIS2_PLACEMENT_3D('',#41057,#33926,#33927); #26705=AXIS2_PLACEMENT_3D('',#41058,#33928,#33929); #26706=AXIS2_PLACEMENT_3D('',#41059,#33930,#33931); #26707=AXIS2_PLACEMENT_3D('',#41060,#33932,#33933); #26708=AXIS2_PLACEMENT_3D('',#41061,#33934,#33935); #26709=AXIS2_PLACEMENT_3D('',#41062,#33936,#33937); #26710=AXIS2_PLACEMENT_3D('',#41063,#33938,#33939); #26711=AXIS2_PLACEMENT_3D('',#41064,#33940,#33941); #26712=AXIS2_PLACEMENT_3D('',#41065,#33942,#33943); #26713=AXIS2_PLACEMENT_3D('',#41066,#33944,#33945); #26714=AXIS2_PLACEMENT_3D('',#41067,#33946,#33947); #26715=AXIS2_PLACEMENT_3D('',#41068,#33948,#33949); #26716=AXIS2_PLACEMENT_3D('',#41069,#33950,#33951); #26717=AXIS2_PLACEMENT_3D('',#41070,#33952,#33953); #26718=AXIS2_PLACEMENT_3D('',#41071,#33954,#33955); #26719=AXIS2_PLACEMENT_3D('',#41072,#33956,#33957); #26720=AXIS2_PLACEMENT_3D('',#41073,#33958,#33959); #26721=AXIS2_PLACEMENT_3D('',#41074,#33960,#33961); #26722=AXIS2_PLACEMENT_3D('',#41075,#33962,#33963); #26723=AXIS2_PLACEMENT_3D('',#41076,#33964,#33965); #26724=AXIS2_PLACEMENT_3D('',#41078,#33967,#33968); #26725=AXIS2_PLACEMENT_3D('',#41081,#33971,#33972); #26726=AXIS2_PLACEMENT_3D('',#41083,#33974,#33975); #26727=AXIS2_PLACEMENT_3D('',#41084,#33976,#33977); #26728=AXIS2_PLACEMENT_3D('',#41086,#33979,#33980); #26729=AXIS2_PLACEMENT_3D('placement',#41087,#33981,#33982); #26730=AXIS2_PLACEMENT_3D('',#41088,#33983,#33984); #26731=AXIS2_PLACEMENT_3D('',#41090,#33985,#33986); #26732=AXIS2_PLACEMENT_3D('',#41092,#33987,#33988); #26733=AXIS2_PLACEMENT_3D('',#41093,#33989,#33990); #26734=AXIS2_PLACEMENT_3D('',#41095,#33991,#33992); #26735=AXIS2_PLACEMENT_3D('',#41097,#33993,#33994); #26736=AXIS2_PLACEMENT_3D('',#41098,#33995,#33996); #26737=AXIS2_PLACEMENT_3D('',#41100,#33997,#33998); #26738=AXIS2_PLACEMENT_3D('',#41102,#33999,#34000); #26739=AXIS2_PLACEMENT_3D('',#41103,#34001,#34002); #26740=AXIS2_PLACEMENT_3D('',#41105,#34003,#34004); #26741=AXIS2_PLACEMENT_3D('',#41107,#34005,#34006); #26742=AXIS2_PLACEMENT_3D('',#41108,#34007,#34008); #26743=AXIS2_PLACEMENT_3D('',#41110,#34009,#34010); #26744=AXIS2_PLACEMENT_3D('',#41111,#34011,#34012); #26745=AXIS2_PLACEMENT_3D('',#41113,#34013,#34014); #26746=AXIS2_PLACEMENT_3D('',#41114,#34015,#34016); #26747=AXIS2_PLACEMENT_3D('',#41116,#34017,#34018); #26748=AXIS2_PLACEMENT_3D('',#41117,#34019,#34020); #26749=AXIS2_PLACEMENT_3D('',#41119,#34021,#34022); #26750=AXIS2_PLACEMENT_3D('',#41120,#34023,#34024); #26751=AXIS2_PLACEMENT_3D('',#41122,#34025,#34026); #26752=AXIS2_PLACEMENT_3D('',#41123,#34027,#34028); #26753=AXIS2_PLACEMENT_3D('',#41125,#34029,#34030); #26754=AXIS2_PLACEMENT_3D('',#41126,#34031,#34032); #26755=AXIS2_PLACEMENT_3D('',#41136,#34037,#34038); #26756=AXIS2_PLACEMENT_3D('',#41138,#34039,#34040); #26757=AXIS2_PLACEMENT_3D('',#41140,#34041,#34042); #26758=AXIS2_PLACEMENT_3D('',#41142,#34043,#34044); #26759=AXIS2_PLACEMENT_3D('',#41144,#34045,#34046); #26760=AXIS2_PLACEMENT_3D('',#41145,#34047,#34048); #26761=AXIS2_PLACEMENT_3D('',#41151,#34052,#34053); #26762=AXIS2_PLACEMENT_3D('',#41153,#34054,#34055); #26763=AXIS2_PLACEMENT_3D('',#41154,#34056,#34057); #26764=AXIS2_PLACEMENT_3D('',#41156,#34058,#34059); #26765=AXIS2_PLACEMENT_3D('',#41157,#34060,#34061); #26766=AXIS2_PLACEMENT_3D('',#41159,#34062,#34063); #26767=AXIS2_PLACEMENT_3D('',#41160,#34064,#34065); #26768=AXIS2_PLACEMENT_3D('',#41162,#34066,#34067); #26769=AXIS2_PLACEMENT_3D('',#41163,#34068,#34069); #26770=AXIS2_PLACEMENT_3D('',#41165,#34070,#34071); #26771=AXIS2_PLACEMENT_3D('',#41166,#34072,#34073); #26772=AXIS2_PLACEMENT_3D('',#41171,#34076,#34077); #26773=AXIS2_PLACEMENT_3D('',#41173,#34078,#34079); #26774=AXIS2_PLACEMENT_3D('',#41175,#34080,#34081); #26775=AXIS2_PLACEMENT_3D('',#41176,#34082,#34083); #26776=AXIS2_PLACEMENT_3D('',#41180,#34086,#34087); #26777=AXIS2_PLACEMENT_3D('',#41182,#34088,#34089); #26778=AXIS2_PLACEMENT_3D('',#41183,#34090,#34091); #26779=AXIS2_PLACEMENT_3D('',#41184,#34092,#34093); #26780=AXIS2_PLACEMENT_3D('',#41186,#34094,#34095); #26781=AXIS2_PLACEMENT_3D('',#41187,#34096,#34097); #26782=AXIS2_PLACEMENT_3D('',#41188,#34098,#34099); #26783=AXIS2_PLACEMENT_3D('',#41191,#34100,#34101); #26784=AXIS2_PLACEMENT_3D('',#41192,#34102,#34103); #26785=AXIS2_PLACEMENT_3D('',#41193,#34104,#34105); #26786=AXIS2_PLACEMENT_3D('',#41197,#34106,#34107); #26787=AXIS2_PLACEMENT_3D('',#41199,#34109,#34110); #26788=AXIS2_PLACEMENT_3D('placement',#41200,#34111,#34112); #26789=AXIS2_PLACEMENT_3D('',#41201,#34113,#34114); #26790=AXIS2_PLACEMENT_3D('',#41210,#34119,#34120); #26791=AXIS2_PLACEMENT_3D('',#41212,#34121,#34122); #26792=AXIS2_PLACEMENT_3D('',#41214,#34123,#34124); #26793=AXIS2_PLACEMENT_3D('',#41215,#34125,#34126); #26794=AXIS2_PLACEMENT_3D('',#41217,#34127,#34128); #26795=AXIS2_PLACEMENT_3D('',#41218,#34129,#34130); #26796=AXIS2_PLACEMENT_3D('',#41220,#34131,#34132); #26797=AXIS2_PLACEMENT_3D('',#41221,#34133,#34134); #26798=AXIS2_PLACEMENT_3D('',#41223,#34135,#34136); #26799=AXIS2_PLACEMENT_3D('',#41225,#34137,#34138); #26800=AXIS2_PLACEMENT_3D('',#41226,#34139,#34140); #26801=AXIS2_PLACEMENT_3D('',#41228,#34141,#34142); #26802=AXIS2_PLACEMENT_3D('',#41229,#34143,#34144); #26803=AXIS2_PLACEMENT_3D('',#41231,#34145,#34146); #26804=AXIS2_PLACEMENT_3D('',#41232,#34147,#34148); #26805=AXIS2_PLACEMENT_3D('',#41234,#34149,#34150); #26806=AXIS2_PLACEMENT_3D('',#41236,#34151,#34152); #26807=AXIS2_PLACEMENT_3D('',#41237,#34153,#34154); #26808=AXIS2_PLACEMENT_3D('',#41239,#34155,#34156); #26809=AXIS2_PLACEMENT_3D('',#41240,#34157,#34158); #26810=AXIS2_PLACEMENT_3D('',#41242,#34159,#34160); #26811=AXIS2_PLACEMENT_3D('',#41243,#34161,#34162); #26812=AXIS2_PLACEMENT_3D('',#41245,#34163,#34164); #26813=AXIS2_PLACEMENT_3D('',#41247,#34165,#34166); #26814=AXIS2_PLACEMENT_3D('',#41248,#34167,#34168); #26815=AXIS2_PLACEMENT_3D('',#41250,#34169,#34170); #26816=AXIS2_PLACEMENT_3D('',#41251,#34171,#34172); #26817=AXIS2_PLACEMENT_3D('',#41253,#34173,#34174); #26818=AXIS2_PLACEMENT_3D('',#41254,#34175,#34176); #26819=AXIS2_PLACEMENT_3D('',#41256,#34177,#34178); #26820=AXIS2_PLACEMENT_3D('',#41258,#34179,#34180); #26821=AXIS2_PLACEMENT_3D('',#41259,#34181,#34182); #26822=AXIS2_PLACEMENT_3D('',#41261,#34183,#34184); #26823=AXIS2_PLACEMENT_3D('',#41262,#34185,#34186); #26824=AXIS2_PLACEMENT_3D('',#41264,#34187,#34188); #26825=AXIS2_PLACEMENT_3D('',#41265,#34189,#34190); #26826=AXIS2_PLACEMENT_3D('',#41267,#34191,#34192); #26827=AXIS2_PLACEMENT_3D('',#41269,#34193,#34194); #26828=AXIS2_PLACEMENT_3D('',#41270,#34195,#34196); #26829=AXIS2_PLACEMENT_3D('',#41272,#34197,#34198); #26830=AXIS2_PLACEMENT_3D('',#41273,#34199,#34200); #26831=AXIS2_PLACEMENT_3D('',#41275,#34201,#34202); #26832=AXIS2_PLACEMENT_3D('',#41276,#34203,#34204); #26833=AXIS2_PLACEMENT_3D('',#41278,#34205,#34206); #26834=AXIS2_PLACEMENT_3D('',#41280,#34207,#34208); #26835=AXIS2_PLACEMENT_3D('',#41281,#34209,#34210); #26836=AXIS2_PLACEMENT_3D('',#41283,#34211,#34212); #26837=AXIS2_PLACEMENT_3D('',#41284,#34213,#34214); #26838=AXIS2_PLACEMENT_3D('',#41286,#34215,#34216); #26839=AXIS2_PLACEMENT_3D('',#41287,#34217,#34218); #26840=AXIS2_PLACEMENT_3D('',#41289,#34219,#34220); #26841=AXIS2_PLACEMENT_3D('',#41291,#34221,#34222); #26842=AXIS2_PLACEMENT_3D('',#41292,#34223,#34224); #26843=AXIS2_PLACEMENT_3D('',#41294,#34225,#34226); #26844=AXIS2_PLACEMENT_3D('',#41295,#34227,#34228); #26845=AXIS2_PLACEMENT_3D('',#41297,#34229,#34230); #26846=AXIS2_PLACEMENT_3D('',#41298,#34231,#34232); #26847=AXIS2_PLACEMENT_3D('',#41300,#34233,#34234); #26848=AXIS2_PLACEMENT_3D('',#41302,#34235,#34236); #26849=AXIS2_PLACEMENT_3D('',#41303,#34237,#34238); #26850=AXIS2_PLACEMENT_3D('',#41305,#34239,#34240); #26851=AXIS2_PLACEMENT_3D('',#41306,#34241,#34242); #26852=AXIS2_PLACEMENT_3D('',#41308,#34243,#34244); #26853=AXIS2_PLACEMENT_3D('',#41309,#34245,#34246); #26854=AXIS2_PLACEMENT_3D('',#41311,#34247,#34248); #26855=AXIS2_PLACEMENT_3D('',#41313,#34249,#34250); #26856=AXIS2_PLACEMENT_3D('',#41314,#34251,#34252); #26857=AXIS2_PLACEMENT_3D('',#41316,#34253,#34254); #26858=AXIS2_PLACEMENT_3D('',#41317,#34255,#34256); #26859=AXIS2_PLACEMENT_3D('',#41319,#34257,#34258); #26860=AXIS2_PLACEMENT_3D('',#41320,#34259,#34260); #26861=AXIS2_PLACEMENT_3D('',#41329,#34265,#34266); #26862=AXIS2_PLACEMENT_3D('',#41332,#34269,#34270); #26863=AXIS2_PLACEMENT_3D('',#41335,#34273,#34274); #26864=AXIS2_PLACEMENT_3D('',#41336,#34275,#34276); #26865=AXIS2_PLACEMENT_3D('placement',#41337,#34277,#34278); #26866=AXIS2_PLACEMENT_3D('',#41338,#34279,#34280); #26867=AXIS2_PLACEMENT_3D('',#41340,#34281,#34282); #26868=AXIS2_PLACEMENT_3D('',#41342,#34283,#34284); #26869=AXIS2_PLACEMENT_3D('',#41343,#34285,#34286); #26870=AXIS2_PLACEMENT_3D('',#41345,#34287,#34288); #26871=AXIS2_PLACEMENT_3D('',#41347,#34289,#34290); #26872=AXIS2_PLACEMENT_3D('',#41348,#34291,#34292); #26873=AXIS2_PLACEMENT_3D('',#41350,#34293,#34294); #26874=AXIS2_PLACEMENT_3D('',#41352,#34295,#34296); #26875=AXIS2_PLACEMENT_3D('',#41353,#34297,#34298); #26876=AXIS2_PLACEMENT_3D('',#41355,#34299,#34300); #26877=AXIS2_PLACEMENT_3D('',#41357,#34301,#34302); #26878=AXIS2_PLACEMENT_3D('',#41358,#34303,#34304); #26879=AXIS2_PLACEMENT_3D('',#41360,#34305,#34306); #26880=AXIS2_PLACEMENT_3D('',#41362,#34307,#34308); #26881=AXIS2_PLACEMENT_3D('',#41363,#34309,#34310); #26882=AXIS2_PLACEMENT_3D('',#41365,#34311,#34312); #26883=AXIS2_PLACEMENT_3D('',#41367,#34313,#34314); #26884=AXIS2_PLACEMENT_3D('',#41368,#34315,#34316); #26885=AXIS2_PLACEMENT_3D('',#41370,#34317,#34318); #26886=AXIS2_PLACEMENT_3D('',#41372,#34319,#34320); #26887=AXIS2_PLACEMENT_3D('',#41373,#34321,#34322); #26888=AXIS2_PLACEMENT_3D('',#41375,#34323,#34324); #26889=AXIS2_PLACEMENT_3D('',#41377,#34325,#34326); #26890=AXIS2_PLACEMENT_3D('',#41378,#34327,#34328); #26891=AXIS2_PLACEMENT_3D('',#41380,#34329,#34330); #26892=AXIS2_PLACEMENT_3D('',#41382,#34331,#34332); #26893=AXIS2_PLACEMENT_3D('',#41383,#34333,#34334); #26894=AXIS2_PLACEMENT_3D('',#41385,#34335,#34336); #26895=AXIS2_PLACEMENT_3D('',#41387,#34337,#34338); #26896=AXIS2_PLACEMENT_3D('',#41388,#34339,#34340); #26897=AXIS2_PLACEMENT_3D('',#41390,#34341,#34342); #26898=AXIS2_PLACEMENT_3D('',#41392,#34343,#34344); #26899=AXIS2_PLACEMENT_3D('',#41393,#34345,#34346); #26900=AXIS2_PLACEMENT_3D('',#41395,#34347,#34348); #26901=AXIS2_PLACEMENT_3D('',#41397,#34349,#34350); #26902=AXIS2_PLACEMENT_3D('',#41398,#34351,#34352); #26903=AXIS2_PLACEMENT_3D('',#41400,#34353,#34354); #26904=AXIS2_PLACEMENT_3D('',#41402,#34355,#34356); #26905=AXIS2_PLACEMENT_3D('',#41403,#34357,#34358); #26906=AXIS2_PLACEMENT_3D('',#41405,#34359,#34360); #26907=AXIS2_PLACEMENT_3D('',#41407,#34361,#34362); #26908=AXIS2_PLACEMENT_3D('',#41408,#34363,#34364); #26909=AXIS2_PLACEMENT_3D('',#41410,#34365,#34366); #26910=AXIS2_PLACEMENT_3D('',#41412,#34367,#34368); #26911=AXIS2_PLACEMENT_3D('',#41413,#34369,#34370); #26912=AXIS2_PLACEMENT_3D('',#41415,#34371,#34372); #26913=AXIS2_PLACEMENT_3D('',#41417,#34373,#34374); #26914=AXIS2_PLACEMENT_3D('',#41418,#34375,#34376); #26915=AXIS2_PLACEMENT_3D('',#41420,#34377,#34378); #26916=AXIS2_PLACEMENT_3D('',#41422,#34379,#34380); #26917=AXIS2_PLACEMENT_3D('',#41423,#34381,#34382); #26918=AXIS2_PLACEMENT_3D('',#41425,#34383,#34384); #26919=AXIS2_PLACEMENT_3D('',#41427,#34385,#34386); #26920=AXIS2_PLACEMENT_3D('',#41428,#34387,#34388); #26921=AXIS2_PLACEMENT_3D('',#41430,#34389,#34390); #26922=AXIS2_PLACEMENT_3D('',#41432,#34391,#34392); #26923=AXIS2_PLACEMENT_3D('',#41433,#34393,#34394); #26924=AXIS2_PLACEMENT_3D('',#41435,#34395,#34396); #26925=AXIS2_PLACEMENT_3D('',#41437,#34397,#34398); #26926=AXIS2_PLACEMENT_3D('',#41438,#34399,#34400); #26927=AXIS2_PLACEMENT_3D('',#41440,#34401,#34402); #26928=AXIS2_PLACEMENT_3D('',#41442,#34403,#34404); #26929=AXIS2_PLACEMENT_3D('',#41443,#34405,#34406); #26930=AXIS2_PLACEMENT_3D('',#41445,#34407,#34408); #26931=AXIS2_PLACEMENT_3D('',#41447,#34409,#34410); #26932=AXIS2_PLACEMENT_3D('',#41448,#34411,#34412); #26933=AXIS2_PLACEMENT_3D('',#41450,#34413,#34414); #26934=AXIS2_PLACEMENT_3D('',#41452,#34415,#34416); #26935=AXIS2_PLACEMENT_3D('',#41453,#34417,#34418); #26936=AXIS2_PLACEMENT_3D('',#41455,#34419,#34420); #26937=AXIS2_PLACEMENT_3D('',#41457,#34421,#34422); #26938=AXIS2_PLACEMENT_3D('',#41458,#34423,#34424); #26939=AXIS2_PLACEMENT_3D('',#41460,#34425,#34426); #26940=AXIS2_PLACEMENT_3D('',#41462,#34427,#34428); #26941=AXIS2_PLACEMENT_3D('',#41463,#34429,#34430); #26942=AXIS2_PLACEMENT_3D('',#41465,#34431,#34432); #26943=AXIS2_PLACEMENT_3D('',#41467,#34433,#34434); #26944=AXIS2_PLACEMENT_3D('',#41468,#34435,#34436); #26945=AXIS2_PLACEMENT_3D('',#41470,#34437,#34438); #26946=AXIS2_PLACEMENT_3D('',#41472,#34439,#34440); #26947=AXIS2_PLACEMENT_3D('',#41473,#34441,#34442); #26948=AXIS2_PLACEMENT_3D('',#41475,#34443,#34444); #26949=AXIS2_PLACEMENT_3D('',#41477,#34445,#34446); #26950=AXIS2_PLACEMENT_3D('',#41478,#34447,#34448); #26951=AXIS2_PLACEMENT_3D('',#41480,#34449,#34450); #26952=AXIS2_PLACEMENT_3D('',#41482,#34451,#34452); #26953=AXIS2_PLACEMENT_3D('',#41483,#34453,#34454); #26954=AXIS2_PLACEMENT_3D('',#41485,#34455,#34456); #26955=AXIS2_PLACEMENT_3D('',#41487,#34457,#34458); #26956=AXIS2_PLACEMENT_3D('',#41488,#34459,#34460); #26957=AXIS2_PLACEMENT_3D('',#41490,#34461,#34462); #26958=AXIS2_PLACEMENT_3D('',#41492,#34463,#34464); #26959=AXIS2_PLACEMENT_3D('',#41493,#34465,#34466); #26960=AXIS2_PLACEMENT_3D('',#41495,#34467,#34468); #26961=AXIS2_PLACEMENT_3D('',#41497,#34469,#34470); #26962=AXIS2_PLACEMENT_3D('',#41498,#34471,#34472); #26963=AXIS2_PLACEMENT_3D('',#41500,#34473,#34474); #26964=AXIS2_PLACEMENT_3D('',#41502,#34475,#34476); #26965=AXIS2_PLACEMENT_3D('',#41503,#34477,#34478); #26966=AXIS2_PLACEMENT_3D('',#41505,#34479,#34480); #26967=AXIS2_PLACEMENT_3D('',#41507,#34481,#34482); #26968=AXIS2_PLACEMENT_3D('',#41508,#34483,#34484); #26969=AXIS2_PLACEMENT_3D('',#41510,#34485,#34486); #26970=AXIS2_PLACEMENT_3D('',#41512,#34487,#34488); #26971=AXIS2_PLACEMENT_3D('',#41513,#34489,#34490); #26972=AXIS2_PLACEMENT_3D('',#41515,#34491,#34492); #26973=AXIS2_PLACEMENT_3D('',#41517,#34493,#34494); #26974=AXIS2_PLACEMENT_3D('',#41518,#34495,#34496); #26975=AXIS2_PLACEMENT_3D('',#41520,#34497,#34498); #26976=AXIS2_PLACEMENT_3D('',#41522,#34499,#34500); #26977=AXIS2_PLACEMENT_3D('',#41523,#34501,#34502); #26978=AXIS2_PLACEMENT_3D('',#41525,#34503,#34504); #26979=AXIS2_PLACEMENT_3D('',#41527,#34505,#34506); #26980=AXIS2_PLACEMENT_3D('',#41528,#34507,#34508); #26981=AXIS2_PLACEMENT_3D('',#41530,#34509,#34510); #26982=AXIS2_PLACEMENT_3D('',#41532,#34511,#34512); #26983=AXIS2_PLACEMENT_3D('',#41533,#34513,#34514); #26984=AXIS2_PLACEMENT_3D('',#41535,#34515,#34516); #26985=AXIS2_PLACEMENT_3D('',#41537,#34517,#34518); #26986=AXIS2_PLACEMENT_3D('',#41538,#34519,#34520); #26987=AXIS2_PLACEMENT_3D('',#41540,#34521,#34522); #26988=AXIS2_PLACEMENT_3D('',#41542,#34523,#34524); #26989=AXIS2_PLACEMENT_3D('',#41543,#34525,#34526); #26990=AXIS2_PLACEMENT_3D('',#41545,#34527,#34528); #26991=AXIS2_PLACEMENT_3D('',#41547,#34529,#34530); #26992=AXIS2_PLACEMENT_3D('',#41548,#34531,#34532); #26993=AXIS2_PLACEMENT_3D('',#41550,#34533,#34534); #26994=AXIS2_PLACEMENT_3D('',#41552,#34535,#34536); #26995=AXIS2_PLACEMENT_3D('',#41553,#34537,#34538); #26996=AXIS2_PLACEMENT_3D('',#41555,#34539,#34540); #26997=AXIS2_PLACEMENT_3D('',#41557,#34541,#34542); #26998=AXIS2_PLACEMENT_3D('',#41558,#34543,#34544); #26999=AXIS2_PLACEMENT_3D('',#41560,#34545,#34546); #27000=AXIS2_PLACEMENT_3D('',#41562,#34547,#34548); #27001=AXIS2_PLACEMENT_3D('',#41563,#34549,#34550); #27002=AXIS2_PLACEMENT_3D('',#41565,#34551,#34552); #27003=AXIS2_PLACEMENT_3D('',#41567,#34553,#34554); #27004=AXIS2_PLACEMENT_3D('',#41568,#34555,#34556); #27005=AXIS2_PLACEMENT_3D('',#41570,#34557,#34558); #27006=AXIS2_PLACEMENT_3D('',#41572,#34559,#34560); #27007=AXIS2_PLACEMENT_3D('',#41573,#34561,#34562); #27008=AXIS2_PLACEMENT_3D('',#41575,#34563,#34564); #27009=AXIS2_PLACEMENT_3D('',#41577,#34565,#34566); #27010=AXIS2_PLACEMENT_3D('',#41578,#34567,#34568); #27011=AXIS2_PLACEMENT_3D('',#41580,#34569,#34570); #27012=AXIS2_PLACEMENT_3D('',#41582,#34571,#34572); #27013=AXIS2_PLACEMENT_3D('',#41583,#34573,#34574); #27014=AXIS2_PLACEMENT_3D('',#41585,#34575,#34576); #27015=AXIS2_PLACEMENT_3D('',#41587,#34577,#34578); #27016=AXIS2_PLACEMENT_3D('',#41588,#34579,#34580); #27017=AXIS2_PLACEMENT_3D('',#41590,#34581,#34582); #27018=AXIS2_PLACEMENT_3D('',#41592,#34583,#34584); #27019=AXIS2_PLACEMENT_3D('',#41593,#34585,#34586); #27020=AXIS2_PLACEMENT_3D('',#41595,#34587,#34588); #27021=AXIS2_PLACEMENT_3D('',#41597,#34589,#34590); #27022=AXIS2_PLACEMENT_3D('',#41598,#34591,#34592); #27023=AXIS2_PLACEMENT_3D('',#41600,#34593,#34594); #27024=AXIS2_PLACEMENT_3D('',#41602,#34595,#34596); #27025=AXIS2_PLACEMENT_3D('',#41603,#34597,#34598); #27026=AXIS2_PLACEMENT_3D('',#41605,#34599,#34600); #27027=AXIS2_PLACEMENT_3D('',#41607,#34601,#34602); #27028=AXIS2_PLACEMENT_3D('',#41608,#34603,#34604); #27029=AXIS2_PLACEMENT_3D('',#41610,#34605,#34606); #27030=AXIS2_PLACEMENT_3D('',#41612,#34607,#34608); #27031=AXIS2_PLACEMENT_3D('',#41613,#34609,#34610); #27032=AXIS2_PLACEMENT_3D('',#41615,#34611,#34612); #27033=AXIS2_PLACEMENT_3D('',#41617,#34613,#34614); #27034=AXIS2_PLACEMENT_3D('',#41618,#34615,#34616); #27035=AXIS2_PLACEMENT_3D('',#41620,#34617,#34618); #27036=AXIS2_PLACEMENT_3D('',#41622,#34619,#34620); #27037=AXIS2_PLACEMENT_3D('',#41623,#34621,#34622); #27038=AXIS2_PLACEMENT_3D('',#41625,#34623,#34624); #27039=AXIS2_PLACEMENT_3D('',#41627,#34625,#34626); #27040=AXIS2_PLACEMENT_3D('',#41628,#34627,#34628); #27041=AXIS2_PLACEMENT_3D('',#41630,#34629,#34630); #27042=AXIS2_PLACEMENT_3D('',#41632,#34631,#34632); #27043=AXIS2_PLACEMENT_3D('',#41633,#34633,#34634); #27044=AXIS2_PLACEMENT_3D('',#41635,#34635,#34636); #27045=AXIS2_PLACEMENT_3D('',#41637,#34637,#34638); #27046=AXIS2_PLACEMENT_3D('',#41638,#34639,#34640); #27047=AXIS2_PLACEMENT_3D('',#41640,#34641,#34642); #27048=AXIS2_PLACEMENT_3D('',#41642,#34643,#34644); #27049=AXIS2_PLACEMENT_3D('',#41643,#34645,#34646); #27050=AXIS2_PLACEMENT_3D('',#41645,#34647,#34648); #27051=AXIS2_PLACEMENT_3D('',#41647,#34649,#34650); #27052=AXIS2_PLACEMENT_3D('',#41648,#34651,#34652); #27053=AXIS2_PLACEMENT_3D('',#41650,#34653,#34654); #27054=AXIS2_PLACEMENT_3D('',#41652,#34655,#34656); #27055=AXIS2_PLACEMENT_3D('',#41653,#34657,#34658); #27056=AXIS2_PLACEMENT_3D('',#41655,#34659,#34660); #27057=AXIS2_PLACEMENT_3D('',#41657,#34661,#34662); #27058=AXIS2_PLACEMENT_3D('',#41658,#34663,#34664); #27059=AXIS2_PLACEMENT_3D('',#41660,#34665,#34666); #27060=AXIS2_PLACEMENT_3D('',#41662,#34667,#34668); #27061=AXIS2_PLACEMENT_3D('',#41663,#34669,#34670); #27062=AXIS2_PLACEMENT_3D('',#41665,#34671,#34672); #27063=AXIS2_PLACEMENT_3D('',#41667,#34673,#34674); #27064=AXIS2_PLACEMENT_3D('',#41668,#34675,#34676); #27065=AXIS2_PLACEMENT_3D('',#41670,#34677,#34678); #27066=AXIS2_PLACEMENT_3D('',#41672,#34679,#34680); #27067=AXIS2_PLACEMENT_3D('',#41673,#34681,#34682); #27068=AXIS2_PLACEMENT_3D('',#41675,#34683,#34684); #27069=AXIS2_PLACEMENT_3D('',#41677,#34685,#34686); #27070=AXIS2_PLACEMENT_3D('',#41678,#34687,#34688); #27071=AXIS2_PLACEMENT_3D('',#41680,#34689,#34690); #27072=AXIS2_PLACEMENT_3D('',#41682,#34691,#34692); #27073=AXIS2_PLACEMENT_3D('',#41683,#34693,#34694); #27074=AXIS2_PLACEMENT_3D('',#41685,#34695,#34696); #27075=AXIS2_PLACEMENT_3D('',#41687,#34697,#34698); #27076=AXIS2_PLACEMENT_3D('',#41688,#34699,#34700); #27077=AXIS2_PLACEMENT_3D('',#41690,#34701,#34702); #27078=AXIS2_PLACEMENT_3D('',#41692,#34703,#34704); #27079=AXIS2_PLACEMENT_3D('',#41693,#34705,#34706); #27080=AXIS2_PLACEMENT_3D('',#41695,#34707,#34708); #27081=AXIS2_PLACEMENT_3D('',#41697,#34709,#34710); #27082=AXIS2_PLACEMENT_3D('',#41698,#34711,#34712); #27083=AXIS2_PLACEMENT_3D('',#41700,#34713,#34714); #27084=AXIS2_PLACEMENT_3D('',#41702,#34715,#34716); #27085=AXIS2_PLACEMENT_3D('',#41703,#34717,#34718); #27086=AXIS2_PLACEMENT_3D('',#41705,#34719,#34720); #27087=AXIS2_PLACEMENT_3D('',#41707,#34721,#34722); #27088=AXIS2_PLACEMENT_3D('',#41708,#34723,#34724); #27089=AXIS2_PLACEMENT_3D('',#41710,#34725,#34726); #27090=AXIS2_PLACEMENT_3D('',#41712,#34727,#34728); #27091=AXIS2_PLACEMENT_3D('',#41713,#34729,#34730); #27092=AXIS2_PLACEMENT_3D('',#41715,#34731,#34732); #27093=AXIS2_PLACEMENT_3D('',#41717,#34733,#34734); #27094=AXIS2_PLACEMENT_3D('',#41718,#34735,#34736); #27095=AXIS2_PLACEMENT_3D('',#41720,#34737,#34738); #27096=AXIS2_PLACEMENT_3D('',#41722,#34739,#34740); #27097=AXIS2_PLACEMENT_3D('',#41723,#34741,#34742); #27098=AXIS2_PLACEMENT_3D('',#41725,#34743,#34744); #27099=AXIS2_PLACEMENT_3D('',#41727,#34745,#34746); #27100=AXIS2_PLACEMENT_3D('',#41728,#34747,#34748); #27101=AXIS2_PLACEMENT_3D('',#41730,#34749,#34750); #27102=AXIS2_PLACEMENT_3D('',#41732,#34751,#34752); #27103=AXIS2_PLACEMENT_3D('',#41733,#34753,#34754); #27104=AXIS2_PLACEMENT_3D('',#41735,#34755,#34756); #27105=AXIS2_PLACEMENT_3D('',#41737,#34757,#34758); #27106=AXIS2_PLACEMENT_3D('',#41738,#34759,#34760); #27107=AXIS2_PLACEMENT_3D('',#41740,#34761,#34762); #27108=AXIS2_PLACEMENT_3D('',#41742,#34763,#34764); #27109=AXIS2_PLACEMENT_3D('',#41743,#34765,#34766); #27110=AXIS2_PLACEMENT_3D('',#41745,#34767,#34768); #27111=AXIS2_PLACEMENT_3D('',#41747,#34769,#34770); #27112=AXIS2_PLACEMENT_3D('',#41748,#34771,#34772); #27113=AXIS2_PLACEMENT_3D('',#41750,#34773,#34774); #27114=AXIS2_PLACEMENT_3D('',#41752,#34775,#34776); #27115=AXIS2_PLACEMENT_3D('',#41753,#34777,#34778); #27116=AXIS2_PLACEMENT_3D('',#41755,#34779,#34780); #27117=AXIS2_PLACEMENT_3D('',#41757,#34781,#34782); #27118=AXIS2_PLACEMENT_3D('',#41758,#34783,#34784); #27119=AXIS2_PLACEMENT_3D('',#41760,#34785,#34786); #27120=AXIS2_PLACEMENT_3D('',#41762,#34787,#34788); #27121=AXIS2_PLACEMENT_3D('',#41763,#34789,#34790); #27122=AXIS2_PLACEMENT_3D('',#41765,#34791,#34792); #27123=AXIS2_PLACEMENT_3D('',#41767,#34793,#34794); #27124=AXIS2_PLACEMENT_3D('',#41768,#34795,#34796); #27125=AXIS2_PLACEMENT_3D('',#41770,#34797,#34798); #27126=AXIS2_PLACEMENT_3D('',#41772,#34799,#34800); #27127=AXIS2_PLACEMENT_3D('',#41773,#34801,#34802); #27128=AXIS2_PLACEMENT_3D('',#41775,#34803,#34804); #27129=AXIS2_PLACEMENT_3D('',#41777,#34805,#34806); #27130=AXIS2_PLACEMENT_3D('',#41778,#34807,#34808); #27131=AXIS2_PLACEMENT_3D('',#41780,#34809,#34810); #27132=AXIS2_PLACEMENT_3D('',#41782,#34811,#34812); #27133=AXIS2_PLACEMENT_3D('',#41783,#34813,#34814); #27134=AXIS2_PLACEMENT_3D('',#41785,#34815,#34816); #27135=AXIS2_PLACEMENT_3D('',#41787,#34817,#34818); #27136=AXIS2_PLACEMENT_3D('',#41788,#34819,#34820); #27137=AXIS2_PLACEMENT_3D('',#41790,#34821,#34822); #27138=AXIS2_PLACEMENT_3D('',#41792,#34823,#34824); #27139=AXIS2_PLACEMENT_3D('',#41793,#34825,#34826); #27140=AXIS2_PLACEMENT_3D('',#41795,#34827,#34828); #27141=AXIS2_PLACEMENT_3D('',#41797,#34829,#34830); #27142=AXIS2_PLACEMENT_3D('',#41798,#34831,#34832); #27143=AXIS2_PLACEMENT_3D('',#41800,#34833,#34834); #27144=AXIS2_PLACEMENT_3D('',#41802,#34835,#34836); #27145=AXIS2_PLACEMENT_3D('',#41803,#34837,#34838); #27146=AXIS2_PLACEMENT_3D('',#41805,#34839,#34840); #27147=AXIS2_PLACEMENT_3D('',#41807,#34841,#34842); #27148=AXIS2_PLACEMENT_3D('',#41808,#34843,#34844); #27149=AXIS2_PLACEMENT_3D('',#41810,#34845,#34846); #27150=AXIS2_PLACEMENT_3D('',#41812,#34847,#34848); #27151=AXIS2_PLACEMENT_3D('',#41813,#34849,#34850); #27152=AXIS2_PLACEMENT_3D('',#41815,#34851,#34852); #27153=AXIS2_PLACEMENT_3D('',#41817,#34853,#34854); #27154=AXIS2_PLACEMENT_3D('',#41818,#34855,#34856); #27155=AXIS2_PLACEMENT_3D('',#41821,#34857,#34858); #27156=AXIS2_PLACEMENT_3D('',#41823,#34859,#34860); #27157=AXIS2_PLACEMENT_3D('',#41825,#34861,#34862); #27158=AXIS2_PLACEMENT_3D('',#41826,#34863,#34864); #27159=AXIS2_PLACEMENT_3D('',#41827,#34865,#34866); #27160=AXIS2_PLACEMENT_3D('',#41830,#34867,#34868); #27161=AXIS2_PLACEMENT_3D('',#41831,#34869,#34870); #27162=AXIS2_PLACEMENT_3D('',#41832,#34871,#34872); #27163=AXIS2_PLACEMENT_3D('',#41833,#34873,#34874); #27164=AXIS2_PLACEMENT_3D('',#41836,#34875,#34876); #27165=AXIS2_PLACEMENT_3D('',#41837,#34877,#34878); #27166=AXIS2_PLACEMENT_3D('',#41838,#34879,#34880); #27167=AXIS2_PLACEMENT_3D('',#41839,#34881,#34882); #27168=AXIS2_PLACEMENT_3D('',#41842,#34883,#34884); #27169=AXIS2_PLACEMENT_3D('',#41845,#34887,#34888); #27170=AXIS2_PLACEMENT_3D('',#41848,#34889,#34890); #27171=AXIS2_PLACEMENT_3D('',#41849,#34891,#34892); #27172=AXIS2_PLACEMENT_3D('',#41850,#34893,#34894); #27173=AXIS2_PLACEMENT_3D('',#41851,#34895,#34896); #27174=AXIS2_PLACEMENT_3D('',#41854,#34897,#34898); #27175=AXIS2_PLACEMENT_3D('',#41855,#34899,#34900); #27176=AXIS2_PLACEMENT_3D('',#41856,#34901,#34902); #27177=AXIS2_PLACEMENT_3D('',#41857,#34903,#34904); #27178=AXIS2_PLACEMENT_3D('',#41860,#34905,#34906); #27179=AXIS2_PLACEMENT_3D('',#41861,#34907,#34908); #27180=AXIS2_PLACEMENT_3D('',#41862,#34909,#34910); #27181=AXIS2_PLACEMENT_3D('',#41863,#34911,#34912); #27182=AXIS2_PLACEMENT_3D('',#41866,#34915,#34916); #27183=AXIS2_PLACEMENT_3D('',#41872,#34920,#34921); #27184=AXIS2_PLACEMENT_3D('',#41875,#34923,#34924); #27185=AXIS2_PLACEMENT_3D('',#41876,#34925,#34926); #27186=AXIS2_PLACEMENT_3D('',#41879,#34928,#34929); #27187=AXIS2_PLACEMENT_3D('',#41880,#34930,#34931); #27188=AXIS2_PLACEMENT_3D('',#41883,#34933,#34934); #27189=AXIS2_PLACEMENT_3D('',#41884,#34935,#34936); #27190=AXIS2_PLACEMENT_3D('',#41887,#34937,#34938); #27191=AXIS2_PLACEMENT_3D('',#41891,#34940,#34941); #27192=AXIS2_PLACEMENT_3D('',#41893,#34943,#34944); #27193=AXIS2_PLACEMENT_3D('',#41897,#34947,#34948); #27194=AXIS2_PLACEMENT_3D('',#41900,#34950,#34951); #27195=AXIS2_PLACEMENT_3D('',#41901,#34952,#34953); #27196=AXIS2_PLACEMENT_3D('',#41904,#34955,#34956); #27197=AXIS2_PLACEMENT_3D('',#41905,#34957,#34958); #27198=AXIS2_PLACEMENT_3D('',#41906,#34959,#34960); #27199=AXIS2_PLACEMENT_3D('',#41907,#34961,#34962); #27200=AXIS2_PLACEMENT_3D('',#41913,#34966,#34967); #27201=AXIS2_PLACEMENT_3D('',#41915,#34968,#34969); #27202=AXIS2_PLACEMENT_3D('',#41917,#34971,#34972); #27203=AXIS2_PLACEMENT_3D('',#41921,#34975,#34976); #27204=AXIS2_PLACEMENT_3D('',#41922,#34977,#34978); #27205=AXIS2_PLACEMENT_3D('',#41923,#34979,#34980); #27206=AXIS2_PLACEMENT_3D('',#41924,#34981,#34982); #27207=AXIS2_PLACEMENT_3D('',#41925,#34983,#34984); #27208=AXIS2_PLACEMENT_3D('',#41926,#34985,#34986); #27209=AXIS2_PLACEMENT_3D('',#41927,#34987,#34988); #27210=AXIS2_PLACEMENT_3D('',#41928,#34989,#34990); #27211=AXIS2_PLACEMENT_3D('',#41929,#34991,#34992); #27212=AXIS2_PLACEMENT_3D('',#41930,#34993,#34994); #27213=AXIS2_PLACEMENT_3D('',#41931,#34995,#34996); #27214=AXIS2_PLACEMENT_3D('',#41932,#34997,#34998); #27215=AXIS2_PLACEMENT_3D('',#41933,#34999,#35000); #27216=AXIS2_PLACEMENT_3D('',#41934,#35001,#35002); #27217=AXIS2_PLACEMENT_3D('',#41935,#35003,#35004); #27218=AXIS2_PLACEMENT_3D('',#41936,#35005,#35006); #27219=AXIS2_PLACEMENT_3D('',#41937,#35007,#35008); #27220=AXIS2_PLACEMENT_3D('',#41938,#35009,#35010); #27221=AXIS2_PLACEMENT_3D('',#41939,#35011,#35012); #27222=AXIS2_PLACEMENT_3D('',#41940,#35013,#35014); #27223=AXIS2_PLACEMENT_3D('',#41941,#35015,#35016); #27224=AXIS2_PLACEMENT_3D('',#41942,#35017,#35018); #27225=AXIS2_PLACEMENT_3D('',#41943,#35019,#35020); #27226=AXIS2_PLACEMENT_3D('',#41944,#35021,#35022); #27227=AXIS2_PLACEMENT_3D('',#41945,#35023,#35024); #27228=AXIS2_PLACEMENT_3D('',#41946,#35025,#35026); #27229=AXIS2_PLACEMENT_3D('',#41947,#35027,#35028); #27230=AXIS2_PLACEMENT_3D('',#41948,#35029,#35030); #27231=AXIS2_PLACEMENT_3D('',#41949,#35031,#35032); #27232=AXIS2_PLACEMENT_3D('',#41950,#35033,#35034); #27233=AXIS2_PLACEMENT_3D('',#41951,#35035,#35036); #27234=AXIS2_PLACEMENT_3D('',#41952,#35037,#35038); #27235=AXIS2_PLACEMENT_3D('',#41953,#35039,#35040); #27236=AXIS2_PLACEMENT_3D('',#41954,#35041,#35042); #27237=AXIS2_PLACEMENT_3D('',#41955,#35043,#35044); #27238=AXIS2_PLACEMENT_3D('view reference',#41956,#35045,#35046); #27239=AXIS2_PLACEMENT_3D('view window',#41957,#35047,#35048); #27240=AXIS2_PLACEMENT_3D('Near clipping plane',#41959,#35049,$); #27241=AXIS2_PLACEMENT_3D('Far clipping plane',#41960,#35050,$); #27242=AXIS2_PLACEMENT_3D('view reference',#41961,#35051,#35052); #27243=AXIS2_PLACEMENT_3D('view window',#41962,#35053,#35054); #27244=AXIS2_PLACEMENT_3D('Near clipping plane',#41964,#35055,$); #27245=AXIS2_PLACEMENT_3D('Far clipping plane',#41965,#35056,$); #27246=AXIS2_PLACEMENT_3D('view reference',#41966,#35057,#35058); #27247=AXIS2_PLACEMENT_3D('view window',#41967,#35059,#35060); #27248=AXIS2_PLACEMENT_3D('Near clipping plane',#41969,#35061,$); #27249=AXIS2_PLACEMENT_3D('Far clipping plane',#41970,#35062,$); #27250=AXIS2_PLACEMENT_3D('view reference',#41971,#35063,#35064); #27251=AXIS2_PLACEMENT_3D('view window',#41972,#35065,#35066); #27252=AXIS2_PLACEMENT_3D('Near clipping plane',#41974,#35067,$); #27253=AXIS2_PLACEMENT_3D('Far clipping plane',#41975,#35068,$); #27254=AXIS2_PLACEMENT_3D('view reference',#41976,#35069,#35070); #27255=AXIS2_PLACEMENT_3D('view window',#41977,#35071,#35072); #27256=AXIS2_PLACEMENT_3D('Near clipping plane',#41979,#35073,$); #27257=AXIS2_PLACEMENT_3D('Far clipping plane',#41980,#35074,$); #27258=AXIS2_PLACEMENT_3D('view reference',#41981,#35075,#35076); #27259=AXIS2_PLACEMENT_3D('view window',#41982,#35077,#35078); #27260=AXIS2_PLACEMENT_3D('Near clipping plane',#41984,#35079,$); #27261=AXIS2_PLACEMENT_3D('Far clipping plane',#41985,#35080,$); #27262=AXIS2_PLACEMENT_3D('view reference',#41986,#35081,#35082); #27263=AXIS2_PLACEMENT_3D('view window',#41987,#35083,#35084); #27264=AXIS2_PLACEMENT_3D('Near clipping plane',#41989,#35085,$); #27265=AXIS2_PLACEMENT_3D('Far clipping plane',#41990,#35086,$); #27266=AXIS2_PLACEMENT_3D('view reference',#41991,#35087,#35088); #27267=AXIS2_PLACEMENT_3D('view window',#41992,#35089,#35090); #27268=AXIS2_PLACEMENT_3D('Near clipping plane',#41994,#35091,$); #27269=AXIS2_PLACEMENT_3D('Far clipping plane',#41995,#35092,$); #27270=AXIS2_PLACEMENT_3D('view reference',#41996,#35093,#35094); #27271=AXIS2_PLACEMENT_3D('view window',#41997,#35095,#35096); #27272=AXIS2_PLACEMENT_3D('Near clipping plane',#41999,#35097,$); #27273=AXIS2_PLACEMENT_3D('Far clipping plane',#42000,#35098,$); #27274=AXIS2_PLACEMENT_3D('view reference',#42001,#35099,#35100); #27275=AXIS2_PLACEMENT_3D('view window',#42002,#35101,#35102); #27276=AXIS2_PLACEMENT_3D('Near clipping plane',#42004,#35103,$); #27277=AXIS2_PLACEMENT_3D('Far clipping plane',#42005,#35104,$); #27278=AXIS2_PLACEMENT_3D('view reference',#42006,#35105,#35106); #27279=AXIS2_PLACEMENT_3D('view window',#42007,#35107,#35108); #27280=AXIS2_PLACEMENT_3D('Near clipping plane',#42009,#35109,$); #27281=AXIS2_PLACEMENT_3D('Far clipping plane',#42010,#35110,$); #27282=AXIS2_PLACEMENT_3D('view reference',#42011,#35111,#35112); #27283=AXIS2_PLACEMENT_3D('view window',#42012,#35113,#35114); #27284=AXIS2_PLACEMENT_3D('Near clipping plane',#42014,#35115,$); #27285=AXIS2_PLACEMENT_3D('Far clipping plane',#42015,#35116,$); #27286=AXIS2_PLACEMENT_3D('view reference',#42016,#35117,#35118); #27287=AXIS2_PLACEMENT_3D('view window',#42017,#35119,#35120); #27288=AXIS2_PLACEMENT_3D('Near clipping plane',#42019,#35121,$); #27289=AXIS2_PLACEMENT_3D('Far clipping plane',#42020,#35122,$); #27290=AXIS2_PLACEMENT_3D('view reference',#42021,#35123,#35124); #27291=AXIS2_PLACEMENT_3D('view window',#42022,#35125,#35126); #27292=AXIS2_PLACEMENT_3D('Near clipping plane',#42024,#35127,$); #27293=AXIS2_PLACEMENT_3D('Far clipping plane',#42025,#35128,$); #27294=AXIS2_PLACEMENT_3D('view reference',#42026,#35129,#35130); #27295=AXIS2_PLACEMENT_3D('view window',#42027,#35131,#35132); #27296=AXIS2_PLACEMENT_3D('Near clipping plane',#42029,#35133,$); #27297=AXIS2_PLACEMENT_3D('Far clipping plane',#42030,#35134,$); #27298=AXIS2_PLACEMENT_3D('view reference',#42031,#35135,#35136); #27299=AXIS2_PLACEMENT_3D('view window',#42032,#35137,#35138); #27300=AXIS2_PLACEMENT_3D('Near clipping plane',#42034,#35139,$); #27301=AXIS2_PLACEMENT_3D('Far clipping plane',#42035,#35140,$); #27302=AXIS2_PLACEMENT_3D('view reference',#42036,#35141,#35142); #27303=AXIS2_PLACEMENT_3D('view window',#42037,#35143,#35144); #27304=AXIS2_PLACEMENT_3D('Near clipping plane',#42039,#35145,$); #27305=AXIS2_PLACEMENT_3D('Far clipping plane',#42040,#35146,$); #27306=AXIS2_PLACEMENT_3D('view reference',#42041,#35147,#35148); #27307=AXIS2_PLACEMENT_3D('view window',#42042,#35149,#35150); #27308=AXIS2_PLACEMENT_3D('Near clipping plane',#42044,#35151,$); #27309=AXIS2_PLACEMENT_3D('Far clipping plane',#42045,#35152,$); #27310=AXIS2_PLACEMENT_3D('view reference',#42046,#35153,#35154); #27311=AXIS2_PLACEMENT_3D('view window',#42047,#35155,#35156); #27312=AXIS2_PLACEMENT_3D('Near clipping plane',#42049,#35157,$); #27313=AXIS2_PLACEMENT_3D('Far clipping plane',#42050,#35158,$); #27314=AXIS2_PLACEMENT_3D('view reference',#42051,#35159,#35160); #27315=AXIS2_PLACEMENT_3D('view window',#42052,#35161,#35162); #27316=AXIS2_PLACEMENT_3D('Near clipping plane',#42054,#35163,$); #27317=AXIS2_PLACEMENT_3D('Far clipping plane',#42055,#35164,$); #27318=AXIS2_PLACEMENT_3D('view reference',#42056,#35165,#35166); #27319=AXIS2_PLACEMENT_3D('view window',#42057,#35167,#35168); #27320=AXIS2_PLACEMENT_3D('Near clipping plane',#42059,#35169,$); #27321=AXIS2_PLACEMENT_3D('Far clipping plane',#42060,#35170,$); #27322=AXIS2_PLACEMENT_3D('view reference',#42061,#35171,#35172); #27323=AXIS2_PLACEMENT_3D('view window',#42062,#35173,#35174); #27324=AXIS2_PLACEMENT_3D('Near clipping plane',#42064,#35175,$); #27325=AXIS2_PLACEMENT_3D('Far clipping plane',#42065,#35176,$); #27326=AXIS2_PLACEMENT_3D('view reference',#42066,#35177,#35178); #27327=AXIS2_PLACEMENT_3D('view window',#42067,#35179,#35180); #27328=AXIS2_PLACEMENT_3D('Near clipping plane',#42069,#35181,$); #27329=AXIS2_PLACEMENT_3D('Far clipping plane',#42070,#35182,$); #27330=AXIS2_PLACEMENT_3D('view reference',#42071,#35183,#35184); #27331=AXIS2_PLACEMENT_3D('view window',#42072,#35185,#35186); #27332=AXIS2_PLACEMENT_3D('Near clipping plane',#42074,#35187,$); #27333=AXIS2_PLACEMENT_3D('Far clipping plane',#42075,#35188,$); #27334=AXIS2_PLACEMENT_3D('view reference',#42076,#35189,#35190); #27335=AXIS2_PLACEMENT_3D('view window',#42077,#35191,#35192); #27336=AXIS2_PLACEMENT_3D('Near clipping plane',#42079,#35193,$); #27337=AXIS2_PLACEMENT_3D('Far clipping plane',#42080,#35194,$); #27338=AXIS2_PLACEMENT_3D('view reference',#42081,#35195,#35196); #27339=AXIS2_PLACEMENT_3D('view window',#42082,#35197,#35198); #27340=AXIS2_PLACEMENT_3D('Near clipping plane',#42084,#35199,$); #27341=AXIS2_PLACEMENT_3D('Far clipping plane',#42085,#35200,$); #27342=AXIS2_PLACEMENT_3D('view reference',#42086,#35201,#35202); #27343=AXIS2_PLACEMENT_3D('view window',#42087,#35203,#35204); #27344=AXIS2_PLACEMENT_3D('Near clipping plane',#42089,#35205,$); #27345=AXIS2_PLACEMENT_3D('Far clipping plane',#42090,#35206,$); #27346=AXIS2_PLACEMENT_3D('view reference',#42091,#35207,#35208); #27347=AXIS2_PLACEMENT_3D('view window',#42092,#35209,#35210); #27348=AXIS2_PLACEMENT_3D('Near clipping plane',#42094,#35211,$); #27349=AXIS2_PLACEMENT_3D('Far clipping plane',#42095,#35212,$); #27350=AXIS2_PLACEMENT_3D('view reference',#42096,#35213,#35214); #27351=AXIS2_PLACEMENT_3D('view window',#42097,#35215,#35216); #27352=AXIS2_PLACEMENT_3D('Near clipping plane',#42099,#35217,$); #27353=AXIS2_PLACEMENT_3D('Far clipping plane',#42100,#35218,$); #27354=AXIS2_PLACEMENT_3D('view reference',#42101,#35219,#35220); #27355=AXIS2_PLACEMENT_3D('view window',#42102,#35221,#35222); #27356=AXIS2_PLACEMENT_3D('Near clipping plane',#42104,#35223,$); #27357=AXIS2_PLACEMENT_3D('Far clipping plane',#42105,#35224,$); #27358=AXIS2_PLACEMENT_3D('view reference',#42106,#35225,#35226); #27359=AXIS2_PLACEMENT_3D('view window',#42107,#35227,#35228); #27360=AXIS2_PLACEMENT_3D('Near clipping plane',#42109,#35229,$); #27361=AXIS2_PLACEMENT_3D('Far clipping plane',#42110,#35230,$); #27362=AXIS2_PLACEMENT_3D('view reference',#42111,#35231,#35232); #27363=AXIS2_PLACEMENT_3D('view window',#42112,#35233,#35234); #27364=AXIS2_PLACEMENT_3D('Near clipping plane',#42114,#35235,$); #27365=AXIS2_PLACEMENT_3D('Far clipping plane',#42115,#35236,$); #27366=AXIS2_PLACEMENT_3D('view reference',#42116,#35237,#35238); #27367=AXIS2_PLACEMENT_3D('view window',#42117,#35239,#35240); #27368=AXIS2_PLACEMENT_3D('Near clipping plane',#42119,#35241,$); #27369=AXIS2_PLACEMENT_3D('Far clipping plane',#42120,#35242,$); #27370=AXIS2_PLACEMENT_3D('view reference',#42121,#35243,#35244); #27371=AXIS2_PLACEMENT_3D('view window',#42122,#35245,#35246); #27372=AXIS2_PLACEMENT_3D('Near clipping plane',#42124,#35247,$); #27373=AXIS2_PLACEMENT_3D('Far clipping plane',#42125,#35248,$); #27374=AXIS2_PLACEMENT_3D('view reference',#42126,#35249,#35250); #27375=AXIS2_PLACEMENT_3D('view window',#42127,#35251,#35252); #27376=AXIS2_PLACEMENT_3D('Near clipping plane',#42129,#35253,$); #27377=AXIS2_PLACEMENT_3D('Far clipping plane',#42130,#35254,$); #27378=AXIS2_PLACEMENT_3D('view reference',#42131,#35255,#35256); #27379=AXIS2_PLACEMENT_3D('view window',#42132,#35257,#35258); #27380=AXIS2_PLACEMENT_3D('Near clipping plane',#42134,#35259,$); #27381=AXIS2_PLACEMENT_3D('Far clipping plane',#42135,#35260,$); #27382=AXIS2_PLACEMENT_3D('view reference',#42136,#35261,#35262); #27383=AXIS2_PLACEMENT_3D('view window',#42137,#35263,#35264); #27384=AXIS2_PLACEMENT_3D('Near clipping plane',#42139,#35265,$); #27385=AXIS2_PLACEMENT_3D('Far clipping plane',#42140,#35266,$); #27386=AXIS2_PLACEMENT_3D('view reference',#42141,#35267,#35268); #27387=AXIS2_PLACEMENT_3D('view window',#42142,#35269,#35270); #27388=AXIS2_PLACEMENT_3D('Near clipping plane',#42144,#35271,$); #27389=AXIS2_PLACEMENT_3D('Far clipping plane',#42145,#35272,$); #27390=AXIS2_PLACEMENT_3D('view reference',#42146,#35273,#35274); #27391=AXIS2_PLACEMENT_3D('view window',#42147,#35275,#35276); #27392=AXIS2_PLACEMENT_3D('Near clipping plane',#42149,#35277,$); #27393=AXIS2_PLACEMENT_3D('Far clipping plane',#42150,#35278,$); #27394=AXIS2_PLACEMENT_3D('view reference',#42151,#35279,#35280); #27395=AXIS2_PLACEMENT_3D('view window',#42152,#35281,#35282); #27396=AXIS2_PLACEMENT_3D('Near clipping plane',#42154,#35283,$); #27397=AXIS2_PLACEMENT_3D('Far clipping plane',#42155,#35284,$); #27398=AXIS2_PLACEMENT_3D('view reference',#42156,#35285,#35286); #27399=AXIS2_PLACEMENT_3D('view window',#42157,#35287,#35288); #27400=AXIS2_PLACEMENT_3D('Near clipping plane',#42159,#35289,$); #27401=AXIS2_PLACEMENT_3D('Far clipping plane',#42160,#35290,$); #27402=AXIS2_PLACEMENT_3D('view reference',#42161,#35291,#35292); #27403=AXIS2_PLACEMENT_3D('view window',#42162,#35293,#35294); #27404=AXIS2_PLACEMENT_3D('Near clipping plane',#42164,#35295,$); #27405=AXIS2_PLACEMENT_3D('Far clipping plane',#42165,#35296,$); #27406=AXIS2_PLACEMENT_3D('view reference',#42166,#35297,#35298); #27407=AXIS2_PLACEMENT_3D('view window',#42167,#35299,#35300); #27408=AXIS2_PLACEMENT_3D('Near clipping plane',#42169,#35301,$); #27409=AXIS2_PLACEMENT_3D('Far clipping plane',#42170,#35302,$); #27410=AXIS2_PLACEMENT_3D('view reference',#42171,#35303,#35304); #27411=AXIS2_PLACEMENT_3D('view window',#42172,#35305,#35306); #27412=AXIS2_PLACEMENT_3D('Near clipping plane',#42174,#35307,$); #27413=AXIS2_PLACEMENT_3D('Far clipping plane',#42175,#35308,$); #27414=AXIS2_PLACEMENT_3D('view reference',#42176,#35309,#35310); #27415=AXIS2_PLACEMENT_3D('view window',#42177,#35311,#35312); #27416=AXIS2_PLACEMENT_3D('Near clipping plane',#42179,#35313,$); #27417=AXIS2_PLACEMENT_3D('Far clipping plane',#42180,#35314,$); #27418=AXIS2_PLACEMENT_3D('view reference',#42181,#35315,#35316); #27419=AXIS2_PLACEMENT_3D('view window',#42182,#35317,#35318); #27420=AXIS2_PLACEMENT_3D('Near clipping plane',#42184,#35319,$); #27421=AXIS2_PLACEMENT_3D('Far clipping plane',#42185,#35320,$); #27422=AXIS2_PLACEMENT_3D('view reference',#42186,#35321,#35322); #27423=AXIS2_PLACEMENT_3D('view window',#42187,#35323,#35324); #27424=AXIS2_PLACEMENT_3D('Near clipping plane',#42189,#35325,$); #27425=AXIS2_PLACEMENT_3D('Far clipping plane',#42190,#35326,$); #27426=AXIS2_PLACEMENT_3D('view reference',#42191,#35327,#35328); #27427=AXIS2_PLACEMENT_3D('view window',#42192,#35329,#35330); #27428=AXIS2_PLACEMENT_3D('Near clipping plane',#42194,#35331,$); #27429=AXIS2_PLACEMENT_3D('Far clipping plane',#42195,#35332,$); #27430=AXIS2_PLACEMENT_3D('view reference',#42196,#35333,#35334); #27431=AXIS2_PLACEMENT_3D('view window',#42197,#35335,#35336); #27432=AXIS2_PLACEMENT_3D('Near clipping plane',#42199,#35337,$); #27433=AXIS2_PLACEMENT_3D('Far clipping plane',#42200,#35338,$); #27434=AXIS2_PLACEMENT_3D('view reference',#42201,#35339,#35340); #27435=AXIS2_PLACEMENT_3D('view window',#42202,#35341,#35342); #27436=AXIS2_PLACEMENT_3D('Near clipping plane',#42204,#35343,$); #27437=AXIS2_PLACEMENT_3D('Far clipping plane',#42205,#35344,$); #27438=AXIS2_PLACEMENT_3D('view reference',#42206,#35345,#35346); #27439=AXIS2_PLACEMENT_3D('view window',#42207,#35347,#35348); #27440=AXIS2_PLACEMENT_3D('Near clipping plane',#42209,#35349,$); #27441=AXIS2_PLACEMENT_3D('Far clipping plane',#42210,#35350,$); #27442=AXIS2_PLACEMENT_3D('view reference',#42211,#35351,#35352); #27443=AXIS2_PLACEMENT_3D('view window',#42212,#35353,#35354); #27444=AXIS2_PLACEMENT_3D('Near clipping plane',#42214,#35355,$); #27445=AXIS2_PLACEMENT_3D('Far clipping plane',#42215,#35356,$); #27446=AXIS2_PLACEMENT_3D('view reference',#42216,#35357,#35358); #27447=AXIS2_PLACEMENT_3D('view window',#42217,#35359,#35360); #27448=AXIS2_PLACEMENT_3D('Near clipping plane',#42219,#35361,$); #27449=AXIS2_PLACEMENT_3D('Far clipping plane',#42220,#35362,$); #27450=AXIS2_PLACEMENT_3D('view reference',#42221,#35363,#35364); #27451=AXIS2_PLACEMENT_3D('view window',#42222,#35365,#35366); #27452=AXIS2_PLACEMENT_3D('Near clipping plane',#42224,#35367,$); #27453=AXIS2_PLACEMENT_3D('Far clipping plane',#42225,#35368,$); #27454=AXIS2_PLACEMENT_3D('view reference',#42226,#35369,#35370); #27455=AXIS2_PLACEMENT_3D('view window',#42227,#35371,#35372); #27456=AXIS2_PLACEMENT_3D('Near clipping plane',#42229,#35373,$); #27457=AXIS2_PLACEMENT_3D('Far clipping plane',#42230,#35374,$); #27458=AXIS2_PLACEMENT_3D('view reference',#42231,#35375,#35376); #27459=AXIS2_PLACEMENT_3D('view window',#42232,#35377,#35378); #27460=AXIS2_PLACEMENT_3D('Near clipping plane',#42234,#35379,$); #27461=AXIS2_PLACEMENT_3D('Far clipping plane',#42235,#35380,$); #27462=AXIS2_PLACEMENT_3D('view reference',#42236,#35381,#35382); #27463=AXIS2_PLACEMENT_3D('view window',#42237,#35383,#35384); #27464=AXIS2_PLACEMENT_3D('Near clipping plane',#42239,#35385,$); #27465=AXIS2_PLACEMENT_3D('Far clipping plane',#42240,#35386,$); #27466=AXIS2_PLACEMENT_3D('view reference',#42241,#35387,#35388); #27467=AXIS2_PLACEMENT_3D('view window',#42242,#35389,#35390); #27468=AXIS2_PLACEMENT_3D('Near clipping plane',#42244,#35391,$); #27469=AXIS2_PLACEMENT_3D('Far clipping plane',#42245,#35392,$); #27470=AXIS2_PLACEMENT_3D('view reference',#42246,#35393,#35394); #27471=AXIS2_PLACEMENT_3D('view window',#42247,#35395,#35396); #27472=AXIS2_PLACEMENT_3D('Near clipping plane',#42249,#35397,$); #27473=AXIS2_PLACEMENT_3D('Far clipping plane',#42250,#35398,$); #27474=AXIS2_PLACEMENT_3D('view reference',#42251,#35399,#35400); #27475=AXIS2_PLACEMENT_3D('view window',#42252,#35401,#35402); #27476=AXIS2_PLACEMENT_3D('Near clipping plane',#42254,#35403,$); #27477=AXIS2_PLACEMENT_3D('Far clipping plane',#42255,#35404,$); #27478=AXIS2_PLACEMENT_3D('view reference',#42256,#35405,#35406); #27479=AXIS2_PLACEMENT_3D('view window',#42257,#35407,#35408); #27480=AXIS2_PLACEMENT_3D('Near clipping plane',#42259,#35409,$); #27481=AXIS2_PLACEMENT_3D('Far clipping plane',#42260,#35410,$); #27482=AXIS2_PLACEMENT_3D('view reference',#42261,#35411,#35412); #27483=AXIS2_PLACEMENT_3D('view window',#42262,#35413,#35414); #27484=AXIS2_PLACEMENT_3D('Near clipping plane',#42264,#35415,$); #27485=AXIS2_PLACEMENT_3D('Far clipping plane',#42265,#35416,$); #27486=AXIS2_PLACEMENT_3D('view reference',#42266,#35417,#35418); #27487=AXIS2_PLACEMENT_3D('view window',#42267,#35419,#35420); #27488=AXIS2_PLACEMENT_3D('Near clipping plane',#42269,#35421,$); #27489=AXIS2_PLACEMENT_3D('Far clipping plane',#42270,#35422,$); #27490=AXIS2_PLACEMENT_3D('view reference',#42271,#35423,#35424); #27491=AXIS2_PLACEMENT_3D('view window',#42272,#35425,#35426); #27492=AXIS2_PLACEMENT_3D('Near clipping plane',#42274,#35427,$); #27493=AXIS2_PLACEMENT_3D('Far clipping plane',#42275,#35428,$); #27494=AXIS2_PLACEMENT_3D('view reference',#42276,#35429,#35430); #27495=AXIS2_PLACEMENT_3D('view window',#42277,#35431,#35432); #27496=AXIS2_PLACEMENT_3D('Near clipping plane',#42279,#35433,$); #27497=AXIS2_PLACEMENT_3D('Far clipping plane',#42280,#35434,$); #27498=AXIS2_PLACEMENT_3D('view reference',#42281,#35435,#35436); #27499=AXIS2_PLACEMENT_3D('view window',#42282,#35437,#35438); #27500=AXIS2_PLACEMENT_3D('Near clipping plane',#42284,#35439,$); #27501=AXIS2_PLACEMENT_3D('Far clipping plane',#42285,#35440,$); #27502=AXIS2_PLACEMENT_3D('view reference',#42286,#35441,#35442); #27503=AXIS2_PLACEMENT_3D('view window',#42287,#35443,#35444); #27504=AXIS2_PLACEMENT_3D('Near clipping plane',#42289,#35445,$); #27505=AXIS2_PLACEMENT_3D('Far clipping plane',#42290,#35446,$); #27506=AXIS2_PLACEMENT_3D('view reference',#42291,#35447,#35448); #27507=AXIS2_PLACEMENT_3D('view window',#42292,#35449,#35450); #27508=AXIS2_PLACEMENT_3D('Near clipping plane',#42294,#35451,$); #27509=AXIS2_PLACEMENT_3D('Far clipping plane',#42295,#35452,$); #27510=AXIS2_PLACEMENT_3D('view reference',#42296,#35453,#35454); #27511=AXIS2_PLACEMENT_3D('view window',#42297,#35455,#35456); #27512=AXIS2_PLACEMENT_3D('Near clipping plane',#42299,#35457,$); #27513=AXIS2_PLACEMENT_3D('Far clipping plane',#42300,#35458,$); #27514=AXIS2_PLACEMENT_3D('',#42301,#35459,#35460); #27515=AXIS2_PLACEMENT_3D('',#42302,#35461,#35462); #27516=AXIS2_PLACEMENT_3D('',#42303,#35463,#35464); #27517=AXIS2_PLACEMENT_3D('',#42304,#35465,#35466); #27518=AXIS2_PLACEMENT_3D('',#42305,#35467,#35468); #27519=AXIS2_PLACEMENT_3D('',#42306,#35469,#35470); #27520=AXIS2_PLACEMENT_3D('',#42307,#35471,#35472); #27521=AXIS2_PLACEMENT_3D('',#42308,#35473,#35474); #27522=AXIS2_PLACEMENT_3D('',#42309,#35475,#35476); #27523=AXIS2_PLACEMENT_3D('',#42310,#35477,#35478); #27524=AXIS2_PLACEMENT_3D('',#42311,#35479,#35480); #27525=AXIS2_PLACEMENT_3D('',#42312,#35481,#35482); #27526=AXIS2_PLACEMENT_3D('',#42313,#35483,#35484); #27527=AXIS2_PLACEMENT_3D('',#42314,#35485,#35486); #27528=AXIS2_PLACEMENT_3D('',#42315,#35487,#35488); #27529=AXIS2_PLACEMENT_3D('',#42316,#35489,#35490); #27530=AXIS2_PLACEMENT_3D('',#42317,#35491,#35492); #27531=AXIS2_PLACEMENT_3D('',#42318,#35493,#35494); #27532=AXIS2_PLACEMENT_3D('',#42319,#35495,#35496); #27533=AXIS2_PLACEMENT_3D('',#42320,#35497,#35498); #27534=AXIS2_PLACEMENT_3D('',#42321,#35499,#35500); #27535=AXIS2_PLACEMENT_3D('',#42322,#35501,#35502); #27536=AXIS2_PLACEMENT_3D('',#42323,#35503,#35504); #27537=AXIS2_PLACEMENT_3D('',#42324,#35505,#35506); #27538=AXIS2_PLACEMENT_3D('',#42325,#35507,#35508); #27539=AXIS2_PLACEMENT_3D('',#42326,#35509,#35510); #27540=AXIS2_PLACEMENT_3D('',#42327,#35511,#35512); #27541=AXIS2_PLACEMENT_3D('',#42328,#35513,#35514); #27542=AXIS2_PLACEMENT_3D('',#42329,#35515,#35516); #27543=AXIS2_PLACEMENT_3D('',#42330,#35517,#35518); #27544=AXIS2_PLACEMENT_3D('',#42331,#35519,#35520); #27545=AXIS2_PLACEMENT_3D('',#42332,#35521,#35522); #27546=AXIS2_PLACEMENT_3D('',#42333,#35523,#35524); #27547=AXIS2_PLACEMENT_3D('',#42334,#35525,#35526); #27548=AXIS2_PLACEMENT_3D('',#42335,#35527,#35528); #27549=AXIS2_PLACEMENT_3D('',#42336,#35529,#35530); #27550=AXIS2_PLACEMENT_3D('',#42337,#35531,#35532); #27551=AXIS2_PLACEMENT_3D('',#42338,#35533,#35534); #27552=AXIS2_PLACEMENT_3D('',#42339,#35535,#35536); #27553=AXIS2_PLACEMENT_3D('',#42340,#35537,#35538); #27554=AXIS2_PLACEMENT_3D('',#42341,#35539,#35540); #27555=AXIS2_PLACEMENT_3D('',#42342,#35541,#35542); #27556=AXIS2_PLACEMENT_3D('',#42343,#35543,#35544); #27557=AXIS2_PLACEMENT_3D('',#42344,#35545,#35546); #27558=AXIS2_PLACEMENT_3D('',#42345,#35547,#35548); #27559=AXIS2_PLACEMENT_3D('',#42346,#35549,#35550); #27560=AXIS2_PLACEMENT_3D('',#42347,#35551,#35552); #27561=AXIS2_PLACEMENT_3D('',#42348,#35553,#35554); #27562=AXIS2_PLACEMENT_3D('',#42349,#35555,#35556); #27563=AXIS2_PLACEMENT_3D('',#42350,#35557,#35558); #27564=AXIS2_PLACEMENT_3D('',#42351,#35559,#35560); #27565=AXIS2_PLACEMENT_3D('',#42352,#35561,#35562); #27566=AXIS2_PLACEMENT_3D('',#42353,#35563,#35564); #27567=AXIS2_PLACEMENT_3D('',#42354,#35565,#35566); #27568=AXIS2_PLACEMENT_3D('',#42355,#35567,#35568); #27569=AXIS2_PLACEMENT_3D('',#42356,#35569,#35570); #27570=AXIS2_PLACEMENT_3D('',#42357,#35571,#35572); #27571=AXIS2_PLACEMENT_3D('',#42358,#35573,#35574); #27572=AXIS2_PLACEMENT_3D('',#42359,#35575,#35576); #27573=AXIS2_PLACEMENT_3D('',#42360,#35577,#35578); #27574=AXIS2_PLACEMENT_3D('',#42361,#35579,#35580); #27575=AXIS2_PLACEMENT_3D('',#42362,#35581,#35582); #27576=AXIS2_PLACEMENT_3D('',#42363,#35583,#35584); #27577=AXIS2_PLACEMENT_3D('',#42364,#35585,#35586); #27578=AXIS2_PLACEMENT_3D('',#42365,#35587,#35588); #27579=AXIS2_PLACEMENT_3D('',#42366,#35589,#35590); #27580=AXIS2_PLACEMENT_3D('',#42367,#35591,#35592); #27581=AXIS2_PLACEMENT_3D('',#42368,#35593,#35594); #27582=AXIS2_PLACEMENT_3D('',#42369,#35595,#35596); #27583=AXIS2_PLACEMENT_3D('',#42370,#35597,#35598); #27584=AXIS2_PLACEMENT_3D('',#42371,#35599,#35600); #27585=AXIS2_PLACEMENT_3D('',#42372,#35601,#35602); #27586=AXIS2_PLACEMENT_3D('',#42373,#35603,#35604); #27587=AXIS2_PLACEMENT_3D('',#42374,#35605,#35606); #27588=AXIS2_PLACEMENT_3D('',#42375,#35607,#35608); #27589=AXIS2_PLACEMENT_3D('',#42376,#35609,#35610); #27590=AXIS2_PLACEMENT_3D('',#42377,#35611,#35612); #27591=AXIS2_PLACEMENT_3D('',#42378,#35613,#35614); #27592=AXIS2_PLACEMENT_3D('',#42379,#35615,#35616); #27593=AXIS2_PLACEMENT_3D('',#42380,#35617,#35618); #27594=AXIS2_PLACEMENT_3D('',#42381,#35619,#35620); #27595=AXIS2_PLACEMENT_3D('',#42382,#35621,#35622); #27596=AXIS2_PLACEMENT_3D('',#42383,#35623,#35624); #27597=AXIS2_PLACEMENT_3D('',#42384,#35625,#35626); #27598=AXIS2_PLACEMENT_3D('',#42385,#35627,#35628); #27599=AXIS2_PLACEMENT_3D('',#42386,#35629,#35630); #27600=AXIS2_PLACEMENT_3D('',#42387,#35631,#35632); #27601=DIRECTION('axis',(0.,0.,1.)); #27602=DIRECTION('refdir',(1.,0.,0.)); #27603=DIRECTION('axis',(0.,0.,1.)); #27604=DIRECTION('refdir',(1.,0.,0.)); #27605=DIRECTION('center_axis',(0.,0.,1.)); #27606=DIRECTION('ref_axis',(1.,0.,0.)); #27607=DIRECTION('center_axis',(0.,0.,1.)); #27608=DIRECTION('ref_axis',(1.,0.,0.)); #27609=DIRECTION('center_axis',(0.,0.,-1.)); #27610=DIRECTION('ref_axis',(1.,0.,0.)); #27611=DIRECTION('center_axis',(0.,0.,1.)); #27612=DIRECTION('ref_axis',(1.,0.,0.)); #27613=DIRECTION('center_axis',(0.,0.,1.)); #27614=DIRECTION('ref_axis',(1.,0.,0.)); #27615=DIRECTION('center_axis',(0.,0.,-1.)); #27616=DIRECTION('ref_axis',(1.,0.,0.)); #27617=DIRECTION('center_axis',(0.,0.,1.)); #27618=DIRECTION('ref_axis',(1.,0.,0.)); #27619=DIRECTION('center_axis',(0.,0.,1.)); #27620=DIRECTION('ref_axis',(1.,0.,0.)); #27621=DIRECTION('center_axis',(0.,0.,-1.)); #27622=DIRECTION('ref_axis',(1.,0.,0.)); #27623=DIRECTION('center_axis',(0.,0.,1.)); #27624=DIRECTION('ref_axis',(1.,0.,0.)); #27625=DIRECTION('center_axis',(0.,0.,1.)); #27626=DIRECTION('ref_axis',(1.,0.,0.)); #27627=DIRECTION('center_axis',(0.,0.,-1.)); #27628=DIRECTION('ref_axis',(1.,0.,0.)); #27629=DIRECTION('center_axis',(0.,0.,-1.)); #27630=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27631=DIRECTION('center_axis',(0.,0.,-1.)); #27632=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27633=DIRECTION('center_axis',(0.,0.,-1.)); #27634=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27635=DIRECTION('center_axis',(0.,0.,-1.)); #27636=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27637=DIRECTION('center_axis',(0.,0.,-1.)); #27638=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27639=DIRECTION('center_axis',(0.,0.,-1.)); #27640=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27641=DIRECTION('center_axis',(0.,0.,1.)); #27642=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27643=DIRECTION('center_axis',(0.,0.,-1.)); #27644=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27645=DIRECTION('center_axis',(0.,0.,-1.)); #27646=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27647=DIRECTION('center_axis',(0.,0.,-1.)); #27648=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27649=DIRECTION('center_axis',(0.,0.,-1.)); #27650=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27651=DIRECTION('center_axis',(0.,0.,-1.)); #27652=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27653=DIRECTION('center_axis',(0.,0.,-1.)); #27654=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27655=DIRECTION('center_axis',(0.,0.,1.)); #27656=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27657=DIRECTION('center_axis',(0.,0.,-1.)); #27658=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27659=DIRECTION('center_axis',(0.,0.,1.)); #27660=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27661=DIRECTION('center_axis',(0.,0.,-1.)); #27662=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27663=DIRECTION('center_axis',(0.,0.,-1.)); #27664=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27665=DIRECTION('center_axis',(0.,0.,-1.)); #27666=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27667=DIRECTION('center_axis',(0.,0.,-1.)); #27668=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27669=DIRECTION('center_axis',(0.,0.,-1.)); #27670=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27671=DIRECTION('center_axis',(0.,0.,-1.)); #27672=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27673=DIRECTION('center_axis',(0.,0.,-1.)); #27674=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27675=DIRECTION('center_axis',(0.,0.,-1.)); #27676=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27677=DIRECTION('center_axis',(0.,0.,-1.)); #27678=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27679=DIRECTION('center_axis',(0.,0.,-1.)); #27680=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27681=DIRECTION('center_axis',(0.,0.,-1.)); #27682=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27683=DIRECTION('center_axis',(0.,0.,1.)); #27684=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27685=DIRECTION('center_axis',(0.,0.,-1.)); #27686=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27687=DIRECTION('center_axis',(0.,0.,1.)); #27688=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27689=DIRECTION('center_axis',(0.,0.,-1.)); #27690=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27691=DIRECTION('center_axis',(0.,0.,-1.)); #27692=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27693=DIRECTION('center_axis',(0.,0.,-1.)); #27694=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27695=DIRECTION('center_axis',(0.,0.,-1.)); #27696=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27697=DIRECTION('center_axis',(0.,0.,-1.)); #27698=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27699=DIRECTION('center_axis',(0.,0.,-1.)); #27700=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27701=DIRECTION('center_axis',(0.,0.,-1.)); #27702=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27703=DIRECTION('center_axis',(0.,0.,-1.)); #27704=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27705=DIRECTION('center_axis',(0.,0.,-1.)); #27706=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27707=DIRECTION('center_axis',(0.,0.,-1.)); #27708=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27709=DIRECTION('center_axis',(0.,0.,-1.)); #27710=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27711=DIRECTION('center_axis',(0.,0.,1.)); #27712=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27713=DIRECTION('center_axis',(0.,0.,-1.)); #27714=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27715=DIRECTION('center_axis',(0.,0.,1.)); #27716=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27717=DIRECTION('center_axis',(0.,0.,-1.)); #27718=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27719=DIRECTION('center_axis',(0.,0.,-1.)); #27720=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27721=DIRECTION('center_axis',(0.,0.,-1.)); #27722=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27723=DIRECTION('center_axis',(0.,0.,-1.)); #27724=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27725=DIRECTION('center_axis',(0.,0.,-1.)); #27726=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27727=DIRECTION('center_axis',(0.,0.,-1.)); #27728=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27729=DIRECTION('center_axis',(0.,0.,-1.)); #27730=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27731=DIRECTION('center_axis',(0.,0.,-1.)); #27732=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27733=DIRECTION('center_axis',(0.,0.,-1.)); #27734=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27735=DIRECTION('center_axis',(0.,0.,-1.)); #27736=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27737=DIRECTION('center_axis',(0.,0.,-1.)); #27738=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27739=DIRECTION('center_axis',(0.,0.,1.)); #27740=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27741=DIRECTION('center_axis',(0.,0.,-1.)); #27742=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27743=DIRECTION('center_axis',(0.,0.,1.)); #27744=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27745=DIRECTION('center_axis',(0.,0.,-1.)); #27746=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27747=DIRECTION('center_axis',(0.,0.,-1.)); #27748=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27749=DIRECTION('center_axis',(0.,0.,-1.)); #27750=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27751=DIRECTION('center_axis',(0.,0.,-1.)); #27752=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27753=DIRECTION('center_axis',(0.,0.,-1.)); #27754=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27755=DIRECTION('center_axis',(0.,0.,-1.)); #27756=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27757=DIRECTION('center_axis',(0.,0.,-1.)); #27758=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27759=DIRECTION('center_axis',(0.,0.,-1.)); #27760=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27761=DIRECTION('center_axis',(0.,0.,-1.)); #27762=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27763=DIRECTION('center_axis',(0.,0.,-1.)); #27764=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27765=DIRECTION('center_axis',(0.,0.,-1.)); #27766=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27767=DIRECTION('center_axis',(0.,0.,1.)); #27768=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27769=DIRECTION('center_axis',(0.,0.,-1.)); #27770=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27771=DIRECTION('center_axis',(0.,0.,1.)); #27772=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27773=DIRECTION('center_axis',(0.,0.,-1.)); #27774=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27775=DIRECTION('center_axis',(0.,0.,-1.)); #27776=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27777=DIRECTION('center_axis',(0.,0.,-1.)); #27778=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27779=DIRECTION('center_axis',(0.,0.,-1.)); #27780=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27781=DIRECTION('center_axis',(0.,0.,-1.)); #27782=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27783=DIRECTION('center_axis',(0.,0.,-1.)); #27784=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27785=DIRECTION('center_axis',(0.,0.,-1.)); #27786=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27787=DIRECTION('center_axis',(0.,0.,-1.)); #27788=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27789=DIRECTION('center_axis',(0.,0.,-1.)); #27790=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27791=DIRECTION('center_axis',(0.,0.,-1.)); #27792=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27793=DIRECTION('center_axis',(0.,0.,-1.)); #27794=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27795=DIRECTION('center_axis',(0.,0.,1.)); #27796=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27797=DIRECTION('center_axis',(0.,0.,-1.)); #27798=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27799=DIRECTION('center_axis',(0.,0.,1.)); #27800=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27801=DIRECTION('center_axis',(0.,0.,-1.)); #27802=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27803=DIRECTION('center_axis',(0.,0.,-1.)); #27804=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27805=DIRECTION('center_axis',(0.,0.,-1.)); #27806=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27807=DIRECTION('center_axis',(0.,0.,-1.)); #27808=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27809=DIRECTION('center_axis',(0.,0.,-1.)); #27810=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27811=DIRECTION('center_axis',(0.,0.,-1.)); #27812=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27813=DIRECTION('center_axis',(0.,0.,-1.)); #27814=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27815=DIRECTION('center_axis',(0.,0.,-1.)); #27816=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27817=DIRECTION('center_axis',(0.,0.,-1.)); #27818=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27819=DIRECTION('center_axis',(0.,0.,-1.)); #27820=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27821=DIRECTION('center_axis',(0.,0.,-1.)); #27822=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27823=DIRECTION('center_axis',(0.,0.,1.)); #27824=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27825=DIRECTION('center_axis',(0.,0.,-1.)); #27826=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27827=DIRECTION('center_axis',(0.,0.,1.)); #27828=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27829=DIRECTION('center_axis',(0.,0.,-1.)); #27830=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27831=DIRECTION('center_axis',(0.,0.,-1.)); #27832=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27833=DIRECTION('center_axis',(0.,0.,-1.)); #27834=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27835=DIRECTION('center_axis',(0.,0.,-1.)); #27836=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27837=DIRECTION('center_axis',(0.,0.,-1.)); #27838=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #27839=DIRECTION('center_axis',(0.,0.,1.)); #27840=DIRECTION('ref_axis',(1.,0.,0.)); #27841=DIRECTION('center_axis',(0.,0.,1.)); #27842=DIRECTION('ref_axis',(1.,0.,0.)); #27843=DIRECTION('center_axis',(0.,0.,1.)); #27844=DIRECTION('ref_axis',(1.,0.,0.)); #27845=DIRECTION('center_axis',(0.,0.,1.)); #27846=DIRECTION('ref_axis',(1.,0.,0.)); #27847=DIRECTION('center_axis',(0.,0.,-1.)); #27848=DIRECTION('ref_axis',(1.,0.,0.)); #27849=DIRECTION('center_axis',(0.,0.,1.)); #27850=DIRECTION('ref_axis',(1.,0.,0.)); #27851=DIRECTION('center_axis',(0.,0.,1.)); #27852=DIRECTION('ref_axis',(1.,0.,0.)); #27853=DIRECTION('center_axis',(0.,0.,1.)); #27854=DIRECTION('ref_axis',(1.,0.,0.)); #27855=DIRECTION('center_axis',(0.,0.,1.)); #27856=DIRECTION('ref_axis',(1.,0.,0.)); #27857=DIRECTION('center_axis',(0.,0.,1.)); #27858=DIRECTION('ref_axis',(1.,0.,0.)); #27859=DIRECTION('center_axis',(0.,0.,1.)); #27860=DIRECTION('ref_axis',(1.,0.,0.)); #27861=DIRECTION('center_axis',(0.,0.,-1.)); #27862=DIRECTION('ref_axis',(1.,0.,0.)); #27863=DIRECTION('center_axis',(0.,0.,1.)); #27864=DIRECTION('ref_axis',(1.,0.,0.)); #27865=DIRECTION('center_axis',(0.,0.,1.)); #27866=DIRECTION('ref_axis',(1.,0.,0.)); #27867=DIRECTION('center_axis',(0.,0.,1.)); #27868=DIRECTION('ref_axis',(1.,0.,0.)); #27869=DIRECTION('center_axis',(0.,0.,1.)); #27870=DIRECTION('ref_axis',(1.,0.,0.)); #27871=DIRECTION('center_axis',(0.,0.,1.)); #27872=DIRECTION('ref_axis',(1.,0.,0.)); #27873=DIRECTION('center_axis',(0.,0.,1.)); #27874=DIRECTION('ref_axis',(1.,0.,0.)); #27875=DIRECTION('center_axis',(0.,0.,-1.)); #27876=DIRECTION('ref_axis',(1.,0.,0.)); #27877=DIRECTION('center_axis',(0.,0.,1.)); #27878=DIRECTION('ref_axis',(1.,0.,0.)); #27879=DIRECTION('center_axis',(0.,0.,1.)); #27880=DIRECTION('ref_axis',(1.,0.,0.)); #27881=DIRECTION('center_axis',(0.,0.,1.)); #27882=DIRECTION('ref_axis',(1.,0.,0.)); #27883=DIRECTION('center_axis',(0.,0.,1.)); #27884=DIRECTION('ref_axis',(1.,0.,0.)); #27885=DIRECTION('center_axis',(0.,0.,1.)); #27886=DIRECTION('ref_axis',(1.,0.,0.)); #27887=DIRECTION('center_axis',(0.,0.,1.)); #27888=DIRECTION('ref_axis',(1.,0.,0.)); #27889=DIRECTION('center_axis',(0.,0.,-1.)); #27890=DIRECTION('ref_axis',(1.,0.,0.)); #27891=DIRECTION('center_axis',(0.,0.,1.)); #27892=DIRECTION('ref_axis',(1.,0.,0.)); #27893=DIRECTION('center_axis',(0.,0.,1.)); #27894=DIRECTION('ref_axis',(1.,0.,0.)); #27895=DIRECTION('center_axis',(0.,0.,1.)); #27896=DIRECTION('ref_axis',(1.,0.,0.)); #27897=DIRECTION('center_axis',(0.,0.,1.)); #27898=DIRECTION('ref_axis',(1.,0.,0.)); #27899=DIRECTION('center_axis',(0.,0.,1.)); #27900=DIRECTION('ref_axis',(1.,0.,0.)); #27901=DIRECTION('center_axis',(0.,0.,1.)); #27902=DIRECTION('ref_axis',(1.,0.,0.)); #27903=DIRECTION('center_axis',(0.,0.,-1.)); #27904=DIRECTION('ref_axis',(1.,0.,0.)); #27905=DIRECTION('center_axis',(0.,0.,1.)); #27906=DIRECTION('ref_axis',(1.,0.,0.)); #27907=DIRECTION('center_axis',(0.,0.,1.)); #27908=DIRECTION('ref_axis',(1.,0.,0.)); #27909=DIRECTION('center_axis',(0.,0.,1.)); #27910=DIRECTION('ref_axis',(1.,0.,0.)); #27911=DIRECTION('center_axis',(0.,0.,1.)); #27912=DIRECTION('ref_axis',(1.,0.,0.)); #27913=DIRECTION('center_axis',(0.,0.,1.)); #27914=DIRECTION('ref_axis',(1.,0.,0.)); #27915=DIRECTION('center_axis',(0.,0.,1.)); #27916=DIRECTION('ref_axis',(1.,0.,0.)); #27917=DIRECTION('center_axis',(0.,0.,-1.)); #27918=DIRECTION('ref_axis',(1.,0.,0.)); #27919=DIRECTION('center_axis',(0.,0.,1.)); #27920=DIRECTION('ref_axis',(1.,0.,0.)); #27921=DIRECTION('center_axis',(0.,0.,1.)); #27922=DIRECTION('ref_axis',(1.,0.,0.)); #27923=DIRECTION('center_axis',(0.,0.,1.)); #27924=DIRECTION('ref_axis',(1.,0.,0.)); #27925=DIRECTION('center_axis',(0.,0.,1.)); #27926=DIRECTION('ref_axis',(1.,0.,0.)); #27927=DIRECTION('center_axis',(0.,0.,1.)); #27928=DIRECTION('ref_axis',(1.,0.,0.)); #27929=DIRECTION('center_axis',(0.,0.,1.)); #27930=DIRECTION('ref_axis',(1.,0.,0.)); #27931=DIRECTION('center_axis',(0.,0.,-1.)); #27932=DIRECTION('ref_axis',(1.,0.,0.)); #27933=DIRECTION('center_axis',(0.,0.,1.)); #27934=DIRECTION('ref_axis',(1.,0.,0.)); #27935=DIRECTION('center_axis',(0.,0.,1.)); #27936=DIRECTION('ref_axis',(1.,0.,0.)); #27937=DIRECTION('center_axis',(0.,0.,1.)); #27938=DIRECTION('ref_axis',(1.,0.,0.)); #27939=DIRECTION('center_axis',(0.,0.,1.)); #27940=DIRECTION('ref_axis',(1.,0.,0.)); #27941=DIRECTION('center_axis',(0.,0.,1.)); #27942=DIRECTION('ref_axis',(1.,0.,0.)); #27943=DIRECTION('center_axis',(0.,0.,1.)); #27944=DIRECTION('ref_axis',(1.,0.,0.)); #27945=DIRECTION('center_axis',(0.,0.,-1.)); #27946=DIRECTION('ref_axis',(1.,0.,0.)); #27947=DIRECTION('center_axis',(0.,0.,1.)); #27948=DIRECTION('ref_axis',(1.,0.,0.)); #27949=DIRECTION('center_axis',(0.,0.,1.)); #27950=DIRECTION('ref_axis',(1.,0.,0.)); #27951=DIRECTION('center_axis',(0.,0.,1.)); #27952=DIRECTION('ref_axis',(1.,0.,0.)); #27953=DIRECTION('center_axis',(0.,0.,1.)); #27954=DIRECTION('ref_axis',(1.,0.,0.)); #27955=DIRECTION('center_axis',(0.,0.,1.)); #27956=DIRECTION('ref_axis',(1.,0.,0.)); #27957=DIRECTION('center_axis',(0.,0.,1.)); #27958=DIRECTION('ref_axis',(1.,0.,0.)); #27959=DIRECTION('center_axis',(0.,0.,-1.)); #27960=DIRECTION('ref_axis',(1.,0.,0.)); #27961=DIRECTION('center_axis',(0.,0.,1.)); #27962=DIRECTION('ref_axis',(1.,0.,0.)); #27963=DIRECTION('center_axis',(0.,0.,1.)); #27964=DIRECTION('ref_axis',(1.,0.,0.)); #27965=DIRECTION('center_axis',(0.,0.,1.)); #27966=DIRECTION('ref_axis',(1.,0.,0.)); #27967=DIRECTION('center_axis',(0.,0.,1.)); #27968=DIRECTION('ref_axis',(1.,0.,0.)); #27969=DIRECTION('center_axis',(0.,0.,1.)); #27970=DIRECTION('ref_axis',(1.,0.,0.)); #27971=DIRECTION('center_axis',(0.,0.,1.)); #27972=DIRECTION('ref_axis',(1.,0.,0.)); #27973=DIRECTION('center_axis',(0.,0.,-1.)); #27974=DIRECTION('ref_axis',(1.,0.,0.)); #27975=DIRECTION('center_axis',(0.,0.,1.)); #27976=DIRECTION('ref_axis',(1.,0.,0.)); #27977=DIRECTION('center_axis',(0.,0.,1.)); #27978=DIRECTION('ref_axis',(1.,0.,0.)); #27979=DIRECTION('center_axis',(0.,0.,1.)); #27980=DIRECTION('ref_axis',(1.,0.,0.)); #27981=DIRECTION('center_axis',(0.,0.,1.)); #27982=DIRECTION('ref_axis',(1.,0.,0.)); #27983=DIRECTION('center_axis',(0.,0.,1.)); #27984=DIRECTION('ref_axis',(1.,0.,0.)); #27985=DIRECTION('center_axis',(0.,0.,1.)); #27986=DIRECTION('ref_axis',(1.,0.,0.)); #27987=DIRECTION('center_axis',(0.,0.,-1.)); #27988=DIRECTION('ref_axis',(1.,0.,0.)); #27989=DIRECTION('center_axis',(0.,0.,1.)); #27990=DIRECTION('ref_axis',(1.,0.,0.)); #27991=DIRECTION('center_axis',(0.,0.,1.)); #27992=DIRECTION('ref_axis',(1.,0.,0.)); #27993=DIRECTION('center_axis',(0.,0.,1.)); #27994=DIRECTION('ref_axis',(1.,0.,0.)); #27995=DIRECTION('center_axis',(0.,0.,1.)); #27996=DIRECTION('ref_axis',(1.,0.,0.)); #27997=DIRECTION('center_axis',(0.,0.,1.)); #27998=DIRECTION('ref_axis',(1.,0.,0.)); #27999=DIRECTION('center_axis',(0.,0.,1.)); #28000=DIRECTION('ref_axis',(1.,0.,0.)); #28001=DIRECTION('center_axis',(0.,0.,-1.)); #28002=DIRECTION('ref_axis',(1.,0.,0.)); #28003=DIRECTION('center_axis',(0.,0.,1.)); #28004=DIRECTION('ref_axis',(1.,0.,0.)); #28005=DIRECTION('center_axis',(0.,0.,1.)); #28006=DIRECTION('ref_axis',(1.,0.,0.)); #28007=DIRECTION('center_axis',(0.,0.,1.)); #28008=DIRECTION('ref_axis',(1.,0.,0.)); #28009=DIRECTION('center_axis',(0.,0.,1.)); #28010=DIRECTION('ref_axis',(1.,0.,0.)); #28011=DIRECTION('center_axis',(0.,0.,1.)); #28012=DIRECTION('ref_axis',(1.,0.,0.)); #28013=DIRECTION('center_axis',(0.,0.,1.)); #28014=DIRECTION('ref_axis',(1.,0.,0.)); #28015=DIRECTION('center_axis',(0.,0.,-1.)); #28016=DIRECTION('ref_axis',(1.,0.,0.)); #28017=DIRECTION('center_axis',(0.,0.,1.)); #28018=DIRECTION('ref_axis',(1.,0.,0.)); #28019=DIRECTION('center_axis',(0.,0.,1.)); #28020=DIRECTION('ref_axis',(1.,0.,0.)); #28021=DIRECTION('center_axis',(0.,0.,1.)); #28022=DIRECTION('ref_axis',(1.,0.,0.)); #28023=DIRECTION('center_axis',(0.,0.,1.)); #28024=DIRECTION('ref_axis',(1.,0.,0.)); #28025=DIRECTION('center_axis',(0.,0.,1.)); #28026=DIRECTION('ref_axis',(1.,0.,0.)); #28027=DIRECTION('center_axis',(0.,0.,1.)); #28028=DIRECTION('ref_axis',(1.,0.,0.)); #28029=DIRECTION('center_axis',(0.,0.,-1.)); #28030=DIRECTION('ref_axis',(1.,0.,0.)); #28031=DIRECTION('center_axis',(0.,0.,1.)); #28032=DIRECTION('ref_axis',(1.,0.,0.)); #28033=DIRECTION('center_axis',(0.,0.,1.)); #28034=DIRECTION('ref_axis',(1.,0.,0.)); #28035=DIRECTION('center_axis',(0.,0.,1.)); #28036=DIRECTION('ref_axis',(1.,0.,0.)); #28037=DIRECTION('center_axis',(0.,0.,1.)); #28038=DIRECTION('ref_axis',(1.,0.,0.)); #28039=DIRECTION('center_axis',(0.,0.,1.)); #28040=DIRECTION('ref_axis',(1.,0.,0.)); #28041=DIRECTION('center_axis',(0.,0.,1.)); #28042=DIRECTION('ref_axis',(1.,0.,0.)); #28043=DIRECTION('center_axis',(0.,0.,-1.)); #28044=DIRECTION('ref_axis',(1.,0.,0.)); #28045=DIRECTION('center_axis',(0.,0.,1.)); #28046=DIRECTION('ref_axis',(1.,0.,0.)); #28047=DIRECTION('center_axis',(0.,0.,1.)); #28048=DIRECTION('ref_axis',(1.,0.,0.)); #28049=DIRECTION('center_axis',(0.,0.,1.)); #28050=DIRECTION('ref_axis',(1.,0.,0.)); #28051=DIRECTION('center_axis',(0.,0.,1.)); #28052=DIRECTION('ref_axis',(1.,0.,0.)); #28053=DIRECTION('center_axis',(0.,0.,-1.)); #28054=DIRECTION('ref_axis',(1.,0.,0.)); #28055=DIRECTION('center_axis',(0.,0.,1.)); #28056=DIRECTION('ref_axis',(1.,0.,0.)); #28057=DIRECTION('center_axis',(0.,0.,1.)); #28058=DIRECTION('ref_axis',(1.,0.,0.)); #28059=DIRECTION('center_axis',(0.,0.,-1.)); #28060=DIRECTION('ref_axis',(1.,0.,0.)); #28061=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28062=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28063=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28064=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28065=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28066=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28067=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #28068=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28069=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28070=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28071=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28072=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28073=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28074=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28075=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #28076=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28077=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28078=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28079=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28080=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28081=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28082=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28083=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #28084=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28085=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28086=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28087=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28088=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28089=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28090=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28091=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #28092=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28093=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28094=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28095=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28096=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28097=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28098=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28099=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #28100=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28101=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28102=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28103=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28104=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28105=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28106=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28107=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #28108=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28109=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28110=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28111=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28112=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28113=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28114=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28115=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #28116=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28117=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28118=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28119=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28120=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28121=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28122=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28123=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #28124=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28125=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28126=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28127=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28128=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28129=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28130=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28131=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #28132=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28133=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28134=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28135=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28136=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28137=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28138=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28139=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #28140=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28141=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28142=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28143=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28144=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28145=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28146=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28147=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #28148=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28149=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28150=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28151=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28152=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28153=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #28154=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28155=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #28156=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #28157=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28158=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28159=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28160=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28161=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #28162=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28163=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #28164=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #28165=DIRECTION('center_axis',(-0.629036165193352,0.,0.777376036985218)); #28166=DIRECTION('ref_axis',(0.777376036985218,-1.22779685108506E-16,0.629036165193351)); #28167=DIRECTION('center_axis',(-0.629036165193352,0.,0.777376036985218)); #28168=DIRECTION('ref_axis',(0.777376036985218,-1.22779685108506E-16,0.629036165193351)); #28169=DIRECTION('center_axis',(-0.629036165193352,0.,0.777376036985218)); #28170=DIRECTION('ref_axis',(0.777376036985218,-1.22779685108506E-16,0.629036165193351)); #28171=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #28172=DIRECTION('ref_axis',(0.777376036985218,-1.22779685108506E-16,0.629036165193351)); #28173=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28174=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28175=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28176=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28177=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28178=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28179=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #28180=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28181=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28182=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28183=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28184=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28185=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28186=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28187=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #28188=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28189=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28190=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28191=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28192=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28193=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28194=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28195=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #28196=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28197=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28198=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28199=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28200=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28201=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28202=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28203=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #28204=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28205=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28206=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28207=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28208=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28209=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28210=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28211=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #28212=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28213=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28214=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28215=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28216=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28217=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28218=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28219=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #28220=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28221=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28222=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28223=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28224=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28225=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #28226=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28227=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #28228=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #28229=DIRECTION('center_axis',(0.,0.,-1.)); #28230=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28231=DIRECTION('center_axis',(0.,0.,1.)); #28232=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28233=DIRECTION('center_axis',(0.,0.,-1.)); #28234=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28235=DIRECTION('center_axis',(0.,0.,-1.)); #28236=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28237=DIRECTION('center_axis',(0.,0.,1.)); #28238=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28239=DIRECTION('center_axis',(0.,0.,-1.)); #28240=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28241=DIRECTION('center_axis',(0.,0.,-1.)); #28242=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28243=DIRECTION('center_axis',(0.,0.,1.)); #28244=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28245=DIRECTION('center_axis',(0.,0.,-1.)); #28246=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28247=DIRECTION('center_axis',(0.,0.,-1.)); #28248=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28249=DIRECTION('center_axis',(0.,0.,1.)); #28250=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28251=DIRECTION('center_axis',(0.,0.,-1.)); #28252=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28253=DIRECTION('center_axis',(0.,0.,-1.)); #28254=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28255=DIRECTION('center_axis',(0.,0.,1.)); #28256=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28257=DIRECTION('center_axis',(0.,0.,-1.)); #28258=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #28259=DIRECTION('center_axis',(0.,0.,1.)); #28260=DIRECTION('ref_axis',(1.,0.,0.)); #28261=DIRECTION('center_axis',(0.,0.,1.)); #28262=DIRECTION('ref_axis',(1.,0.,0.)); #28263=DIRECTION('center_axis',(0.,0.,-1.)); #28264=DIRECTION('ref_axis',(1.,0.,0.)); #28265=DIRECTION('center_axis',(0.,0.,1.)); #28266=DIRECTION('ref_axis',(1.,0.,0.)); #28267=DIRECTION('center_axis',(0.,0.,1.)); #28268=DIRECTION('ref_axis',(1.,0.,0.)); #28269=DIRECTION('center_axis',(0.,0.,-1.)); #28270=DIRECTION('ref_axis',(1.,0.,0.)); #28271=DIRECTION('center_axis',(0.,0.,1.)); #28272=DIRECTION('ref_axis',(1.,0.,0.)); #28273=DIRECTION('center_axis',(0.,0.,1.)); #28274=DIRECTION('ref_axis',(1.,0.,0.)); #28275=DIRECTION('center_axis',(0.,0.,-1.)); #28276=DIRECTION('ref_axis',(1.,0.,0.)); #28277=DIRECTION('center_axis',(0.,0.,1.)); #28278=DIRECTION('ref_axis',(1.,0.,0.)); #28279=DIRECTION('center_axis',(0.,0.,1.)); #28280=DIRECTION('ref_axis',(1.,0.,0.)); #28281=DIRECTION('center_axis',(0.,0.,-1.)); #28282=DIRECTION('ref_axis',(1.,0.,0.)); #28283=DIRECTION('center_axis',(0.,0.,1.)); #28284=DIRECTION('ref_axis',(1.,0.,0.)); #28285=DIRECTION('center_axis',(0.,0.,1.)); #28286=DIRECTION('ref_axis',(1.,0.,0.)); #28287=DIRECTION('center_axis',(0.,0.,-1.)); #28288=DIRECTION('ref_axis',(1.,0.,0.)); #28289=DIRECTION('center_axis',(0.,0.,-1.)); #28290=DIRECTION('ref_axis',(-1.,0.,0.)); #28291=DIRECTION('center_axis',(0.244470387776183,0.969656758600982,0.)); #28292=DIRECTION('ref_axis',(0.,0.,1.)); #28293=DIRECTION('center_axis',(0.,0.,-1.)); #28294=DIRECTION('ref_axis',(-0.483660225543755,0.875255840441504,0.)); #28295=DIRECTION('center_axis',(-0.951056516295176,0.309016994374879,0.)); #28296=DIRECTION('ref_axis',(-0.309016994374879,-0.951056516295176,0.)); #28297=DIRECTION('center_axis',(0.,0.,1.)); #28298=DIRECTION('ref_axis',(-0.483660225543755,0.875255840441504,0.)); #28299=DIRECTION('center_axis',(0.951056516295169,-0.309016994374899,0.)); #28300=DIRECTION('ref_axis',(-0.218508012224353,-0.672498511964008,0.707106781186517)); #28301=DIRECTION('center_axis',(-0.951056516295176,0.309016994374879,0.)); #28302=DIRECTION('ref_axis',(-0.309016994374879,-0.951056516295176,0.)); #28303=DIRECTION('',(-0.951056516295169,0.309016994374899,0.)); #28304=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #28305=DIRECTION('center_axis',(0.,0.,-1.)); #28306=DIRECTION('ref_axis',(-1.,0.,0.)); #28307=DIRECTION('center_axis',(0.185937314266845,0.982561608838465,0.)); #28308=DIRECTION('ref_axis',(-0.982561608838465,0.185937314266845,0.)); #28309=DIRECTION('center_axis',(0.,0.,1.)); #28310=DIRECTION('ref_axis',(0.860367691602645,0.509673851836972,0.)); #28311=DIRECTION('center_axis',(0.,0.,-1.)); #28312=DIRECTION('ref_axis',(0.860367691602645,0.509673851836972,0.)); #28313=DIRECTION('center_axis',(0.,0.,1.)); #28314=DIRECTION('ref_axis',(1.,0.,0.)); #28315=DIRECTION('center_axis',(-0.185937314266885,0.982561608838457,0.)); #28316=DIRECTION('ref_axis',(-0.982561608838457,-0.185937314266885,0.)); #28317=DIRECTION('center_axis',(0.,0.,-1.)); #28318=DIRECTION('ref_axis',(-1.,-4.50569808148918E-15,0.)); #28319=DIRECTION('center_axis',(0.,0.,1.)); #28320=DIRECTION('ref_axis',(-1.,-4.50569808148918E-15,0.)); #28321=DIRECTION('center_axis',(0.,0.,-1.)); #28322=DIRECTION('ref_axis',(-1.,0.,0.)); #28323=DIRECTION('center_axis',(0.951056516295176,0.309016994374879,0.)); #28324=DIRECTION('ref_axis',(-0.309016994374879,0.951056516295176,0.)); #28325=DIRECTION('center_axis',(0.,0.,1.)); #28326=DIRECTION('ref_axis',(0.860367691602661,-0.509673851836944,0.)); #28327=DIRECTION('center_axis',(0.,0.,-1.)); #28328=DIRECTION('ref_axis',(0.860367691602661,-0.509673851836944,0.)); #28329=DIRECTION('center_axis',(-0.951056516295152,-0.309016994374951,0.)); #28330=DIRECTION('ref_axis',(-0.218508012224423,0.672498511963964,0.707106781186538)); #28331=DIRECTION('center_axis',(0.951056516295149,0.30901699437496,0.)); #28332=DIRECTION('ref_axis',(-0.30901699437496,0.951056516295149,0.)); #28333=DIRECTION('',(0.951056516295152,0.309016994374951,0.)); #28334=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #28335=DIRECTION('center_axis',(0.,0.,-1.)); #28336=DIRECTION('ref_axis',(-1.,0.,0.)); #28337=DIRECTION('center_axis',(0.244470387776221,-0.969656758600972,0.)); #28338=DIRECTION('ref_axis',(0.969656758600972,0.244470387776221,0.)); #28339=DIRECTION('center_axis',(0.,0.,1.)); #28340=DIRECTION('ref_axis',(-0.483660225543719,-0.875255840441524,0.)); #28341=DIRECTION('center_axis',(0.,0.,-1.)); #28342=DIRECTION('ref_axis',(-0.483660225543719,-0.875255840441524,0.)); #28343=DIRECTION('center_axis',(0.,0.,-1.)); #28344=DIRECTION('ref_axis',(-1.,0.,0.)); #28345=DIRECTION('center_axis',(0.,0.,1.)); #28346=DIRECTION('ref_axis',(-1.,-1.54446506308473E-14,0.)); #28347=DIRECTION('center_axis',(0.,0.,-1.)); #28348=DIRECTION('ref_axis',(-1.,-1.54446506308473E-14,0.)); #28349=DIRECTION('center_axis',(0.,0.,-1.)); #28350=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #28351=DIRECTION('',(0.,0.,-1.)); #28352=DIRECTION('center_axis',(0.,0.,-1.)); #28353=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #28354=DIRECTION('',(0.,0.,-1.)); #28355=DIRECTION('center_axis',(0.,0.,-1.)); #28356=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #28357=DIRECTION('',(0.,0.,-1.)); #28358=DIRECTION('center_axis',(0.,0.,1.)); #28359=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #28360=DIRECTION('center_axis',(0.309016994374899,0.951056516295169,0.)); #28361=DIRECTION('ref_axis',(0.951056516295169,-0.309016994374899,0.)); #28362=DIRECTION('',(0.,0.,-1.)); #28363=DIRECTION('',(-0.951056516295169,0.309016994374899,0.)); #28364=DIRECTION('center_axis',(0.,0.,-1.)); #28365=DIRECTION('ref_axis',(-0.309016994374899,-0.951056516295169,0.)); #28366=DIRECTION('',(0.,0.,-1.)); #28367=DIRECTION('center_axis',(0.,0.,1.)); #28368=DIRECTION('ref_axis',(-0.309016994374899,-0.951056516295169,0.)); #28369=DIRECTION('center_axis',(0.,0.,1.)); #28370=DIRECTION('ref_axis',(1.,0.,0.)); #28371=DIRECTION('center_axis',(0.,0.,1.)); #28372=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266838,0.)); #28373=DIRECTION('',(-0.951056516295169,0.309016994374899,0.)); #28374=DIRECTION('center_axis',(0.,0.,1.)); #28375=DIRECTION('ref_axis',(-0.309016994374898,-0.95105651629517,0.)); #28376=DIRECTION('center_axis',(0.,0.,1.)); #28377=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #28378=DIRECTION('center_axis',(0.,0.,1.)); #28379=DIRECTION('ref_axis',(0.969656758600975,0.244470387776208,0.)); #28380=DIRECTION('',(0.951056516295152,0.309016994374951,0.)); #28381=DIRECTION('center_axis',(0.,0.,1.)); #28382=DIRECTION('ref_axis',(-0.30901699437495,0.951056516295153,0.)); #28383=DIRECTION('center_axis',(0.,0.,-1.)); #28384=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #28385=DIRECTION('center_axis',(0.,0.,-1.)); #28386=DIRECTION('ref_axis',(-0.309016994374952,0.951056516295152,0.)); #28387=DIRECTION('center_axis',(0.,0.,1.)); #28388=DIRECTION('ref_axis',(-0.309016994374952,0.951056516295152,0.)); #28389=DIRECTION('',(0.,0.,-1.)); #28390=DIRECTION('center_axis',(0.309016994374951,-0.951056516295152,0.)); #28391=DIRECTION('ref_axis',(-0.951056516295152,-0.309016994374951,0.)); #28392=DIRECTION('',(0.951056516295152,0.309016994374951,0.)); #28393=DIRECTION('',(0.,0.,-1.)); #28394=DIRECTION('center_axis',(0.,0.,-1.)); #28395=DIRECTION('ref_axis',(0.969656758600976,0.244470387776208,0.)); #28396=DIRECTION('center_axis',(0.,0.,1.)); #28397=DIRECTION('ref_axis',(0.969656758600976,0.244470387776208,0.)); #28398=DIRECTION('',(0.,0.,-1.)); #28399=DIRECTION('center_axis',(0.,0.,-1.)); #28400=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #28401=DIRECTION('center_axis',(0.,0.,1.)); #28402=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #28403=DIRECTION('center_axis',(0.,0.,1.)); #28404=DIRECTION('ref_axis',(1.,0.,0.)); #28405=DIRECTION('center_axis',(0.,0.,1.)); #28406=DIRECTION('ref_axis',(1.,0.,0.)); #28407=DIRECTION('center_axis',(0.,0.,-1.)); #28408=DIRECTION('ref_axis',(1.,0.,0.)); #28409=DIRECTION('center_axis',(0.,0.,1.)); #28410=DIRECTION('ref_axis',(1.,0.,0.)); #28411=DIRECTION('center_axis',(0.,0.,1.)); #28412=DIRECTION('ref_axis',(1.,0.,0.)); #28413=DIRECTION('center_axis',(0.,0.,-1.)); #28414=DIRECTION('ref_axis',(1.,0.,0.)); #28415=DIRECTION('center_axis',(0.,0.,1.)); #28416=DIRECTION('ref_axis',(1.,0.,0.)); #28417=DIRECTION('center_axis',(0.,0.,1.)); #28418=DIRECTION('ref_axis',(1.,0.,0.)); #28419=DIRECTION('center_axis',(0.,0.,-1.)); #28420=DIRECTION('ref_axis',(1.,0.,0.)); #28421=DIRECTION('center_axis',(0.,0.,1.)); #28422=DIRECTION('ref_axis',(1.,0.,0.)); #28423=DIRECTION('center_axis',(0.,0.,1.)); #28424=DIRECTION('ref_axis',(1.,0.,0.)); #28425=DIRECTION('center_axis',(0.,0.,-1.)); #28426=DIRECTION('ref_axis',(1.,0.,0.)); #28427=DIRECTION('center_axis',(0.,0.,1.)); #28428=DIRECTION('ref_axis',(1.,0.,0.)); #28429=DIRECTION('center_axis',(0.,0.,1.)); #28430=DIRECTION('ref_axis',(1.,0.,0.)); #28431=DIRECTION('center_axis',(0.,0.,-1.)); #28432=DIRECTION('ref_axis',(1.,0.,0.)); #28433=DIRECTION('center_axis',(0.,0.,1.)); #28434=DIRECTION('ref_axis',(1.,0.,0.)); #28435=DIRECTION('center_axis',(0.,0.,1.)); #28436=DIRECTION('ref_axis',(1.,0.,0.)); #28437=DIRECTION('center_axis',(0.,0.,-1.)); #28438=DIRECTION('ref_axis',(1.,0.,0.)); #28439=DIRECTION('center_axis',(0.,0.,1.)); #28440=DIRECTION('ref_axis',(1.,0.,0.)); #28441=DIRECTION('center_axis',(0.,0.,1.)); #28442=DIRECTION('ref_axis',(1.,0.,0.)); #28443=DIRECTION('center_axis',(0.,0.,-1.)); #28444=DIRECTION('ref_axis',(1.,0.,0.)); #28445=DIRECTION('center_axis',(0.,0.,1.)); #28446=DIRECTION('ref_axis',(1.,0.,0.)); #28447=DIRECTION('center_axis',(0.,0.,1.)); #28448=DIRECTION('ref_axis',(1.,0.,0.)); #28449=DIRECTION('center_axis',(0.,0.,-1.)); #28450=DIRECTION('ref_axis',(1.,0.,0.)); #28451=DIRECTION('center_axis',(0.,0.,1.)); #28452=DIRECTION('ref_axis',(1.,0.,0.)); #28453=DIRECTION('center_axis',(0.,0.,1.)); #28454=DIRECTION('ref_axis',(1.,0.,0.)); #28455=DIRECTION('center_axis',(0.,0.,-1.)); #28456=DIRECTION('ref_axis',(1.,0.,0.)); #28457=DIRECTION('center_axis',(0.,0.,1.)); #28458=DIRECTION('ref_axis',(1.,0.,0.)); #28459=DIRECTION('center_axis',(0.,0.,1.)); #28460=DIRECTION('ref_axis',(1.,0.,0.)); #28461=DIRECTION('center_axis',(0.,0.,-1.)); #28462=DIRECTION('ref_axis',(1.,0.,0.)); #28463=DIRECTION('center_axis',(0.,0.,1.)); #28464=DIRECTION('ref_axis',(1.,0.,0.)); #28465=DIRECTION('center_axis',(0.,0.,1.)); #28466=DIRECTION('ref_axis',(1.,0.,0.)); #28467=DIRECTION('center_axis',(0.,0.,-1.)); #28468=DIRECTION('ref_axis',(1.,0.,0.)); #28469=DIRECTION('center_axis',(0.,0.,1.)); #28470=DIRECTION('ref_axis',(1.,0.,0.)); #28471=DIRECTION('center_axis',(0.,0.,1.)); #28472=DIRECTION('ref_axis',(1.,0.,0.)); #28473=DIRECTION('center_axis',(0.,0.,-1.)); #28474=DIRECTION('ref_axis',(1.,0.,0.)); #28475=DIRECTION('center_axis',(0.,0.,1.)); #28476=DIRECTION('ref_axis',(1.,0.,0.)); #28477=DIRECTION('center_axis',(0.,0.,1.)); #28478=DIRECTION('ref_axis',(1.,0.,0.)); #28479=DIRECTION('center_axis',(0.,0.,-1.)); #28480=DIRECTION('ref_axis',(1.,0.,0.)); #28481=DIRECTION('center_axis',(0.,0.,1.)); #28482=DIRECTION('ref_axis',(1.,0.,0.)); #28483=DIRECTION('center_axis',(0.,0.,1.)); #28484=DIRECTION('ref_axis',(1.,0.,0.)); #28485=DIRECTION('center_axis',(0.,0.,-1.)); #28486=DIRECTION('ref_axis',(1.,0.,0.)); #28487=DIRECTION('center_axis',(0.,0.,1.)); #28488=DIRECTION('ref_axis',(1.,0.,0.)); #28489=DIRECTION('center_axis',(0.,0.,1.)); #28490=DIRECTION('ref_axis',(1.,0.,0.)); #28491=DIRECTION('center_axis',(0.,0.,-1.)); #28492=DIRECTION('ref_axis',(1.,0.,0.)); #28493=DIRECTION('center_axis',(0.,0.,1.)); #28494=DIRECTION('ref_axis',(1.,0.,0.)); #28495=DIRECTION('center_axis',(0.,0.,1.)); #28496=DIRECTION('ref_axis',(1.,0.,0.)); #28497=DIRECTION('center_axis',(0.,0.,-1.)); #28498=DIRECTION('ref_axis',(1.,0.,0.)); #28499=DIRECTION('center_axis',(0.,0.,1.)); #28500=DIRECTION('ref_axis',(1.,0.,0.)); #28501=DIRECTION('center_axis',(0.,0.,1.)); #28502=DIRECTION('ref_axis',(1.,0.,0.)); #28503=DIRECTION('center_axis',(0.,0.,-1.)); #28504=DIRECTION('ref_axis',(1.,0.,0.)); #28505=DIRECTION('center_axis',(0.,0.,1.)); #28506=DIRECTION('ref_axis',(1.,0.,0.)); #28507=DIRECTION('center_axis',(0.,0.,1.)); #28508=DIRECTION('ref_axis',(1.,0.,0.)); #28509=DIRECTION('center_axis',(0.,0.,-1.)); #28510=DIRECTION('ref_axis',(1.,0.,0.)); #28511=DIRECTION('center_axis',(0.,0.,1.)); #28512=DIRECTION('ref_axis',(1.,0.,0.)); #28513=DIRECTION('center_axis',(0.,0.,1.)); #28514=DIRECTION('ref_axis',(1.,0.,0.)); #28515=DIRECTION('center_axis',(0.,0.,-1.)); #28516=DIRECTION('ref_axis',(1.,0.,0.)); #28517=DIRECTION('center_axis',(0.,0.,1.)); #28518=DIRECTION('ref_axis',(1.,0.,0.)); #28519=DIRECTION('center_axis',(0.,0.,1.)); #28520=DIRECTION('ref_axis',(1.,0.,0.)); #28521=DIRECTION('center_axis',(0.,0.,-1.)); #28522=DIRECTION('ref_axis',(1.,0.,0.)); #28523=DIRECTION('center_axis',(0.,0.,1.)); #28524=DIRECTION('ref_axis',(1.,0.,0.)); #28525=DIRECTION('center_axis',(0.,0.,1.)); #28526=DIRECTION('ref_axis',(1.,0.,0.)); #28527=DIRECTION('center_axis',(0.,0.,-1.)); #28528=DIRECTION('ref_axis',(1.,0.,0.)); #28529=DIRECTION('center_axis',(0.,0.,1.)); #28530=DIRECTION('ref_axis',(1.,0.,0.)); #28531=DIRECTION('center_axis',(0.,0.,1.)); #28532=DIRECTION('ref_axis',(1.,0.,0.)); #28533=DIRECTION('center_axis',(0.,0.,-1.)); #28534=DIRECTION('ref_axis',(1.,0.,0.)); #28535=DIRECTION('center_axis',(0.,0.,1.)); #28536=DIRECTION('ref_axis',(1.,0.,0.)); #28537=DIRECTION('center_axis',(0.,0.,1.)); #28538=DIRECTION('ref_axis',(1.,0.,0.)); #28539=DIRECTION('center_axis',(0.,0.,-1.)); #28540=DIRECTION('ref_axis',(1.,0.,0.)); #28541=DIRECTION('center_axis',(0.,0.,1.)); #28542=DIRECTION('ref_axis',(1.,0.,0.)); #28543=DIRECTION('center_axis',(0.,0.,1.)); #28544=DIRECTION('ref_axis',(1.,0.,0.)); #28545=DIRECTION('center_axis',(0.,0.,-1.)); #28546=DIRECTION('ref_axis',(1.,0.,0.)); #28547=DIRECTION('center_axis',(0.,0.,1.)); #28548=DIRECTION('ref_axis',(1.,0.,0.)); #28549=DIRECTION('center_axis',(0.,0.,1.)); #28550=DIRECTION('ref_axis',(1.,0.,0.)); #28551=DIRECTION('center_axis',(0.,0.,-1.)); #28552=DIRECTION('ref_axis',(1.,0.,0.)); #28553=DIRECTION('center_axis',(0.,0.,1.)); #28554=DIRECTION('ref_axis',(1.,0.,0.)); #28555=DIRECTION('center_axis',(0.,0.,1.)); #28556=DIRECTION('ref_axis',(1.,0.,0.)); #28557=DIRECTION('center_axis',(0.,0.,-1.)); #28558=DIRECTION('ref_axis',(1.,0.,0.)); #28559=DIRECTION('center_axis',(0.,0.,1.)); #28560=DIRECTION('ref_axis',(1.,0.,0.)); #28561=DIRECTION('center_axis',(0.,0.,1.)); #28562=DIRECTION('ref_axis',(1.,0.,0.)); #28563=DIRECTION('center_axis',(0.,0.,-1.)); #28564=DIRECTION('ref_axis',(1.,0.,0.)); #28565=DIRECTION('center_axis',(0.,0.,1.)); #28566=DIRECTION('ref_axis',(1.,0.,0.)); #28567=DIRECTION('center_axis',(0.,0.,1.)); #28568=DIRECTION('ref_axis',(1.,0.,0.)); #28569=DIRECTION('center_axis',(0.,0.,-1.)); #28570=DIRECTION('ref_axis',(1.,0.,0.)); #28571=DIRECTION('center_axis',(0.,0.,1.)); #28572=DIRECTION('ref_axis',(1.,0.,0.)); #28573=DIRECTION('center_axis',(0.,0.,1.)); #28574=DIRECTION('ref_axis',(1.,0.,0.)); #28575=DIRECTION('center_axis',(0.,0.,-1.)); #28576=DIRECTION('ref_axis',(1.,0.,0.)); #28577=DIRECTION('center_axis',(0.,0.,1.)); #28578=DIRECTION('ref_axis',(1.,0.,0.)); #28579=DIRECTION('center_axis',(0.,0.,1.)); #28580=DIRECTION('ref_axis',(1.,0.,0.)); #28581=DIRECTION('center_axis',(0.,0.,-1.)); #28582=DIRECTION('ref_axis',(1.,0.,0.)); #28583=DIRECTION('center_axis',(0.,0.,1.)); #28584=DIRECTION('ref_axis',(1.,0.,0.)); #28585=DIRECTION('center_axis',(0.,0.,1.)); #28586=DIRECTION('ref_axis',(1.,0.,0.)); #28587=DIRECTION('center_axis',(0.,0.,-1.)); #28588=DIRECTION('ref_axis',(1.,0.,0.)); #28589=DIRECTION('center_axis',(0.,0.,1.)); #28590=DIRECTION('ref_axis',(1.,0.,0.)); #28591=DIRECTION('center_axis',(0.,0.,1.)); #28592=DIRECTION('ref_axis',(1.,0.,0.)); #28593=DIRECTION('center_axis',(0.,0.,-1.)); #28594=DIRECTION('ref_axis',(1.,0.,0.)); #28595=DIRECTION('center_axis',(0.,0.,1.)); #28596=DIRECTION('ref_axis',(1.,0.,0.)); #28597=DIRECTION('center_axis',(0.,0.,1.)); #28598=DIRECTION('ref_axis',(1.,0.,0.)); #28599=DIRECTION('center_axis',(0.,0.,-1.)); #28600=DIRECTION('ref_axis',(1.,0.,0.)); #28601=DIRECTION('center_axis',(0.,0.,1.)); #28602=DIRECTION('ref_axis',(1.,0.,0.)); #28603=DIRECTION('center_axis',(0.,0.,1.)); #28604=DIRECTION('ref_axis',(1.,0.,0.)); #28605=DIRECTION('center_axis',(0.,0.,-1.)); #28606=DIRECTION('ref_axis',(1.,0.,0.)); #28607=DIRECTION('center_axis',(0.,0.,1.)); #28608=DIRECTION('ref_axis',(1.,0.,0.)); #28609=DIRECTION('center_axis',(0.,0.,1.)); #28610=DIRECTION('ref_axis',(1.,0.,0.)); #28611=DIRECTION('center_axis',(0.,0.,-1.)); #28612=DIRECTION('ref_axis',(1.,0.,0.)); #28613=DIRECTION('center_axis',(0.,0.,1.)); #28614=DIRECTION('ref_axis',(1.,0.,0.)); #28615=DIRECTION('center_axis',(0.,0.,1.)); #28616=DIRECTION('ref_axis',(1.,0.,0.)); #28617=DIRECTION('center_axis',(0.,0.,-1.)); #28618=DIRECTION('ref_axis',(1.,0.,0.)); #28619=DIRECTION('center_axis',(0.,0.,1.)); #28620=DIRECTION('ref_axis',(1.,0.,0.)); #28621=DIRECTION('center_axis',(0.,0.,1.)); #28622=DIRECTION('ref_axis',(1.,0.,0.)); #28623=DIRECTION('center_axis',(0.,0.,-1.)); #28624=DIRECTION('ref_axis',(1.,0.,0.)); #28625=DIRECTION('center_axis',(0.,0.,1.)); #28626=DIRECTION('ref_axis',(1.,0.,0.)); #28627=DIRECTION('center_axis',(0.,0.,1.)); #28628=DIRECTION('ref_axis',(1.,0.,0.)); #28629=DIRECTION('center_axis',(0.,0.,-1.)); #28630=DIRECTION('ref_axis',(1.,0.,0.)); #28631=DIRECTION('center_axis',(0.,0.,1.)); #28632=DIRECTION('ref_axis',(1.,0.,0.)); #28633=DIRECTION('center_axis',(0.,0.,1.)); #28634=DIRECTION('ref_axis',(1.,0.,0.)); #28635=DIRECTION('center_axis',(0.,0.,-1.)); #28636=DIRECTION('ref_axis',(1.,0.,0.)); #28637=DIRECTION('center_axis',(0.,0.,1.)); #28638=DIRECTION('ref_axis',(1.,0.,0.)); #28639=DIRECTION('center_axis',(0.,0.,1.)); #28640=DIRECTION('ref_axis',(1.,0.,0.)); #28641=DIRECTION('center_axis',(0.,0.,-1.)); #28642=DIRECTION('ref_axis',(1.,0.,0.)); #28643=DIRECTION('center_axis',(0.,0.,1.)); #28644=DIRECTION('ref_axis',(1.,0.,0.)); #28645=DIRECTION('center_axis',(0.,0.,1.)); #28646=DIRECTION('ref_axis',(1.,0.,0.)); #28647=DIRECTION('center_axis',(0.,0.,-1.)); #28648=DIRECTION('ref_axis',(1.,0.,0.)); #28649=DIRECTION('center_axis',(0.,0.,1.)); #28650=DIRECTION('ref_axis',(1.,0.,0.)); #28651=DIRECTION('center_axis',(0.,0.,1.)); #28652=DIRECTION('ref_axis',(1.,0.,0.)); #28653=DIRECTION('center_axis',(0.,0.,-1.)); #28654=DIRECTION('ref_axis',(1.,0.,0.)); #28655=DIRECTION('center_axis',(0.,0.,1.)); #28656=DIRECTION('ref_axis',(1.,0.,0.)); #28657=DIRECTION('center_axis',(0.,0.,1.)); #28658=DIRECTION('ref_axis',(1.,0.,0.)); #28659=DIRECTION('center_axis',(0.,0.,-1.)); #28660=DIRECTION('ref_axis',(1.,0.,0.)); #28661=DIRECTION('center_axis',(0.,0.,1.)); #28662=DIRECTION('ref_axis',(1.,0.,0.)); #28663=DIRECTION('center_axis',(0.,0.,1.)); #28664=DIRECTION('ref_axis',(1.,0.,0.)); #28665=DIRECTION('center_axis',(0.,0.,-1.)); #28666=DIRECTION('ref_axis',(1.,0.,0.)); #28667=DIRECTION('center_axis',(0.,0.,1.)); #28668=DIRECTION('ref_axis',(1.,0.,0.)); #28669=DIRECTION('center_axis',(0.,0.,1.)); #28670=DIRECTION('ref_axis',(1.,0.,0.)); #28671=DIRECTION('center_axis',(0.,0.,-1.)); #28672=DIRECTION('ref_axis',(1.,0.,0.)); #28673=DIRECTION('center_axis',(0.,0.,1.)); #28674=DIRECTION('ref_axis',(1.,0.,0.)); #28675=DIRECTION('center_axis',(0.,0.,1.)); #28676=DIRECTION('ref_axis',(1.,0.,0.)); #28677=DIRECTION('center_axis',(0.,0.,-1.)); #28678=DIRECTION('ref_axis',(1.,0.,0.)); #28679=DIRECTION('center_axis',(0.,0.,1.)); #28680=DIRECTION('ref_axis',(1.,0.,0.)); #28681=DIRECTION('center_axis',(0.,0.,1.)); #28682=DIRECTION('ref_axis',(1.,0.,0.)); #28683=DIRECTION('center_axis',(0.,0.,-1.)); #28684=DIRECTION('ref_axis',(1.,0.,0.)); #28685=DIRECTION('center_axis',(0.,0.,1.)); #28686=DIRECTION('ref_axis',(1.,0.,0.)); #28687=DIRECTION('center_axis',(0.,0.,1.)); #28688=DIRECTION('ref_axis',(1.,0.,0.)); #28689=DIRECTION('center_axis',(0.,0.,-1.)); #28690=DIRECTION('ref_axis',(1.,0.,0.)); #28691=DIRECTION('center_axis',(0.,0.,1.)); #28692=DIRECTION('ref_axis',(1.,0.,0.)); #28693=DIRECTION('center_axis',(0.,0.,1.)); #28694=DIRECTION('ref_axis',(1.,0.,0.)); #28695=DIRECTION('center_axis',(0.,0.,-1.)); #28696=DIRECTION('ref_axis',(1.,0.,0.)); #28697=DIRECTION('center_axis',(0.,0.,1.)); #28698=DIRECTION('ref_axis',(1.,0.,0.)); #28699=DIRECTION('center_axis',(0.,0.,1.)); #28700=DIRECTION('ref_axis',(1.,0.,0.)); #28701=DIRECTION('center_axis',(0.,0.,-1.)); #28702=DIRECTION('ref_axis',(1.,0.,0.)); #28703=DIRECTION('center_axis',(0.,0.,1.)); #28704=DIRECTION('ref_axis',(1.,0.,0.)); #28705=DIRECTION('center_axis',(0.,0.,1.)); #28706=DIRECTION('ref_axis',(1.,0.,0.)); #28707=DIRECTION('center_axis',(0.,0.,-1.)); #28708=DIRECTION('ref_axis',(1.,0.,0.)); #28709=DIRECTION('center_axis',(0.,0.,1.)); #28710=DIRECTION('ref_axis',(1.,0.,0.)); #28711=DIRECTION('center_axis',(0.,0.,1.)); #28712=DIRECTION('ref_axis',(1.,0.,0.)); #28713=DIRECTION('center_axis',(0.,0.,-1.)); #28714=DIRECTION('ref_axis',(1.,0.,0.)); #28715=DIRECTION('center_axis',(0.,0.,1.)); #28716=DIRECTION('ref_axis',(1.,0.,0.)); #28717=DIRECTION('center_axis',(0.,0.,1.)); #28718=DIRECTION('ref_axis',(1.,0.,0.)); #28719=DIRECTION('center_axis',(0.,0.,-1.)); #28720=DIRECTION('ref_axis',(1.,0.,0.)); #28721=DIRECTION('center_axis',(0.,0.,1.)); #28722=DIRECTION('ref_axis',(1.,0.,0.)); #28723=DIRECTION('center_axis',(0.,0.,1.)); #28724=DIRECTION('ref_axis',(1.,0.,0.)); #28725=DIRECTION('center_axis',(0.,0.,-1.)); #28726=DIRECTION('ref_axis',(1.,0.,0.)); #28727=DIRECTION('center_axis',(0.,0.,1.)); #28728=DIRECTION('ref_axis',(1.,0.,0.)); #28729=DIRECTION('center_axis',(0.,0.,1.)); #28730=DIRECTION('ref_axis',(1.,0.,0.)); #28731=DIRECTION('center_axis',(0.,0.,-1.)); #28732=DIRECTION('ref_axis',(1.,0.,0.)); #28733=DIRECTION('center_axis',(0.,0.,-1.)); #28734=DIRECTION('ref_axis',(0.969656758600975,0.244470387776208,0.)); #28735=DIRECTION('center_axis',(0.,0.,-1.)); #28736=DIRECTION('ref_axis',(0.969656758600975,0.244470387776208,0.)); #28737=DIRECTION('',(0.,0.,1.)); #28738=DIRECTION('center_axis',(-8.24480130503167E-18,-6.35231017624189E-18, -1.)); #28739=DIRECTION('ref_axis',(0.309016994374942,-0.951056516295155,6.05395677266327E-18)); #28740=DIRECTION('',(0.,0.,1.)); #28741=DIRECTION('center_axis',(0.,0.,-1.)); #28742=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #28743=DIRECTION('center_axis',(0.,0.,-1.)); #28744=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #28745=DIRECTION('center_axis',(6.69113093339049E-33,-1.27359879375532E-18, -1.)); #28746=DIRECTION('ref_axis',(-0.969656758600976,-0.244470387776207,3.11357190980656E-19)); #28747=DIRECTION('',(0.,0.,1.)); #28748=DIRECTION('center_axis',(0.,0.,-1.)); #28749=DIRECTION('ref_axis',(-0.309016994374898,-0.95105651629517,0.)); #28750=DIRECTION('center_axis',(0.,0.,-1.)); #28751=DIRECTION('ref_axis',(-0.309016994374898,-0.95105651629517,0.)); #28752=DIRECTION('center_axis',(-8.24480130503296E-18,6.35231017624295E-18, -1.)); #28753=DIRECTION('ref_axis',(-0.969656758600976,0.244470387776206,1.21079135453281E-17)); #28754=DIRECTION('',(0.,0.,1.)); #28755=DIRECTION('center_axis',(0.309016994374899,0.951056516295169,0.)); #28756=DIRECTION('ref_axis',(0.,0.,1.)); #28757=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #28758=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #28759=DIRECTION('',(0.,0.,1.)); #28760=DIRECTION('center_axis',(0.,0.,-1.)); #28761=DIRECTION('ref_axis',(0.969656758600975,0.244470387776208,0.)); #28762=DIRECTION('',(0.,0.,-1.)); #28763=DIRECTION('center_axis',(-8.62716890178675E-18,-6.64691006847336E-18, -1.)); #28764=DIRECTION('ref_axis',(0.30901699437494,-0.951056516295156,6.3347199853085E-18)); #28765=DIRECTION('',(0.,0.,-1.)); #28766=DIRECTION('center_axis',(-0.309016994374951,0.951056516295153,0.)); #28767=DIRECTION('ref_axis',(0.,0.,-1.)); #28768=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #28769=DIRECTION('',(0.,0.,-1.)); #28770=DIRECTION('center_axis',(0.,0.,-1.)); #28771=DIRECTION('ref_axis',(-0.30901699437495,0.951056516295153,0.)); #28772=DIRECTION('center_axis',(1.33695892132488E-17,-2.34855700628114E-18, -1.)); #28773=DIRECTION('ref_axis',(0.982561608838466,0.185937314266841,1.26694399706169E-17)); #28774=DIRECTION('',(0.,0.,-1.)); #28775=DIRECTION('center_axis',(0.,0.,1.)); #28776=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #28777=DIRECTION('center_axis',(1.97023395686904E-17,1.84781148179411E-18, 1.)); #28778=DIRECTION('ref_axis',(-0.982561608838466,0.18593731426684,1.92403178349881E-17)); #28779=DIRECTION('',(0.,0.,1.)); #28780=DIRECTION('center_axis',(0.,0.,-1.)); #28781=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266838,0.)); #28782=DIRECTION('center_axis',(0.,0.,-1.)); #28783=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266838,0.)); #28784=DIRECTION('center_axis',(0.,0.,-1.)); #28785=DIRECTION('ref_axis',(0.309016994374905,0.951056516295167,0.)); #28786=DIRECTION('',(0.,0.,-1.)); #28787=DIRECTION('center_axis',(0.,0.,-1.)); #28788=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266838,0.)); #28789=DIRECTION('center_axis',(0.,0.,-1.)); #28790=DIRECTION('ref_axis',(0.309016994374906,0.951056516295167,0.)); #28791=DIRECTION('',(0.,0.,-1.)); #28792=DIRECTION('center_axis',(-0.309016994374899,-0.951056516295169,0.)); #28793=DIRECTION('ref_axis',(0.,0.,-1.)); #28794=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #28795=DIRECTION('',(0.,0.,-1.)); #28796=DIRECTION('center_axis',(0.,0.,-1.)); #28797=DIRECTION('ref_axis',(-0.309016994374898,-0.95105651629517,0.)); #28798=DIRECTION('center_axis',(-8.62716890178814E-18,6.64691006847454E-18, -1.)); #28799=DIRECTION('ref_axis',(-0.969656758600975,0.244470387776208,1.26694399706187E-17)); #28800=DIRECTION('',(0.,0.,-1.)); #28801=DIRECTION('center_axis',(0.,0.,-1.)); #28802=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #28803=DIRECTION('center_axis',(5.88152242091016E-33,-1.27650854653977E-18, -1.)); #28804=DIRECTION('ref_axis',(-0.969656758600975,-0.244470387776208,3.12068539372204E-19)); #28805=DIRECTION('center_axis',(0.309016994374951,-0.951056516295153,0.)); #28806=DIRECTION('ref_axis',(0.,0.,1.)); #28807=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #28808=DIRECTION('',(0.,0.,1.)); #28809=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #28810=DIRECTION('center_axis',(0.,0.,-1.)); #28811=DIRECTION('ref_axis',(0.309016994374962,-0.951056516295149,0.)); #28812=DIRECTION('center_axis',(1.27770312425786E-17,-2.2444658370277E-18, -1.)); #28813=DIRECTION('ref_axis',(0.982561608838466,0.185937314266841,1.21079135453264E-17)); #28814=DIRECTION('center_axis',(1.98019571037054E-17,1.85715425168938E-18, 1.)); #28815=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266841,1.93375993293479E-17)); #28816=DIRECTION('center_axis',(0.,0.,-1.)); #28817=DIRECTION('ref_axis',(-0.30901699437495,0.951056516295153,0.)); #28818=DIRECTION('center_axis',(0.,0.,-1.)); #28819=DIRECTION('ref_axis',(-0.30901699437495,0.951056516295153,0.)); #28820=DIRECTION('',(0.,0.,1.)); #28821=DIRECTION('center_axis',(0.,0.,1.)); #28822=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #28823=DIRECTION('center_axis',(0.,0.,1.)); #28824=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #28825=DIRECTION('center_axis',(0.,0.,1.)); #28826=DIRECTION('ref_axis',(1.,0.,0.)); #28827=DIRECTION('center_axis',(0.,0.,1.)); #28828=DIRECTION('ref_axis',(1.,0.,0.)); #28829=DIRECTION('center_axis',(0.,0.,1.)); #28830=DIRECTION('ref_axis',(1.,0.,0.)); #28831=DIRECTION('center_axis',(0.,0.,-1.)); #28832=DIRECTION('ref_axis',(1.,0.,0.)); #28833=DIRECTION('center_axis',(0.,0.,1.)); #28834=DIRECTION('ref_axis',(1.,0.,0.)); #28835=DIRECTION('center_axis',(0.,0.,1.)); #28836=DIRECTION('ref_axis',(1.,0.,0.)); #28837=DIRECTION('center_axis',(0.,0.,1.)); #28838=DIRECTION('ref_axis',(1.,0.,0.)); #28839=DIRECTION('center_axis',(0.,0.,-1.)); #28840=DIRECTION('ref_axis',(1.,0.,0.)); #28841=DIRECTION('center_axis',(0.,0.,1.)); #28842=DIRECTION('ref_axis',(1.,0.,0.)); #28843=DIRECTION('center_axis',(0.,0.,1.)); #28844=DIRECTION('ref_axis',(1.,0.,0.)); #28845=DIRECTION('center_axis',(0.,0.,1.)); #28846=DIRECTION('ref_axis',(1.,0.,0.)); #28847=DIRECTION('center_axis',(0.,0.,-1.)); #28848=DIRECTION('ref_axis',(1.,0.,0.)); #28849=DIRECTION('center_axis',(0.,0.,1.)); #28850=DIRECTION('ref_axis',(1.,0.,0.)); #28851=DIRECTION('center_axis',(0.,0.,1.)); #28852=DIRECTION('ref_axis',(1.,0.,0.)); #28853=DIRECTION('center_axis',(0.,0.,1.)); #28854=DIRECTION('ref_axis',(1.,0.,0.)); #28855=DIRECTION('center_axis',(0.,0.,-1.)); #28856=DIRECTION('ref_axis',(1.,0.,0.)); #28857=DIRECTION('center_axis',(0.,0.,1.)); #28858=DIRECTION('ref_axis',(1.,0.,0.)); #28859=DIRECTION('center_axis',(0.,0.,1.)); #28860=DIRECTION('ref_axis',(1.,0.,0.)); #28861=DIRECTION('center_axis',(0.,0.,1.)); #28862=DIRECTION('ref_axis',(1.,0.,0.)); #28863=DIRECTION('center_axis',(0.,0.,-1.)); #28864=DIRECTION('ref_axis',(1.,0.,0.)); #28865=DIRECTION('center_axis',(0.,0.,1.)); #28866=DIRECTION('ref_axis',(1.,0.,0.)); #28867=DIRECTION('center_axis',(0.,0.,1.)); #28868=DIRECTION('ref_axis',(1.,0.,0.)); #28869=DIRECTION('center_axis',(0.,0.,1.)); #28870=DIRECTION('ref_axis',(1.,0.,0.)); #28871=DIRECTION('center_axis',(0.,0.,-1.)); #28872=DIRECTION('ref_axis',(1.,0.,0.)); #28873=DIRECTION('center_axis',(0.,0.,1.)); #28874=DIRECTION('ref_axis',(1.,0.,0.)); #28875=DIRECTION('center_axis',(0.,0.,1.)); #28876=DIRECTION('ref_axis',(1.,0.,0.)); #28877=DIRECTION('center_axis',(0.,0.,1.)); #28878=DIRECTION('ref_axis',(1.,0.,0.)); #28879=DIRECTION('center_axis',(0.,0.,-1.)); #28880=DIRECTION('ref_axis',(1.,0.,0.)); #28881=DIRECTION('center_axis',(0.,0.,1.)); #28882=DIRECTION('ref_axis',(1.,0.,0.)); #28883=DIRECTION('center_axis',(0.,0.,1.)); #28884=DIRECTION('ref_axis',(1.,0.,0.)); #28885=DIRECTION('center_axis',(0.,0.,1.)); #28886=DIRECTION('ref_axis',(1.,0.,0.)); #28887=DIRECTION('center_axis',(0.,0.,-1.)); #28888=DIRECTION('ref_axis',(1.,0.,0.)); #28889=DIRECTION('center_axis',(0.,0.,1.)); #28890=DIRECTION('ref_axis',(1.,0.,0.)); #28891=DIRECTION('center_axis',(0.,0.,1.)); #28892=DIRECTION('ref_axis',(1.,0.,0.)); #28893=DIRECTION('center_axis',(0.,0.,1.)); #28894=DIRECTION('ref_axis',(1.,0.,0.)); #28895=DIRECTION('center_axis',(0.,0.,-1.)); #28896=DIRECTION('ref_axis',(1.,0.,0.)); #28897=DIRECTION('center_axis',(0.,0.,1.)); #28898=DIRECTION('ref_axis',(1.,0.,0.)); #28899=DIRECTION('center_axis',(0.,0.,1.)); #28900=DIRECTION('ref_axis',(1.,0.,0.)); #28901=DIRECTION('center_axis',(0.,0.,1.)); #28902=DIRECTION('ref_axis',(1.,0.,0.)); #28903=DIRECTION('center_axis',(0.,0.,-1.)); #28904=DIRECTION('ref_axis',(1.,0.,0.)); #28905=DIRECTION('center_axis',(0.,0.,1.)); #28906=DIRECTION('ref_axis',(1.,0.,0.)); #28907=DIRECTION('center_axis',(0.,0.,1.)); #28908=DIRECTION('ref_axis',(1.,0.,0.)); #28909=DIRECTION('center_axis',(0.,0.,1.)); #28910=DIRECTION('ref_axis',(1.,0.,0.)); #28911=DIRECTION('center_axis',(0.,0.,-1.)); #28912=DIRECTION('ref_axis',(1.,0.,0.)); #28913=DIRECTION('center_axis',(0.,0.,1.)); #28914=DIRECTION('ref_axis',(1.,0.,0.)); #28915=DIRECTION('center_axis',(0.,0.,1.)); #28916=DIRECTION('ref_axis',(1.,0.,0.)); #28917=DIRECTION('center_axis',(0.,0.,1.)); #28918=DIRECTION('ref_axis',(1.,0.,0.)); #28919=DIRECTION('center_axis',(0.,0.,-1.)); #28920=DIRECTION('ref_axis',(1.,0.,0.)); #28921=DIRECTION('center_axis',(0.,0.,1.)); #28922=DIRECTION('ref_axis',(1.,0.,0.)); #28923=DIRECTION('center_axis',(0.,0.,1.)); #28924=DIRECTION('ref_axis',(1.,0.,0.)); #28925=DIRECTION('center_axis',(0.,0.,1.)); #28926=DIRECTION('ref_axis',(1.,0.,0.)); #28927=DIRECTION('center_axis',(0.,0.,-1.)); #28928=DIRECTION('ref_axis',(1.,0.,0.)); #28929=DIRECTION('center_axis',(0.,0.,1.)); #28930=DIRECTION('ref_axis',(1.,0.,0.)); #28931=DIRECTION('center_axis',(0.,0.,1.)); #28932=DIRECTION('ref_axis',(1.,0.,0.)); #28933=DIRECTION('center_axis',(0.,0.,1.)); #28934=DIRECTION('ref_axis',(1.,0.,0.)); #28935=DIRECTION('center_axis',(0.,0.,-1.)); #28936=DIRECTION('ref_axis',(1.,0.,0.)); #28937=DIRECTION('center_axis',(0.,0.,1.)); #28938=DIRECTION('ref_axis',(1.,0.,0.)); #28939=DIRECTION('center_axis',(0.,0.,1.)); #28940=DIRECTION('ref_axis',(1.,0.,0.)); #28941=DIRECTION('center_axis',(0.,0.,1.)); #28942=DIRECTION('ref_axis',(1.,0.,0.)); #28943=DIRECTION('center_axis',(0.,0.,-1.)); #28944=DIRECTION('ref_axis',(1.,0.,0.)); #28945=DIRECTION('center_axis',(0.,0.,1.)); #28946=DIRECTION('ref_axis',(1.,0.,0.)); #28947=DIRECTION('center_axis',(0.,0.,1.)); #28948=DIRECTION('ref_axis',(1.,0.,0.)); #28949=DIRECTION('center_axis',(0.,0.,1.)); #28950=DIRECTION('ref_axis',(1.,0.,0.)); #28951=DIRECTION('center_axis',(0.,0.,-1.)); #28952=DIRECTION('ref_axis',(1.,0.,0.)); #28953=DIRECTION('center_axis',(0.,0.,1.)); #28954=DIRECTION('ref_axis',(1.,0.,0.)); #28955=DIRECTION('center_axis',(0.,0.,1.)); #28956=DIRECTION('ref_axis',(1.,0.,0.)); #28957=DIRECTION('center_axis',(0.,0.,1.)); #28958=DIRECTION('ref_axis',(1.,0.,0.)); #28959=DIRECTION('center_axis',(0.,0.,-1.)); #28960=DIRECTION('ref_axis',(1.,0.,0.)); #28961=DIRECTION('center_axis',(0.,0.,1.)); #28962=DIRECTION('ref_axis',(1.,0.,0.)); #28963=DIRECTION('center_axis',(0.,0.,1.)); #28964=DIRECTION('ref_axis',(1.,0.,0.)); #28965=DIRECTION('center_axis',(0.,0.,1.)); #28966=DIRECTION('ref_axis',(1.,0.,0.)); #28967=DIRECTION('center_axis',(0.,0.,-1.)); #28968=DIRECTION('ref_axis',(1.,0.,0.)); #28969=DIRECTION('center_axis',(0.,0.,1.)); #28970=DIRECTION('ref_axis',(1.,0.,0.)); #28971=DIRECTION('center_axis',(0.,0.,1.)); #28972=DIRECTION('ref_axis',(1.,0.,0.)); #28973=DIRECTION('center_axis',(0.,0.,1.)); #28974=DIRECTION('ref_axis',(1.,0.,0.)); #28975=DIRECTION('center_axis',(0.,0.,-1.)); #28976=DIRECTION('ref_axis',(1.,0.,0.)); #28977=DIRECTION('center_axis',(0.,0.,1.)); #28978=DIRECTION('ref_axis',(1.,0.,0.)); #28979=DIRECTION('center_axis',(0.,0.,1.)); #28980=DIRECTION('ref_axis',(1.,0.,0.)); #28981=DIRECTION('center_axis',(0.,0.,1.)); #28982=DIRECTION('ref_axis',(1.,0.,0.)); #28983=DIRECTION('center_axis',(0.,0.,-1.)); #28984=DIRECTION('ref_axis',(1.,0.,0.)); #28985=DIRECTION('center_axis',(0.,0.,1.)); #28986=DIRECTION('ref_axis',(1.,0.,0.)); #28987=DIRECTION('center_axis',(0.,0.,1.)); #28988=DIRECTION('ref_axis',(1.,0.,0.)); #28989=DIRECTION('center_axis',(0.,0.,1.)); #28990=DIRECTION('ref_axis',(1.,0.,0.)); #28991=DIRECTION('center_axis',(0.,0.,-1.)); #28992=DIRECTION('ref_axis',(1.,0.,0.)); #28993=DIRECTION('center_axis',(0.,0.,1.)); #28994=DIRECTION('ref_axis',(1.,0.,0.)); #28995=DIRECTION('center_axis',(0.,0.,1.)); #28996=DIRECTION('ref_axis',(1.,0.,0.)); #28997=DIRECTION('center_axis',(0.,0.,1.)); #28998=DIRECTION('ref_axis',(1.,0.,0.)); #28999=DIRECTION('center_axis',(0.,0.,-1.)); #29000=DIRECTION('ref_axis',(1.,0.,0.)); #29001=DIRECTION('center_axis',(0.,0.,1.)); #29002=DIRECTION('ref_axis',(1.,0.,0.)); #29003=DIRECTION('center_axis',(0.,0.,1.)); #29004=DIRECTION('ref_axis',(1.,0.,0.)); #29005=DIRECTION('center_axis',(0.,0.,1.)); #29006=DIRECTION('ref_axis',(1.,0.,0.)); #29007=DIRECTION('center_axis',(0.,0.,-1.)); #29008=DIRECTION('ref_axis',(1.,0.,0.)); #29009=DIRECTION('center_axis',(0.,0.,1.)); #29010=DIRECTION('ref_axis',(1.,0.,0.)); #29011=DIRECTION('center_axis',(0.,0.,1.)); #29012=DIRECTION('ref_axis',(1.,0.,0.)); #29013=DIRECTION('center_axis',(0.,0.,1.)); #29014=DIRECTION('ref_axis',(1.,0.,0.)); #29015=DIRECTION('center_axis',(0.,0.,-1.)); #29016=DIRECTION('ref_axis',(1.,0.,0.)); #29017=DIRECTION('center_axis',(0.,0.,1.)); #29018=DIRECTION('ref_axis',(1.,0.,0.)); #29019=DIRECTION('center_axis',(0.,0.,1.)); #29020=DIRECTION('ref_axis',(1.,0.,0.)); #29021=DIRECTION('center_axis',(0.,0.,1.)); #29022=DIRECTION('ref_axis',(1.,0.,0.)); #29023=DIRECTION('center_axis',(0.,0.,-1.)); #29024=DIRECTION('ref_axis',(1.,0.,0.)); #29025=DIRECTION('center_axis',(0.,0.,1.)); #29026=DIRECTION('ref_axis',(1.,0.,0.)); #29027=DIRECTION('center_axis',(0.,0.,1.)); #29028=DIRECTION('ref_axis',(1.,0.,0.)); #29029=DIRECTION('center_axis',(0.,0.,1.)); #29030=DIRECTION('ref_axis',(1.,0.,0.)); #29031=DIRECTION('center_axis',(0.,0.,-1.)); #29032=DIRECTION('ref_axis',(1.,0.,0.)); #29033=DIRECTION('center_axis',(0.,0.,1.)); #29034=DIRECTION('ref_axis',(1.,0.,0.)); #29035=DIRECTION('center_axis',(0.,0.,1.)); #29036=DIRECTION('ref_axis',(1.,0.,0.)); #29037=DIRECTION('center_axis',(0.,0.,1.)); #29038=DIRECTION('ref_axis',(1.,0.,0.)); #29039=DIRECTION('center_axis',(0.,0.,-1.)); #29040=DIRECTION('ref_axis',(1.,0.,0.)); #29041=DIRECTION('center_axis',(0.,0.,1.)); #29042=DIRECTION('ref_axis',(1.,0.,0.)); #29043=DIRECTION('center_axis',(0.,0.,1.)); #29044=DIRECTION('ref_axis',(1.,0.,0.)); #29045=DIRECTION('center_axis',(0.,0.,1.)); #29046=DIRECTION('ref_axis',(1.,0.,0.)); #29047=DIRECTION('center_axis',(0.,0.,-1.)); #29048=DIRECTION('ref_axis',(1.,0.,0.)); #29049=DIRECTION('center_axis',(0.,0.,1.)); #29050=DIRECTION('ref_axis',(1.,0.,0.)); #29051=DIRECTION('center_axis',(0.,0.,1.)); #29052=DIRECTION('ref_axis',(1.,0.,0.)); #29053=DIRECTION('center_axis',(0.,0.,1.)); #29054=DIRECTION('ref_axis',(1.,0.,0.)); #29055=DIRECTION('center_axis',(0.,0.,-1.)); #29056=DIRECTION('ref_axis',(1.,0.,0.)); #29057=DIRECTION('center_axis',(0.,0.,1.)); #29058=DIRECTION('ref_axis',(1.,0.,0.)); #29059=DIRECTION('center_axis',(0.,0.,1.)); #29060=DIRECTION('ref_axis',(1.,0.,0.)); #29061=DIRECTION('center_axis',(0.,0.,1.)); #29062=DIRECTION('ref_axis',(1.,0.,0.)); #29063=DIRECTION('center_axis',(0.,0.,-1.)); #29064=DIRECTION('ref_axis',(1.,0.,0.)); #29065=DIRECTION('center_axis',(0.,0.,1.)); #29066=DIRECTION('ref_axis',(1.,0.,0.)); #29067=DIRECTION('center_axis',(0.,0.,1.)); #29068=DIRECTION('ref_axis',(1.,0.,0.)); #29069=DIRECTION('center_axis',(0.,0.,1.)); #29070=DIRECTION('ref_axis',(1.,0.,0.)); #29071=DIRECTION('center_axis',(0.,0.,-1.)); #29072=DIRECTION('ref_axis',(1.,0.,0.)); #29073=DIRECTION('center_axis',(0.,0.,1.)); #29074=DIRECTION('ref_axis',(1.,0.,0.)); #29075=DIRECTION('center_axis',(0.,0.,1.)); #29076=DIRECTION('ref_axis',(1.,0.,0.)); #29077=DIRECTION('center_axis',(0.,0.,1.)); #29078=DIRECTION('ref_axis',(1.,0.,0.)); #29079=DIRECTION('center_axis',(0.,0.,-1.)); #29080=DIRECTION('ref_axis',(1.,0.,0.)); #29081=DIRECTION('center_axis',(0.,0.,1.)); #29082=DIRECTION('ref_axis',(1.,0.,0.)); #29083=DIRECTION('center_axis',(0.,0.,1.)); #29084=DIRECTION('ref_axis',(1.,0.,0.)); #29085=DIRECTION('center_axis',(0.,0.,1.)); #29086=DIRECTION('ref_axis',(1.,0.,0.)); #29087=DIRECTION('center_axis',(0.,0.,-1.)); #29088=DIRECTION('ref_axis',(1.,0.,0.)); #29089=DIRECTION('center_axis',(0.,0.,1.)); #29090=DIRECTION('ref_axis',(1.,0.,0.)); #29091=DIRECTION('center_axis',(0.,0.,1.)); #29092=DIRECTION('ref_axis',(1.,0.,0.)); #29093=DIRECTION('center_axis',(0.,0.,1.)); #29094=DIRECTION('ref_axis',(1.,0.,0.)); #29095=DIRECTION('center_axis',(0.,0.,-1.)); #29096=DIRECTION('ref_axis',(1.,0.,0.)); #29097=DIRECTION('center_axis',(0.,0.,1.)); #29098=DIRECTION('ref_axis',(1.,0.,0.)); #29099=DIRECTION('center_axis',(0.,0.,1.)); #29100=DIRECTION('ref_axis',(1.,0.,0.)); #29101=DIRECTION('center_axis',(0.,0.,1.)); #29102=DIRECTION('ref_axis',(1.,0.,0.)); #29103=DIRECTION('center_axis',(0.,0.,-1.)); #29104=DIRECTION('ref_axis',(1.,0.,0.)); #29105=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #29106=DIRECTION('ref_axis',(-0.784110851468563,0.,-0.620620796146282)); #29107=DIRECTION('',(0.207911690817759,-0.978147600733806,0.)); #29108=DIRECTION('',(0.774794156911293,0.0814341473504765,0.626946962718075)); #29109=DIRECTION('',(-0.207911690817759,0.978147600733806,0.)); #29110=DIRECTION('',(-0.740931934379413,-0.240743379047819,-0.626946962718075)); #29111=DIRECTION('center_axis',(0.,0.,1.)); #29112=DIRECTION('ref_axis',(1.,0.,0.)); #29113=DIRECTION('',(0.,1.,0.)); #29114=DIRECTION('',(0.207911690817759,0.978147600733806,0.)); #29115=DIRECTION('',(0.951056516295154,-0.309016994374947,0.)); #29116=DIRECTION('center_axis',(0.,0.,1.)); #29117=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #29118=DIRECTION('',(-0.951056516295154,-0.309016994374948,0.)); #29119=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #29120=DIRECTION('ref_axis',(-0.777376036985218,0.,-0.629036165193352)); #29121=DIRECTION('',(0.,-1.,0.)); #29122=DIRECTION('',(-0.774794156911293,0.0814341473504777,-0.626946962718075)); #29123=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #29124=DIRECTION('ref_axis',(-0.784110851468563,0.,-0.620620796146282)); #29125=DIRECTION('',(-0.207911690817759,-0.978147600733806,0.)); #29126=DIRECTION('',(0.740931934379413,-0.240743379047819,0.626946962718075)); #29127=DIRECTION('center_axis',(0.309016994374948,0.951056516295154,0.)); #29128=DIRECTION('ref_axis',(0.951056516295153,-0.309016994374948,0.)); #29129=DIRECTION('',(-0.951056516295153,0.309016994374948,0.)); #29130=DIRECTION('',(0.,0.,-1.)); #29131=DIRECTION('center_axis',(0.,0.,1.)); #29132=DIRECTION('ref_axis',(1.,0.,0.)); #29133=DIRECTION('',(0.951056516295154,0.309016994374948,0.)); #29134=DIRECTION('center_axis',(0.,0.,-1.)); #29135=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #29136=DIRECTION('center_axis',(0.,0.,-1.)); #29137=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #29138=DIRECTION('',(0.,0.,-1.)); #29139=DIRECTION('center_axis',(0.309016994374948,-0.951056516295154,0.)); #29140=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374948,0.)); #29141=DIRECTION('axis',(0.,0.,1.)); #29142=DIRECTION('refdir',(1.,0.,0.)); #29143=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29144=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29145=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29146=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29147=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29148=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29149=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29150=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29151=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29152=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29153=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29154=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29155=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29156=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29157=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29158=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29159=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29160=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29161=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29162=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29163=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29164=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29165=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29166=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29167=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29168=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29169=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29170=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29171=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29172=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29173=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29174=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29175=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29176=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29177=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29178=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29179=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29180=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29181=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29182=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29183=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29184=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29185=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29186=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29187=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29188=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29189=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29190=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29191=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29192=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29193=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29194=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29195=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29196=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29197=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29198=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #29199=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29200=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29201=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29202=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29203=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29204=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29205=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29206=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29207=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29208=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29209=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29210=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29211=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29212=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29213=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29214=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29215=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29216=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29217=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29218=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29219=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29220=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29221=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29222=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29223=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29224=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29225=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29226=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29227=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29228=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29229=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29230=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29231=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29232=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29233=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29234=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29235=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29236=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29237=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29238=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29239=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29240=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29241=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29242=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29243=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29244=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29245=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29246=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #29247=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29248=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29249=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29250=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29251=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #29252=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29253=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29254=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29255=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29256=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29257=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #29258=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29259=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29260=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29261=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29262=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29263=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #29264=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29265=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29266=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29267=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29268=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29269=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #29270=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29271=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29272=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29273=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29274=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29275=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #29276=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29277=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29278=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29279=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29280=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29281=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #29282=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29283=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29284=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29285=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29286=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29287=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #29288=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29289=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29290=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29291=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29292=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29293=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #29294=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #29295=DIRECTION('center_axis',(-0.978361189198345,-0.0121754687657287, -0.206545736897995)); #29296=DIRECTION('ref_axis',(-0.206529744678285,-0.00257021280411404,0.978436946649739)); #29297=DIRECTION('',(0.206550209662409,-0.115850705423913,-0.971553202321518)); #29298=DIRECTION('',(-0.0124437949807793,0.999922572985767,1.44675937896466E-15)); #29299=DIRECTION('center_axis',(0.978361189198345,0.0121754687657287,0.206545736897995)); #29300=DIRECTION('ref_axis',(-0.206904285770984,0.0575725440210115,0.976665766116816)); #29301=DIRECTION('',(0.012443794980779,-0.999922572985767,-1.3032389290829E-16)); #29302=DIRECTION('center_axis',(0.,1.30333984279546E-16,-1.)); #29303=DIRECTION('ref_axis',(0.,1.,1.30333984279546E-16)); #29304=DIRECTION('',(-1.,-5.9780824230074E-16,-7.79147300542077E-32)); #29305=DIRECTION('',(1.,0.,0.)); #29306=DIRECTION('',(0.012443794980779,0.999922572985767,1.3032389290829E-16)); #29307=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29308=DIRECTION('ref_axis',(0.978361189198346,0.0121754687657287,0.206545736897987)); #29309=DIRECTION('center_axis',(0.206529744678277,0.00257021280411394,-0.978436946649741)); #29310=DIRECTION('ref_axis',(0.97844032243317,-0.000542521993454239,0.206529032115974)); #29311=DIRECTION('',(-0.978130347703367,-0.0246271780367948,-0.206529719419834)); #29312=DIRECTION('',(0.0124437949807792,-0.999922572985767,-1.44671399854926E-15)); #29313=DIRECTION('center_axis',(1.,0.,0.)); #29314=DIRECTION('ref_axis',(0.,-0.728168373025736,0.685398293348512)); #29315=DIRECTION('center_axis',(-0.978361189198339,-0.0121754687657296, -0.206545736898023)); #29316=DIRECTION('ref_axis',(-0.206904285771012,0.0575725440210062,0.976665766116811)); #29317=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29318=DIRECTION('ref_axis',(0.978440322433162,-0.0005425219934542,0.20652903211601)); #29319=DIRECTION('',(-1.,0.,0.)); #29320=DIRECTION('center_axis',(0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29321=DIRECTION('ref_axis',(-0.978440322433162,-0.0005425219934542,0.20652903211601)); #29322=DIRECTION('center_axis',(-0.978361189198346,0.0121754687657287,0.206545736897987)); #29323=DIRECTION('ref_axis',(0.206904285770976,0.0575725440210118,0.976665766116818)); #29324=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #29325=DIRECTION('ref_axis',(-0.97844032243317,-0.000542521993454239,0.206529032115974)); #29326=DIRECTION('center_axis',(-0.978361189198345,0.0121754687657287,0.206545736897995)); #29327=DIRECTION('ref_axis',(0.206904285770984,0.0575725440210115,0.976665766116816)); #29328=DIRECTION('center_axis',(-0.206529744678277,0.00257021280411394, -0.978436946649741)); #29329=DIRECTION('ref_axis',(-0.978361189198346,0.0121754687657287,0.206545736897987)); #29330=DIRECTION('',(-0.0124437949807792,-0.999922572985767,-1.44671399854926E-15)); #29331=DIRECTION('',(0.978130347703367,-0.0246271780367948,-0.206529719419834)); #29332=DIRECTION('',(-0.0124437949807793,-0.999922572985767,-1.44675937896466E-15)); #29333=DIRECTION('center_axis',(-0.978361189198345,0.0121754687657287,0.206545736897995)); #29334=DIRECTION('ref_axis',(0.206529744678285,-0.00257021280411404,0.978436946649739)); #29335=DIRECTION('',(0.206550209662409,0.115850705423913,0.971553202321518)); #29336=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29337=DIRECTION('ref_axis',(0.,0.118403968306501,0.992965508106537)); #29338=DIRECTION('',(0.206550209662401,0.115850705423914,0.97155320232152)); #29339=DIRECTION('',(0.978130347703359,-0.0246271780367992,-0.20652971941987)); #29340=DIRECTION('',(1.,4.66232331651432E-16,0.)); #29341=DIRECTION('',(0.978130347703359,0.0246271780367998,0.20652971941987)); #29342=DIRECTION('',(-0.206550209662437,0.115850705423912,0.971553202321512)); #29343=DIRECTION('center_axis',(-0.978361189198339,0.0121754687657296,0.206545736898023)); #29344=DIRECTION('ref_axis',(0.0124437949807799,0.999922572985767,2.47656779361744E-17)); #29345=DIRECTION('',(0.0124437949807799,0.999922572985767,2.47656779361744E-17)); #29346=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #29347=DIRECTION('ref_axis',(-0.978440178439975,0.,0.206530426848805)); #29348=DIRECTION('',(0.0124437949807791,0.999922572985767,0.)); #29349=DIRECTION('center_axis',(0.,0.,1.)); #29350=DIRECTION('ref_axis',(1.,0.,0.)); #29351=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #29352=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #29353=DIRECTION('ref_axis',(0.978440178439975,0.,0.206530426848805)); #29354=DIRECTION('',(-0.0124437949807799,0.999922572985767,2.47656779361744E-17)); #29355=DIRECTION('center_axis',(-0.978361189198339,-0.0121754687657296, -0.206545736898023)); #29356=DIRECTION('ref_axis',(-0.0124437949807799,0.999922572985767,2.47656779361744E-17)); #29357=DIRECTION('axis',(0.,0.,1.)); #29358=DIRECTION('refdir',(1.,0.,0.)); #29359=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29360=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29361=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29362=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29363=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29364=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29365=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29366=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29367=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29368=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29369=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29370=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29371=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29372=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29373=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29374=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29375=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29376=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29377=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29378=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29379=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29380=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29381=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29382=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29383=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29384=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29385=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29386=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29387=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29388=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29389=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29390=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29391=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29392=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29393=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29394=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29395=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29396=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29397=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29398=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #29399=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29400=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29401=DIRECTION('center_axis',(0.,0.,-1.)); #29402=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29403=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29404=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29405=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29406=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29407=DIRECTION('center_axis',(0.,0.,-1.)); #29408=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29409=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29410=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29411=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29412=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29413=DIRECTION('center_axis',(0.,0.,-1.)); #29414=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29415=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29416=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29417=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29418=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29419=DIRECTION('center_axis',(0.,0.,-1.)); #29420=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29421=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29422=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29423=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29424=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29425=DIRECTION('center_axis',(0.,0.,-1.)); #29426=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29427=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29428=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29429=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29430=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29431=DIRECTION('center_axis',(0.,0.,-1.)); #29432=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29433=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29434=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29435=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29436=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29437=DIRECTION('center_axis',(0.,0.,-1.)); #29438=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29439=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29440=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29441=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29442=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29443=DIRECTION('center_axis',(0.,0.,-1.)); #29444=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29445=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29446=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29447=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29448=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29449=DIRECTION('center_axis',(0.,0.,-1.)); #29450=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29451=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29452=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29453=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29454=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29455=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29456=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29457=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29458=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29459=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29460=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29461=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29462=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29463=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29464=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29465=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29466=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29467=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29468=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29469=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29470=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29471=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29472=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29473=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29474=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29475=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29476=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29477=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29478=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29479=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29480=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29481=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29482=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29483=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29484=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29485=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29486=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29487=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29488=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29489=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29490=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29491=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29492=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29493=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29494=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29495=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #29496=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29497=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29498=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29499=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #29500=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #29501=DIRECTION('center_axis',(0.999922572985767,-0.0124437949807788,-2.81997212249214E-16)); #29502=DIRECTION('ref_axis',(-2.81975378047048E-16,3.50911549438039E-18, -1.)); #29503=DIRECTION('center_axis',(-0.999922572985767,0.0124437949807788,2.81997212249214E-16)); #29504=DIRECTION('ref_axis',(0.00074869533821783,0.060161499777945,0.998188375708593)); #29505=DIRECTION('',(0.0124437949807788,0.999922572985767,0.)); #29506=DIRECTION('',(0.00147350719624423,0.118403839765695,0.99296443013095)); #29507=DIRECTION('',(0.0124437949807788,0.999922572985767,0.)); #29508=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29509=DIRECTION('ref_axis',(-0.999922572985767,0.0124437949807788,2.08669924583118E-16)); #29510=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #29511=DIRECTION('ref_axis',(0.99968344782704,-0.0251595735385574,-1.8974587183045E-16)); #29512=DIRECTION('',(0.0124437949807788,0.999922572985767,0.)); #29513=DIRECTION('',(-1.,-1.5085617046666E-15,-8.74123671945837E-15)); #29514=DIRECTION('center_axis',(0.994521895368273,0.012376584851871,0.103793158640161)); #29515=DIRECTION('ref_axis',(0.103801109063927,0.,-0.994598074478881)); #29516=DIRECTION('center_axis',(-0.994521895368273,-0.012376584851871,-0.103793158640161)); #29517=DIRECTION('ref_axis',(-0.104528463267654,0.117755338979309,0.987525939157433)); #29518=DIRECTION('',(0.01244379498078,-0.999922572985767,-1.7482473438917E-16)); #29519=DIRECTION('',(0.104528463267654,-0.117755338979306,-0.987525939157434)); #29520=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #29521=DIRECTION('center_axis',(0.,1.74838271594513E-16,-1.)); #29522=DIRECTION('ref_axis',(0.,1.,1.74838271594513E-16)); #29523=DIRECTION('center_axis',(3.49649468778323E-14,-4.35130321303148E-16, 1.)); #29524=DIRECTION('ref_axis',(0.99968344782704,-0.0251595735385589,-3.49805473935413E-14)); #29525=DIRECTION('',(1.,-3.70308516040909E-15,-3.49649468778323E-14)); #29526=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29527=DIRECTION('ref_axis',(0.,0.118403968306501,0.992965508106537)); #29528=DIRECTION('',(0.00147350719624395,0.118403839765695,0.99296443013095)); #29529=DIRECTION('',(1.,4.66232331651432E-16,0.)); #29530=DIRECTION('center_axis',(-0.978147600755922,0.0246175692378174,0.20644913761083)); #29531=DIRECTION('ref_axis',(0.123574151241985,-0.729706223499099,0.672501491843331)); #29532=DIRECTION('center_axis',(0.,0.,1.)); #29533=DIRECTION('ref_axis',(0.99968344782704,-0.0251595735385574,0.)); #29534=DIRECTION('center_axis',(0.999922572985767,-0.0124437949807788,0.)); #29535=DIRECTION('ref_axis',(0.000748695338217406,0.0601614997779368,0.998188375708593)); #29536=DIRECTION('center_axis',(-0.999922572985767,0.0124437949807788,0.)); #29537=DIRECTION('ref_axis',(0.0124437949807788,0.999922572985767,0.)); #29538=DIRECTION('',(0.0124437949807788,0.999922572985767,0.)); #29539=DIRECTION('center_axis',(0.,0.,1.)); #29540=DIRECTION('ref_axis',(1.,0.,0.)); #29541=DIRECTION('axis',(0.,0.,1.)); #29542=DIRECTION('refdir',(1.,0.,0.)); #29543=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29544=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29545=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29546=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29547=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29548=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29549=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29550=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29551=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29552=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29553=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29554=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29555=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29556=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29557=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29558=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29559=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29560=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29561=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29562=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29563=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29564=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29565=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29566=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29567=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29568=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29569=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29570=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29571=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29572=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29573=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29574=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29575=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29576=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29577=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29578=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29579=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #29580=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29581=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29582=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #29583=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29584=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29585=DIRECTION('center_axis',(0.,0.,-1.)); #29586=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29587=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29588=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29589=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29590=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29591=DIRECTION('center_axis',(0.,0.,-1.)); #29592=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29593=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29594=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29595=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29596=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29597=DIRECTION('center_axis',(0.,0.,-1.)); #29598=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29599=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29600=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29601=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29602=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29603=DIRECTION('center_axis',(0.,0.,-1.)); #29604=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29605=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29606=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29607=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29608=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29609=DIRECTION('center_axis',(0.,0.,-1.)); #29610=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29611=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29612=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29613=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29614=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29615=DIRECTION('center_axis',(0.,0.,-1.)); #29616=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29617=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29618=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29619=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29620=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29621=DIRECTION('center_axis',(0.,0.,-1.)); #29622=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29623=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29624=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29625=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29626=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29627=DIRECTION('center_axis',(0.,0.,-1.)); #29628=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29629=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29630=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29631=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29632=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29633=DIRECTION('center_axis',(0.,0.,-1.)); #29634=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29635=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29636=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29637=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29638=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29639=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29640=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29641=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29642=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29643=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29644=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29645=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29646=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29647=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29648=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29649=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29650=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29651=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29652=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29653=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29654=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29655=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29656=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29657=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29658=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29659=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29660=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29661=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29662=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29663=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29664=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29665=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29666=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29667=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29668=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29669=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29670=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29671=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29672=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29673=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29674=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29675=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29676=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29677=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29678=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29679=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29680=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29681=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29682=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29683=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29684=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #29685=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #29686=DIRECTION('ref_axis',(-0.999922572985767,-0.01244379498078,-1.27284950272798E-16)); #29687=DIRECTION('',(-0.0124437949807791,-0.999922572985767,-3.16757037615937E-18)); #29688=DIRECTION('',(1.,4.81408967888126E-16,1.27275094979142E-16)); #29689=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #29690=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #29691=DIRECTION('ref_axis',(-0.999683447826378,-0.0251595735648594,-9.53971824816521E-17)); #29692=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #29693=DIRECTION('ref_axis',(0.,0.118403968306501,0.992965508106537)); #29694=DIRECTION('',(0.104528463267654,0.117755338979307,0.987525939157434)); #29695=DIRECTION('',(1.,4.66232331651432E-16,0.)); #29696=DIRECTION('',(0.0014735071962447,-0.118403839765695,-0.99296443013095)); #29697=DIRECTION('',(-1.,-4.77231964798117E-16,-9.22456947406553E-17)); #29698=DIRECTION('',(0.00147350719624409,-0.118403839765695,-0.99296443013095)); #29699=DIRECTION('center_axis',(-0.994521895368273,0.0123765848518701,0.103793158640162)); #29700=DIRECTION('ref_axis',(0.103801109063927,0.,0.994598074478881)); #29701=DIRECTION('center_axis',(0.994521895368273,-0.0123765848518701,-0.103793158640162)); #29702=DIRECTION('ref_axis',(0.104528463267654,0.117755338979307,0.987525939157434)); #29703=DIRECTION('',(0.0124437949807791,0.999922572985767,0.)); #29704=DIRECTION('center_axis',(0.978147600711689,0.0246175692624574,0.206449137817465)); #29705=DIRECTION('ref_axis',(-0.12357415136567,-0.729706223502211,0.672501491817227)); #29706=DIRECTION('center_axis',(0.999922572985767,0.01244379498078,0.)); #29707=DIRECTION('ref_axis',(-0.000748695338980704,0.0601614998392657,0.998188375704896)); #29708=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29709=DIRECTION('ref_axis',(-0.999683447826378,-0.025159573564861,-7.58983488081581E-17)); #29710=DIRECTION('center_axis',(0.999922572985767,0.01244379498078,6.11844975905171E-16)); #29711=DIRECTION('ref_axis',(-0.000748695338981356,0.0601614998392658,0.998188375704896)); #29712=DIRECTION('center_axis',(0.,0.,1.)); #29713=DIRECTION('ref_axis',(-0.999683447826378,-0.025159573564861,0.)); #29714=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #29715=DIRECTION('ref_axis',(-0.999922572985767,-0.01244379498078,-9.22528376024254E-17)); #29716=DIRECTION('',(-0.01244379498078,0.999922572985767,1.92592994438724E-34)); #29717=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #29718=DIRECTION('center_axis',(-0.999922572985767,-0.01244379498078,0.)); #29719=DIRECTION('ref_axis',(0.,0.,1.)); #29720=DIRECTION('center_axis',(0.,0.,1.)); #29721=DIRECTION('ref_axis',(1.,0.,0.)); #29722=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #29723=DIRECTION('center_axis',(0.999922572985767,0.01244379498078,0.)); #29724=DIRECTION('ref_axis',(0.01244379498078,-0.999922572985767,0.)); #29725=DIRECTION('axis',(0.,0.,1.)); #29726=DIRECTION('refdir',(1.,0.,0.)); #29727=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29728=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29729=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29730=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29731=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29732=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29733=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29734=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29735=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29736=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29737=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29738=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29739=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29740=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29741=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29742=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29743=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29744=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29745=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29746=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29747=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29748=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29749=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29750=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29751=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29752=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29753=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29754=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29755=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29756=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29757=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29758=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29759=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29760=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29761=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29762=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29763=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29764=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29765=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29766=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29767=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29768=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29769=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29770=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29771=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29772=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29773=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29774=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29775=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29776=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29777=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29778=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29779=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29780=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29781=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29782=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29783=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29784=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29785=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29786=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29787=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29788=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29789=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29790=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29791=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29792=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29793=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29794=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29795=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29796=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29797=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29798=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29799=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29800=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29801=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29802=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29803=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29804=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29805=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29806=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29807=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29808=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29809=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29810=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29811=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29812=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29813=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29814=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29815=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29816=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29817=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29818=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29819=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #29820=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29821=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #29822=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #29823=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #29824=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #29825=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #29826=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #29827=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #29828=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #29829=DIRECTION('center_axis',(0.,-1.,0.)); #29830=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29831=DIRECTION('center_axis',(0.,-1.,0.)); #29832=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29833=DIRECTION('center_axis',(0.,-1.,0.)); #29834=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29835=DIRECTION('center_axis',(0.,1.,0.)); #29836=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29837=DIRECTION('center_axis',(0.,-1.,0.)); #29838=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29839=DIRECTION('center_axis',(0.,-1.,0.)); #29840=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29841=DIRECTION('center_axis',(0.,-1.,0.)); #29842=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29843=DIRECTION('center_axis',(0.,1.,0.)); #29844=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29845=DIRECTION('center_axis',(0.,-1.,0.)); #29846=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29847=DIRECTION('center_axis',(0.,-1.,0.)); #29848=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29849=DIRECTION('center_axis',(0.,-1.,0.)); #29850=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29851=DIRECTION('center_axis',(0.,1.,0.)); #29852=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29853=DIRECTION('center_axis',(0.,-1.,0.)); #29854=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29855=DIRECTION('center_axis',(0.,-1.,0.)); #29856=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29857=DIRECTION('center_axis',(0.,-1.,0.)); #29858=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29859=DIRECTION('center_axis',(0.,1.,0.)); #29860=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #29861=DIRECTION('center_axis',(0.,-1.,0.)); #29862=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #29863=DIRECTION('',(0.,-1.,0.)); #29864=DIRECTION('center_axis',(0.,-1.,0.)); #29865=DIRECTION('ref_axis',(0.,0.,1.)); #29866=DIRECTION('',(0.,1.,0.)); #29867=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #29868=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #29869=DIRECTION('center_axis',(0.,0.,-1.)); #29870=DIRECTION('ref_axis',(-1.,0.,0.)); #29871=DIRECTION('center_axis',(0.999539615299856,0.0303406896133867,0.)); #29872=DIRECTION('ref_axis',(0.,0.,1.)); #29873=DIRECTION('center_axis',(0.,0.,-1.)); #29874=DIRECTION('ref_axis',(-0.696297102674789,-0.71775367975838,0.)); #29875=DIRECTION('center_axis',(0.,0.,1.)); #29876=DIRECTION('ref_axis',(-0.696297102674789,-0.71775367975838,0.)); #29877=DIRECTION('center_axis',(0.,0.,1.)); #29878=DIRECTION('ref_axis',(1.,0.,0.)); #29879=DIRECTION('center_axis',(0.89116529751081,0.453678754751057,0.)); #29880=DIRECTION('ref_axis',(0.,0.,1.)); #29881=DIRECTION('center_axis',(0.,0.,-1.)); #29882=DIRECTION('ref_axis',(0.248001923016298,-0.968759539917011,0.)); #29883=DIRECTION('center_axis',(0.,0.,1.)); #29884=DIRECTION('ref_axis',(0.248001923016298,-0.968759539917011,0.)); #29885=DIRECTION('center_axis',(0.,0.,-1.)); #29886=DIRECTION('ref_axis',(-1.,0.,0.)); #29887=DIRECTION('center_axis',(0.119046952301543,0.99288862575201,0.)); #29888=DIRECTION('ref_axis',(0.,0.,1.)); #29889=DIRECTION('center_axis',(0.,0.,-1.)); #29890=DIRECTION('ref_axis',(0.819866441157379,-0.572554817169443,0.)); #29891=DIRECTION('center_axis',(0.,0.,1.)); #29892=DIRECTION('ref_axis',(0.819866441157379,-0.572554817169443,0.)); #29893=DIRECTION('center_axis',(0.119046952301552,0.992888625752009,0.)); #29894=DIRECTION('ref_axis',(0.702078280232244,-0.0841789072520113,0.707106781186542)); #29895=DIRECTION('center_axis',(0.119046952301554,0.992888625752008,0.)); #29896=DIRECTION('ref_axis',(0.,0.,1.)); #29897=DIRECTION('',(-0.119046952301552,-0.992888625752009,0.)); #29898=DIRECTION('',(0.119046952301552,0.992888625752009,0.)); #29899=DIRECTION('center_axis',(0.,0.,-1.)); #29900=DIRECTION('ref_axis',(-1.,0.,0.)); #29901=DIRECTION('center_axis',(-1.,0.,0.)); #29902=DIRECTION('ref_axis',(0.,0.,1.)); #29903=DIRECTION('center_axis',(0.,0.,-1.)); #29904=DIRECTION('ref_axis',(0.748013018704073,0.663684054237572,0.)); #29905=DIRECTION('center_axis',(0.,0.,1.)); #29906=DIRECTION('ref_axis',(0.748013018704073,0.663684054237572,0.)); #29907=DIRECTION('center_axis',(-1.,0.,0.)); #29908=DIRECTION('ref_axis',(0.,0.707106781186542,0.707106781186553)); #29909=DIRECTION('center_axis',(-1.,2.79741234551225E-15,0.)); #29910=DIRECTION('ref_axis',(0.,0.,1.)); #29911=DIRECTION('',(1.,0.,0.)); #29912=DIRECTION('',(-1.,0.,0.)); #29913=DIRECTION('center_axis',(0.,0.,-1.)); #29914=DIRECTION('ref_axis',(-1.,0.,0.)); #29915=DIRECTION('center_axis',(-0.341624922395361,-0.939836375332623,0.)); #29916=DIRECTION('ref_axis',(0.,0.,1.)); #29917=DIRECTION('center_axis',(0.,0.,-1.)); #29918=DIRECTION('ref_axis',(-0.573748672157349,0.819031416490038,0.)); #29919=DIRECTION('center_axis',(0.,0.,1.)); #29920=DIRECTION('ref_axis',(-0.573748672157349,0.819031416490038,0.)); #29921=DIRECTION('center_axis',(-0.341624922395356,-0.939836375332625,0.)); #29922=DIRECTION('ref_axis',(-0.664564674203487,0.24156529924808,0.707106781186546)); #29923=DIRECTION('center_axis',(-0.341624922395369,-0.93983637533262,0.)); #29924=DIRECTION('ref_axis',(0.,0.,1.)); #29925=DIRECTION('',(0.341624922395356,0.939836375332625,0.)); #29926=DIRECTION('',(-0.341624922395356,-0.939836375332625,0.)); #29927=DIRECTION('center_axis',(0.,0.,-1.)); #29928=DIRECTION('ref_axis',(-1.,0.,0.)); #29929=DIRECTION('center_axis',(2.23792987640976E-14,-1.,0.)); #29930=DIRECTION('ref_axis',(0.,0.,1.)); #29931=DIRECTION('center_axis',(0.,0.,-1.)); #29932=DIRECTION('ref_axis',(-0.98484424538417,0.173441091825685,0.)); #29933=DIRECTION('center_axis',(0.,0.,1.)); #29934=DIRECTION('ref_axis',(-0.984844245384169,0.173441091825685,0.)); #29935=DIRECTION('center_axis',(1.04358631653701E-14,-1.,0.)); #29936=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #29937=DIRECTION('center_axis',(0.,-1.,0.)); #29938=DIRECTION('ref_axis',(0.,0.,1.)); #29939=DIRECTION('',(-1.04358631653701E-14,1.,0.)); #29940=DIRECTION('',(1.04358631653701E-14,-1.,0.)); #29941=DIRECTION('center_axis',(0.,0.,-1.)); #29942=DIRECTION('ref_axis',(-1.,0.,0.)); #29943=DIRECTION('center_axis',(1.,0.,0.)); #29944=DIRECTION('ref_axis',(0.,0.,1.)); #29945=DIRECTION('center_axis',(0.,0.,-1.)); #29946=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #29947=DIRECTION('center_axis',(0.,0.,1.)); #29948=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #29949=DIRECTION('center_axis',(1.,0.,0.)); #29950=DIRECTION('ref_axis',(0.,-0.707106781186558,0.707106781186537)); #29951=DIRECTION('',(-1.,0.,0.)); #29952=DIRECTION('',(1.,0.,0.)); #29953=DIRECTION('center_axis',(0.,0.,1.)); #29954=DIRECTION('ref_axis',(-0.573748672157349,0.819031416490039,0.)); #29955=DIRECTION('',(0.,0.,-1.)); #29956=DIRECTION('center_axis',(0.,0.,-1.)); #29957=DIRECTION('ref_axis',(-0.573748672157349,0.819031416490039,0.)); #29958=DIRECTION('',(0.,0.,1.)); #29959=DIRECTION('center_axis',(-0.939836375332625,0.341624922395356,0.)); #29960=DIRECTION('ref_axis',(-0.341624922395356,-0.939836375332625,0.)); #29961=DIRECTION('',(0.,0.,1.)); #29962=DIRECTION('',(-0.341624922395356,-0.939836375332625,0.)); #29963=DIRECTION('center_axis',(0.,0.,1.)); #29964=DIRECTION('ref_axis',(-0.984844245384169,0.173441091825688,0.)); #29965=DIRECTION('',(0.,0.,-1.)); #29966=DIRECTION('center_axis',(0.,0.,-1.)); #29967=DIRECTION('ref_axis',(-0.984844245384169,0.173441091825688,0.)); #29968=DIRECTION('center_axis',(-1.,-1.04358631653701E-14,0.)); #29969=DIRECTION('ref_axis',(1.04358631653701E-14,-1.,0.)); #29970=DIRECTION('',(0.,0.,1.)); #29971=DIRECTION('',(1.04358631653701E-14,-1.,0.)); #29972=DIRECTION('center_axis',(0.,0.,1.)); #29973=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #29974=DIRECTION('',(0.,0.,-1.)); #29975=DIRECTION('center_axis',(0.,0.,-1.)); #29976=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #29977=DIRECTION('center_axis',(0.,-1.,0.)); #29978=DIRECTION('ref_axis',(1.,0.,0.)); #29979=DIRECTION('',(0.,0.,1.)); #29980=DIRECTION('',(1.,0.,0.)); #29981=DIRECTION('center_axis',(-1.,0.,0.)); #29982=DIRECTION('ref_axis',(0.,-1.,0.)); #29983=DIRECTION('',(0.,0.,1.)); #29984=DIRECTION('',(0.,-1.,0.)); #29985=DIRECTION('center_axis',(0.,0.,1.)); #29986=DIRECTION('ref_axis',(1.,0.,0.)); #29987=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #29988=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #29989=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #29990=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #29991=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #29992=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #29993=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #29994=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #29995=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #29996=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #29997=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #29998=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #29999=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30000=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30001=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #30002=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30003=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30004=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30005=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30006=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30007=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30008=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30009=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #30010=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30011=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30012=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30013=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30014=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30015=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30016=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30017=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #30018=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30019=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30020=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30021=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30022=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30023=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30024=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30025=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30026=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30027=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #30028=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30029=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30030=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30031=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30032=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30033=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30034=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30035=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #30036=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30037=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30038=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30039=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30040=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30041=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30042=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30043=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #30044=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30045=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30046=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30047=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30048=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30049=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #30050=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30051=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #30052=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #30053=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30054=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30055=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30056=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30057=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30058=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30059=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30060=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30061=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30062=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30063=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30064=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30065=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30066=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30067=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30068=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30069=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30070=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30071=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30072=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30073=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30074=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30075=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30076=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30077=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30078=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30079=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30080=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30081=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30082=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30083=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30084=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30085=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30086=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30087=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30088=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30089=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30090=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30091=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30092=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30093=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30094=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30095=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30096=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30097=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30098=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30099=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30100=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30101=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30102=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30103=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30104=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30105=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30106=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30107=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30108=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30109=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30110=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30111=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30112=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30113=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30114=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30115=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30116=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30117=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30118=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30119=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30120=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30121=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #30122=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30123=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30124=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #30125=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30126=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30127=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30128=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30129=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30130=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30131=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #30132=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30133=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30134=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30135=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30136=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30137=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30138=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30139=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #30140=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30141=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30142=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30143=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30144=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30145=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30146=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30147=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #30148=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30149=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30150=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30151=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30152=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30153=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30154=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30155=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #30156=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30157=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30158=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30159=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30160=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30161=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30162=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30163=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #30164=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30165=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30166=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30167=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30168=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30169=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #30170=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30171=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #30172=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #30173=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30174=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30175=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30176=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30177=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30178=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30179=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30180=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30181=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30182=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30183=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30184=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30185=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30186=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30187=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30188=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30189=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30190=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30191=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30192=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30193=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30194=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30195=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30196=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30197=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30198=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30199=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30200=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30201=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30202=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30203=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30204=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30205=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30206=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30207=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30208=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30209=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30210=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30211=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30212=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30213=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30214=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30215=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30216=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30217=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30218=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30219=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30220=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30221=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30222=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30223=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30224=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30225=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30226=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30227=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30228=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30229=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30230=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30231=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30232=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30233=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30234=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30235=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30236=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30237=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30238=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30239=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30240=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30241=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30242=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30243=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30244=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30245=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30246=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30247=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30248=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30249=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30250=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30251=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30252=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30253=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30254=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30255=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30256=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30257=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30258=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30259=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30260=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30261=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30262=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30263=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30264=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30265=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30266=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30267=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30268=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30269=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30270=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30271=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30272=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30273=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30274=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30275=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30276=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30277=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30278=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30279=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30280=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30281=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30282=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30283=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30284=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30285=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30286=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30287=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30288=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30289=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30290=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30291=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30292=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30293=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30294=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30295=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30296=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30297=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30298=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30299=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30300=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30301=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30302=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30303=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30304=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30305=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30306=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30307=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30308=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30309=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30310=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30311=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30312=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30313=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30314=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30315=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30316=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30317=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30318=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30319=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30320=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30321=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30322=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30323=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30324=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30325=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30326=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30327=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30328=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30329=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30330=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30331=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30332=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30333=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30334=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30335=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30336=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30337=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30338=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30339=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30340=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30341=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30342=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30343=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30344=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30345=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30346=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30347=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30348=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30349=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30350=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30351=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30352=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30353=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30354=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30355=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30356=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30357=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30358=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30359=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30360=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30361=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30362=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30363=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30364=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30365=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30366=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30367=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30368=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30369=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30370=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30371=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30372=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30373=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30374=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30375=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30376=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30377=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30378=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30379=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30380=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30381=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30382=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30383=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30384=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30385=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30386=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30387=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30388=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30389=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30390=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30391=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30392=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30393=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30394=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30395=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30396=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30397=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #30398=DIRECTION('ref_axis',(0.104528463267685,0.,-0.99452189536827)); #30399=DIRECTION('',(0.104854452266983,0.000265243922453031,-0.994487543152478)); #30400=DIRECTION('',(-0.779061939731734,-0.626946962718082,0.)); #30401=DIRECTION('',(-0.104528463267685,-3.03660991025877E-17,0.99452189536827)); #30402=DIRECTION('',(0.779061939731741,0.626946962718073,0.)); #30403=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30404=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30405=DIRECTION('',(-1.,-2.90505553734428E-16,0.)); #30406=DIRECTION('',(0.104528463267655,3.0366099102579E-17,-0.994521895368273)); #30407=DIRECTION('',(0.,0.,-1.)); #30408=DIRECTION('',(1.,2.90505553734428E-16,0.)); #30409=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #30410=DIRECTION('ref_axis',(-0.104528463267655,0.,0.994521895368273)); #30411=DIRECTION('',(0.119046952301558,0.992888625752008,0.)); #30412=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #30413=DIRECTION('ref_axis',(-0.107458048667593,-0.0249825887355158,0.993895687704009)); #30414=DIRECTION('',(-0.119046952301551,-0.992888625752009,-6.52486208045017E-14)); #30415=DIRECTION('center_axis',(0.992888625752009,-0.119046952301551,0.)); #30416=DIRECTION('ref_axis',(-0.119046952301551,-0.992888625752009,0.)); #30417=DIRECTION('center_axis',(-0.992888625752009,0.119046952301551,-5.47135113022842E-15)); #30418=DIRECTION('ref_axis',(-0.00460137840125503,-0.0383769276663965,0.999252740171222)); #30419=DIRECTION('',(-0.119046952301551,-0.992888625752009,0.)); #30420=DIRECTION('center_axis',(-0.309016994374949,6.27102027519345E-16, 0.951056516295153)); #30421=DIRECTION('ref_axis',(0.565268847465448,-0.804199956311577,0.1836669822084)); #30422=DIRECTION('center_axis',(0.,0.,-1.)); #30423=DIRECTION('ref_axis',(1.,-1.97863763834307E-15,0.)); #30424=DIRECTION('',(-0.309016994374949,6.27102027519345E-16,0.951056516295153)); #30425=DIRECTION('center_axis',(0.,0.,1.)); #30426=DIRECTION('ref_axis',(1.,-1.97863763834307E-15,0.)); #30427=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #30428=DIRECTION('ref_axis',(0.104854455955466,0.,-0.994487578135737)); #30429=DIRECTION('',(3.83675049237245E-18,1.,0.)); #30430=DIRECTION('',(0.104854455955466,0.,-0.994487578135737)); #30431=DIRECTION('',(7.35390746286763E-16,-1.,0.)); #30432=DIRECTION('center_axis',(0.,-1.,0.)); #30433=DIRECTION('ref_axis',(-1.,0.,0.)); #30434=DIRECTION('',(-1.,0.,0.)); #30435=DIRECTION('',(1.65047328385211E-13,0.,1.)); #30436=DIRECTION('',(1.,0.,0.)); #30437=DIRECTION('center_axis',(1.,0.,-1.65047328385211E-13)); #30438=DIRECTION('ref_axis',(1.65047328385211E-13,0.,1.)); #30439=DIRECTION('',(0.,-1.,0.)); #30440=DIRECTION('',(0.,1.,0.)); #30441=DIRECTION('',(0.,-3.29067613185059E-15,-1.)); #30442=DIRECTION('center_axis',(0.,0.,1.)); #30443=DIRECTION('ref_axis',(1.,0.,0.)); #30444=DIRECTION('',(-1.,-8.0983149672915E-15,0.)); #30445=DIRECTION('center_axis',(0.,0.,1.)); #30446=DIRECTION('ref_axis',(1.,0.,0.)); #30447=DIRECTION('',(1.,8.0983149672915E-15,0.)); #30448=DIRECTION('',(-1.,0.,0.)); #30449=DIRECTION('center_axis',(0.,0.,-1.)); #30450=DIRECTION('ref_axis',(-0.696297102674788,-0.71775367975838,0.)); #30451=DIRECTION('center_axis',(0.,0.,1.)); #30452=DIRECTION('ref_axis',(0.457301775043101,-0.889311580123878,0.)); #30453=DIRECTION('center_axis',(0.,0.,-1.)); #30454=DIRECTION('ref_axis',(0.819866441157378,-0.572554817169444,0.)); #30455=DIRECTION('',(0.119046952301552,0.992888625752009,0.)); #30456=DIRECTION('center_axis',(0.,0.,-1.)); #30457=DIRECTION('ref_axis',(0.748013018704073,0.663684054237572,0.)); #30458=DIRECTION('center_axis',(8.0983149672915E-15,-1.,3.29067613185058E-15)); #30459=DIRECTION('ref_axis',(0.951056516295153,8.718830068379E-15,0.309016994374949)); #30460=DIRECTION('center_axis',(0.,0.,1.)); #30461=DIRECTION('ref_axis',(0.748013018704073,0.663684054237572,0.)); #30462=DIRECTION('',(0.,0.,-1.)); #30463=DIRECTION('',(0.,0.,1.)); #30464=DIRECTION('center_axis',(0.992888625752009,-0.119046952301552,0.)); #30465=DIRECTION('ref_axis',(0.119046952301552,0.992888625752009,0.)); #30466=DIRECTION('',(0.,0.,-1.)); #30467=DIRECTION('center_axis',(0.,0.,1.)); #30468=DIRECTION('ref_axis',(0.819866441157378,-0.572554817169444,0.)); #30469=DIRECTION('',(0.,0.,1.)); #30470=DIRECTION('center_axis',(0.,0.,1.)); #30471=DIRECTION('ref_axis',(0.457301775043101,-0.889311580123878,0.)); #30472=DIRECTION('',(0.,0.,-1.)); #30473=DIRECTION('center_axis',(0.,1.,0.)); #30474=DIRECTION('ref_axis',(-1.,0.,0.)); #30475=DIRECTION('center_axis',(0.,0.,1.)); #30476=DIRECTION('ref_axis',(-0.696297102674788,-0.71775367975838,0.)); #30477=DIRECTION('axis',(0.,0.,1.)); #30478=DIRECTION('refdir',(1.,0.,0.)); #30479=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30480=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30481=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30482=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30483=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30484=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30485=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #30486=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30487=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30488=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30489=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30490=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30491=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30492=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30493=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #30494=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30495=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30496=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30497=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30498=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30499=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30500=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30501=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #30502=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30503=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30504=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30505=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30506=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30507=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #30508=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30509=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #30510=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #30511=DIRECTION('center_axis',(0.,0.,-1.)); #30512=DIRECTION('ref_axis',(-1.,0.,0.)); #30513=DIRECTION('center_axis',(0.,0.,-1.)); #30514=DIRECTION('ref_axis',(-1.,0.,0.)); #30515=DIRECTION('center_axis',(0.,0.,1.)); #30516=DIRECTION('ref_axis',(-1.,0.,0.)); #30517=DIRECTION('center_axis',(0.,0.,-1.)); #30518=DIRECTION('ref_axis',(-1.,0.,0.)); #30519=DIRECTION('center_axis',(0.,0.,-1.)); #30520=DIRECTION('ref_axis',(-1.,0.,0.)); #30521=DIRECTION('center_axis',(0.,0.,1.)); #30522=DIRECTION('ref_axis',(-1.,0.,0.)); #30523=DIRECTION('center_axis',(0.,0.,-1.)); #30524=DIRECTION('ref_axis',(-1.,0.,0.)); #30525=DIRECTION('center_axis',(0.,0.,-1.)); #30526=DIRECTION('ref_axis',(-1.,0.,0.)); #30527=DIRECTION('center_axis',(0.,0.,1.)); #30528=DIRECTION('ref_axis',(-1.,0.,0.)); #30529=DIRECTION('center_axis',(0.,0.,-1.)); #30530=DIRECTION('ref_axis',(-1.,0.,0.)); #30531=DIRECTION('center_axis',(0.,0.,-1.)); #30532=DIRECTION('ref_axis',(-1.,0.,0.)); #30533=DIRECTION('center_axis',(0.,0.,1.)); #30534=DIRECTION('ref_axis',(-1.,0.,0.)); #30535=DIRECTION('center_axis',(0.,0.,-1.)); #30536=DIRECTION('ref_axis',(-1.,0.,0.)); #30537=DIRECTION('center_axis',(0.,0.,-1.)); #30538=DIRECTION('ref_axis',(-1.,0.,0.)); #30539=DIRECTION('center_axis',(0.,0.,1.)); #30540=DIRECTION('ref_axis',(-1.,0.,0.)); #30541=DIRECTION('center_axis',(0.,0.,-1.)); #30542=DIRECTION('ref_axis',(-1.,0.,0.)); #30543=DIRECTION('center_axis',(0.,0.,-1.)); #30544=DIRECTION('ref_axis',(-1.,0.,0.)); #30545=DIRECTION('center_axis',(0.,0.,1.)); #30546=DIRECTION('ref_axis',(-1.,0.,0.)); #30547=DIRECTION('center_axis',(0.,0.,-1.)); #30548=DIRECTION('ref_axis',(-1.,0.,0.)); #30549=DIRECTION('center_axis',(0.,0.,-1.)); #30550=DIRECTION('ref_axis',(-1.,0.,0.)); #30551=DIRECTION('center_axis',(0.,0.,1.)); #30552=DIRECTION('ref_axis',(-1.,0.,0.)); #30553=DIRECTION('center_axis',(0.,0.,-1.)); #30554=DIRECTION('ref_axis',(-1.,0.,0.)); #30555=DIRECTION('center_axis',(0.,0.,-1.)); #30556=DIRECTION('ref_axis',(-1.,0.,0.)); #30557=DIRECTION('center_axis',(0.,0.,1.)); #30558=DIRECTION('ref_axis',(-1.,0.,0.)); #30559=DIRECTION('center_axis',(0.,0.,-1.)); #30560=DIRECTION('ref_axis',(-1.,0.,0.)); #30561=DIRECTION('center_axis',(0.,0.,-1.)); #30562=DIRECTION('ref_axis',(-1.,0.,0.)); #30563=DIRECTION('center_axis',(0.,0.,1.)); #30564=DIRECTION('ref_axis',(-1.,0.,0.)); #30565=DIRECTION('center_axis',(0.,0.,-1.)); #30566=DIRECTION('ref_axis',(-1.,0.,0.)); #30567=DIRECTION('center_axis',(0.,0.,-1.)); #30568=DIRECTION('ref_axis',(-1.,0.,0.)); #30569=DIRECTION('center_axis',(0.,0.,1.)); #30570=DIRECTION('ref_axis',(-1.,0.,0.)); #30571=DIRECTION('center_axis',(0.,0.,-1.)); #30572=DIRECTION('ref_axis',(-1.,0.,0.)); #30573=DIRECTION('center_axis',(0.,0.,-1.)); #30574=DIRECTION('ref_axis',(-1.,0.,0.)); #30575=DIRECTION('center_axis',(0.,0.,1.)); #30576=DIRECTION('ref_axis',(-1.,0.,0.)); #30577=DIRECTION('center_axis',(0.,0.,-1.)); #30578=DIRECTION('ref_axis',(-1.,0.,0.)); #30579=DIRECTION('center_axis',(0.,0.,-1.)); #30580=DIRECTION('ref_axis',(-1.,0.,0.)); #30581=DIRECTION('center_axis',(0.,0.,1.)); #30582=DIRECTION('ref_axis',(-1.,0.,0.)); #30583=DIRECTION('center_axis',(0.,0.,-1.)); #30584=DIRECTION('ref_axis',(-1.,0.,0.)); #30585=DIRECTION('center_axis',(0.,0.,-1.)); #30586=DIRECTION('ref_axis',(-1.,0.,0.)); #30587=DIRECTION('center_axis',(0.,0.,1.)); #30588=DIRECTION('ref_axis',(-1.,0.,0.)); #30589=DIRECTION('center_axis',(0.,0.,-1.)); #30590=DIRECTION('ref_axis',(-1.,0.,0.)); #30591=DIRECTION('center_axis',(0.,0.,-1.)); #30592=DIRECTION('ref_axis',(-1.,0.,0.)); #30593=DIRECTION('center_axis',(0.,0.,1.)); #30594=DIRECTION('ref_axis',(-1.,0.,0.)); #30595=DIRECTION('center_axis',(0.,0.,-1.)); #30596=DIRECTION('ref_axis',(-1.,0.,0.)); #30597=DIRECTION('center_axis',(0.,0.,-1.)); #30598=DIRECTION('ref_axis',(-1.,0.,0.)); #30599=DIRECTION('center_axis',(0.,0.,1.)); #30600=DIRECTION('ref_axis',(-1.,0.,0.)); #30601=DIRECTION('center_axis',(0.,0.,-1.)); #30602=DIRECTION('ref_axis',(-1.,0.,0.)); #30603=DIRECTION('center_axis',(0.,0.,-1.)); #30604=DIRECTION('ref_axis',(-1.,0.,0.)); #30605=DIRECTION('center_axis',(0.,0.,1.)); #30606=DIRECTION('ref_axis',(-1.,0.,0.)); #30607=DIRECTION('center_axis',(0.,0.,-1.)); #30608=DIRECTION('ref_axis',(-1.,0.,0.)); #30609=DIRECTION('center_axis',(0.,0.,-1.)); #30610=DIRECTION('ref_axis',(-1.,0.,0.)); #30611=DIRECTION('center_axis',(0.,0.,1.)); #30612=DIRECTION('ref_axis',(-1.,0.,0.)); #30613=DIRECTION('center_axis',(0.,0.,-1.)); #30614=DIRECTION('ref_axis',(-1.,0.,0.)); #30615=DIRECTION('center_axis',(0.,0.,-1.)); #30616=DIRECTION('ref_axis',(-1.,0.,0.)); #30617=DIRECTION('center_axis',(0.,0.,1.)); #30618=DIRECTION('ref_axis',(-1.,0.,0.)); #30619=DIRECTION('center_axis',(-1.,1.96308282876897E-14,1.62935874787824E-13)); #30620=DIRECTION('ref_axis',(-2.00481230867753E-16,-0.992965508106536,0.118403968306505)); #30621=DIRECTION('center_axis',(0.994521895368273,-0.0123765848518701,-0.103793158640162)); #30622=DIRECTION('ref_axis',(0.104528463267654,0.11775533897931,0.987525939157433)); #30623=DIRECTION('',(1.,-1.96308282876897E-14,-1.62935874787824E-13)); #30624=DIRECTION('center_axis',(-0.994521895368273,-0.012376584851871,-0.103793158640161)); #30625=DIRECTION('ref_axis',(-0.104528463267654,0.11775533897931,0.987525939157433)); #30626=DIRECTION('',(-1.,1.96308282876897E-14,1.62935874787824E-13)); #30627=DIRECTION('center_axis',(0.994521895368273,0.012376584851871,0.103793158640161)); #30628=DIRECTION('ref_axis',(0.103801109063927,0.,-0.994598074478881)); #30629=DIRECTION('',(-0.01244379498078,0.999922572985767,0.)); #30630=DIRECTION('',(0.104528463267654,-0.117755338979306,-0.987525939157434)); #30631=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #30632=DIRECTION('center_axis',(0.,0.,1.)); #30633=DIRECTION('ref_axis',(1.,0.,0.)); #30634=DIRECTION('',(-0.0124437949807791,-0.999922572985767,0.)); #30635=DIRECTION('',(-1.,-4.66235390918701E-16,0.)); #30636=DIRECTION('center_axis',(-0.994521895368273,0.0123765848518701,0.103793158640162)); #30637=DIRECTION('ref_axis',(0.103801109063927,0.,0.994598074478881)); #30638=DIRECTION('',(0.0124437949807791,0.999922572985767,0.)); #30639=DIRECTION('',(0.104528463267654,0.117755338979307,0.987525939157434)); #30640=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #30641=DIRECTION('ref_axis',(0.,0.118403968306501,0.992965508106537)); #30642=DIRECTION('',(1.,4.66232331651432E-16,0.)); #30643=DIRECTION('center_axis',(0.,0.,1.)); #30644=DIRECTION('ref_axis',(1.,0.,0.)); #30645=DIRECTION('axis',(0.,0.,1.)); #30646=DIRECTION('refdir',(1.,0.,0.)); #30647=DIRECTION('center_axis',(-1.,0.,0.)); #30648=DIRECTION('ref_axis',(0.,0.,1.)); #30649=DIRECTION('',(0.,1.09099081474976E-13,1.)); #30650=DIRECTION('',(0.,1.,1.49486722213322E-13)); #30651=DIRECTION('',(0.,0.,1.)); #30652=DIRECTION('',(0.,-1.,1.7483827159451E-16)); #30653=DIRECTION('center_axis',(0.,-1.,0.)); #30654=DIRECTION('ref_axis',(-1.,0.,0.)); #30655=DIRECTION('',(1.,0.,0.)); #30656=DIRECTION('',(-0.104528463267574,0.,-0.994521895368282)); #30657=DIRECTION('',(0.104528463267574,0.,-0.994521895368282)); #30658=DIRECTION('',(-1.,0.,0.)); #30659=DIRECTION('center_axis',(0.,1.,0.)); #30660=DIRECTION('ref_axis',(1.,0.,0.)); #30661=DIRECTION('center_axis',(0.,1.,0.)); #30662=DIRECTION('ref_axis',(1.,0.,0.)); #30663=DIRECTION('center_axis',(0.,1.,0.)); #30664=DIRECTION('ref_axis',(1.,0.,0.)); #30665=DIRECTION('center_axis',(0.,1.,0.)); #30666=DIRECTION('ref_axis',(1.,0.,0.)); #30667=DIRECTION('center_axis',(0.,1.,0.)); #30668=DIRECTION('ref_axis',(1.,0.,0.)); #30669=DIRECTION('center_axis',(0.,1.,0.)); #30670=DIRECTION('ref_axis',(1.,0.,0.)); #30671=DIRECTION('center_axis',(0.,1.,0.)); #30672=DIRECTION('ref_axis',(1.,0.,0.)); #30673=DIRECTION('center_axis',(0.,1.,0.)); #30674=DIRECTION('ref_axis',(1.,0.,0.)); #30675=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30676=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30677=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30678=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30679=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30680=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30681=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #30682=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30683=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30684=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30685=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30686=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30687=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30688=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30689=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #30690=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30691=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30692=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30693=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30694=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30695=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30696=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30697=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #30698=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30699=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30700=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30701=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30702=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30703=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30704=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30705=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #30706=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30707=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30708=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30709=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30710=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30711=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30712=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30713=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #30714=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30715=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30716=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30717=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30718=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30719=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30720=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30721=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #30722=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30723=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30724=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30725=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30726=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30727=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30728=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30729=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #30730=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30731=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30732=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30733=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30734=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30735=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30736=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30737=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #30738=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30739=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30740=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30741=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30742=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30743=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #30744=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30745=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #30746=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #30747=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30748=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30749=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30750=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30751=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30752=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30753=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30754=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30755=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30756=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30757=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #30758=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30759=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30760=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30761=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30762=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30763=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30764=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30765=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #30766=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30767=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30768=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30769=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30770=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30771=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30772=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30773=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #30774=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30775=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30776=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30777=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30778=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30779=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30780=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30781=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #30782=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30783=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30784=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30785=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30786=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30787=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30788=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30789=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #30790=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30791=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30792=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30793=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30794=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30795=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30796=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30797=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #30798=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30799=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30800=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30801=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30802=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30803=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30804=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30805=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #30806=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30807=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30808=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30809=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30810=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30811=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30812=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30813=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #30814=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30815=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30816=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30817=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30818=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30819=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #30820=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30821=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #30822=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #30823=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30824=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30825=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30826=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30827=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30828=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30829=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30830=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30831=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30832=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30833=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30834=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30835=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30836=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30837=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30838=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30839=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30840=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30841=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30842=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30843=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30844=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30845=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30846=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30847=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30848=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30849=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30850=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30851=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30852=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30853=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30854=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30855=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30856=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30857=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30858=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30859=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30860=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30861=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30862=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30863=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30864=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30865=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30866=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30867=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30868=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30869=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30870=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30871=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30872=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30873=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30874=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30875=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30876=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30877=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30878=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30879=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30880=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30881=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30882=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30883=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30884=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30885=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30886=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30887=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30888=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30889=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30890=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30891=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30892=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30893=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30894=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30895=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30896=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30897=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30898=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30899=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30900=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30901=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30902=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30903=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30904=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30905=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30906=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30907=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30908=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30909=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30910=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30911=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30912=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30913=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30914=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30915=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30916=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30917=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30918=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30919=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30920=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30921=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30922=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30923=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30924=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30925=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30926=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30927=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30928=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30929=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30930=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30931=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30932=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30933=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30934=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30935=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30936=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30937=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30938=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30939=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30940=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30941=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30942=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30943=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30944=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30945=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30946=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30947=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30948=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30949=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30950=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30951=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30952=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30953=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30954=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30955=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30956=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30957=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30958=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30959=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30960=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30961=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30962=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30963=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30964=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30965=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30966=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30967=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30968=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30969=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30970=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30971=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30972=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30973=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30974=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30975=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30976=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30977=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30978=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30979=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30980=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30981=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30982=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30983=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30984=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30985=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30986=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30987=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30988=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30989=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30990=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30991=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30992=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30993=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30994=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30995=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #30996=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30997=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #30998=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #30999=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31000=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31001=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31002=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31003=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31004=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31005=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31006=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31007=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31008=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31009=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31010=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31011=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31012=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31013=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31014=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31015=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31016=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31017=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31018=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31019=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31020=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31021=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31022=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31023=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31024=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31025=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31026=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31027=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31028=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31029=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31030=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31031=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31032=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31033=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31034=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31035=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31036=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31037=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31038=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31039=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31040=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31041=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31042=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31043=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31044=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31045=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31046=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31047=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31048=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31049=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31050=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31051=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31052=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31053=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31054=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31055=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31056=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31057=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31058=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31059=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31060=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31061=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31062=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31063=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31064=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31065=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31066=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31067=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31068=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31069=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31070=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31071=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31072=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31073=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31074=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31075=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31076=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31077=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31078=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31079=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31080=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31081=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31082=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31083=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31084=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31085=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31086=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31087=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31088=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31089=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31090=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31091=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31092=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31093=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31094=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31095=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31096=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31097=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31098=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31099=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31100=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31101=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31102=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31103=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31104=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31105=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31106=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31107=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31108=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31109=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31110=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31111=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31112=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31113=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31114=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31115=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31116=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31117=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31118=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31119=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31120=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31121=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31122=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31123=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31124=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31125=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31126=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31127=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31128=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31129=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31130=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31131=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31132=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31133=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31134=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31135=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31136=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31137=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31138=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31139=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31140=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31141=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31142=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31143=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #31144=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31145=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31146=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31147=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31148=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31149=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31150=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31151=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #31152=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31153=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31154=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31155=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31156=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31157=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31158=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31159=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #31160=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31161=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31162=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31163=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31164=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31165=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31166=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31167=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #31168=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31169=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31170=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31171=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31172=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31173=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31174=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31175=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #31176=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31177=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31178=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31179=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31180=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31181=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31182=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31183=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #31184=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31185=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31186=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31187=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31188=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31189=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31190=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31191=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #31192=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31193=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31194=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31195=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31196=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31197=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31198=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31199=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #31200=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31201=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31202=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31203=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31204=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31205=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31206=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31207=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #31208=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31209=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31210=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31211=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31212=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31213=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31214=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #31215=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #31216=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31217=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31218=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31219=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31220=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31221=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31222=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31223=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #31224=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31225=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31226=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31227=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31228=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31229=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31230=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31231=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #31232=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31233=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31234=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31235=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31236=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31237=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31238=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31239=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #31240=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31241=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31242=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31243=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31244=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31245=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31246=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31247=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #31248=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31249=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31250=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31251=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31252=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31253=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31254=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31255=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #31256=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31257=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31258=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31259=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31260=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31261=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31262=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #31263=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31264=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31265=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31266=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31267=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31268=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31269=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #31270=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31271=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31272=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31273=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31274=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31275=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31276=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31277=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #31278=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31279=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31280=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31281=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31282=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31283=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31284=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31285=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #31286=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31287=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31288=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31289=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31290=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31291=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31292=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31293=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #31294=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31295=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31296=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31297=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31298=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31299=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31300=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31301=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #31302=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31303=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31304=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31305=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31306=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31307=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #31308=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31309=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #31310=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #31311=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31312=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31313=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31314=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31315=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31316=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31317=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31318=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31319=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31320=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31321=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31322=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31323=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31324=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31325=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31326=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31327=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31328=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31329=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31330=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31331=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31332=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31333=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31334=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31335=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31336=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31337=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31338=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31339=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31340=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31341=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31342=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31343=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31344=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31345=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31346=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31347=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31348=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31349=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31350=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31351=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31352=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31353=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31354=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31355=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31356=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31357=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31358=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31359=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31360=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31361=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31362=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31363=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31364=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31365=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31366=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31367=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31368=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31369=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31370=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31371=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31372=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31373=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31374=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31375=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31376=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31377=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31378=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31379=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #31380=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31381=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31382=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #31383=DIRECTION('center_axis',(0.,-1.,0.)); #31384=DIRECTION('ref_axis',(1.,0.,0.)); #31385=DIRECTION('center_axis',(0.,-1.,0.)); #31386=DIRECTION('ref_axis',(1.,0.,0.)); #31387=DIRECTION('center_axis',(0.,-1.,0.)); #31388=DIRECTION('ref_axis',(1.,0.,0.)); #31389=DIRECTION('center_axis',(0.,-1.,0.)); #31390=DIRECTION('ref_axis',(1.,0.,0.)); #31391=DIRECTION('center_axis',(0.,-1.,0.)); #31392=DIRECTION('ref_axis',(1.,0.,0.)); #31393=DIRECTION('center_axis',(0.,-1.,0.)); #31394=DIRECTION('ref_axis',(1.,0.,0.)); #31395=DIRECTION('center_axis',(0.,-1.,0.)); #31396=DIRECTION('ref_axis',(1.,0.,0.)); #31397=DIRECTION('center_axis',(0.,-1.,0.)); #31398=DIRECTION('ref_axis',(1.,0.,0.)); #31399=DIRECTION('center_axis',(0.,-1.,0.)); #31400=DIRECTION('ref_axis',(1.,0.,0.)); #31401=DIRECTION('center_axis',(0.,-1.,0.)); #31402=DIRECTION('ref_axis',(1.,0.,0.)); #31403=DIRECTION('center_axis',(0.,-1.,0.)); #31404=DIRECTION('ref_axis',(1.,0.,0.)); #31405=DIRECTION('center_axis',(0.,-1.,0.)); #31406=DIRECTION('ref_axis',(1.,0.,0.)); #31407=DIRECTION('center_axis',(0.,-1.,0.)); #31408=DIRECTION('ref_axis',(1.,0.,0.)); #31409=DIRECTION('center_axis',(0.,-1.,0.)); #31410=DIRECTION('ref_axis',(1.,0.,0.)); #31411=DIRECTION('center_axis',(0.,-1.,0.)); #31412=DIRECTION('ref_axis',(1.,0.,0.)); #31413=DIRECTION('center_axis',(0.,-1.,0.)); #31414=DIRECTION('ref_axis',(1.,0.,0.)); #31415=DIRECTION('center_axis',(0.,-1.,0.)); #31416=DIRECTION('ref_axis',(1.,0.,0.)); #31417=DIRECTION('center_axis',(0.,-1.,0.)); #31418=DIRECTION('ref_axis',(1.,0.,0.)); #31419=DIRECTION('center_axis',(0.,-1.,0.)); #31420=DIRECTION('ref_axis',(1.,0.,0.)); #31421=DIRECTION('center_axis',(0.,-1.,0.)); #31422=DIRECTION('ref_axis',(1.,0.,0.)); #31423=DIRECTION('center_axis',(0.,-1.,0.)); #31424=DIRECTION('ref_axis',(1.,0.,0.)); #31425=DIRECTION('center_axis',(0.,-1.,0.)); #31426=DIRECTION('ref_axis',(1.,0.,0.)); #31427=DIRECTION('center_axis',(0.,-1.,0.)); #31428=DIRECTION('ref_axis',(1.,0.,0.)); #31429=DIRECTION('center_axis',(0.,-1.,0.)); #31430=DIRECTION('ref_axis',(1.,0.,0.)); #31431=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31432=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31433=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31434=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31435=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31436=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31437=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31438=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31439=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31440=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31441=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31442=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31443=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31444=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31445=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31446=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31447=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31448=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31449=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31450=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31451=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31452=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31453=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31454=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31455=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31456=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31457=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31458=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31459=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31460=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31461=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31462=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31463=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31464=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31465=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31466=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31467=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31468=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31469=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31470=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31471=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31472=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31473=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31474=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31475=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31476=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31477=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31478=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31479=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31480=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31481=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31482=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31483=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31484=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31485=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31486=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31487=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31488=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31489=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31490=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31491=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31492=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31493=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31494=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31495=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31496=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31497=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31498=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31499=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31500=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31501=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31502=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31503=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31504=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31505=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31506=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31507=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31508=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31509=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31510=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31511=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31512=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31513=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31514=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31515=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31516=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31517=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31518=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31519=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31520=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31521=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31522=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31523=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31524=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31525=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31526=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31527=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31528=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31529=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31530=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31531=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31532=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31533=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31534=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31535=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31536=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31537=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31538=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31539=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31540=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31541=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31542=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31543=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31544=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31545=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31546=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31547=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31548=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31549=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31550=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31551=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31552=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31553=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #31554=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31555=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #31556=DIRECTION('ref_axis',(0.104528463267574,0.,-0.994521895368282)); #31557=DIRECTION('',(-0.104528463267574,-1.66024446950028E-15,0.994521895368282)); #31558=DIRECTION('',(-1.0312867603263E-6,0.999999999999468,0.)); #31559=DIRECTION('',(1.0312867603263E-6,-0.999999999999468,0.)); #31560=DIRECTION('center_axis',(0.,0.,1.)); #31561=DIRECTION('ref_axis',(1.,0.,0.)); #31562=DIRECTION('',(0.779061297456908,0.626947760826019,0.)); #31563=DIRECTION('',(1.,0.,0.)); #31564=DIRECTION('',(-0.119045950565867,-0.992888745859207,0.)); #31565=DIRECTION('center_axis',(0.,0.,1.)); #31566=DIRECTION('ref_axis',(1.,5.50751365492214E-15,0.)); #31567=DIRECTION('',(1.,-7.84645488594967E-16,0.)); #31568=DIRECTION('center_axis',(0.,0.,1.)); #31569=DIRECTION('ref_axis',(0.173648177666936,0.984807753012207,0.)); #31570=DIRECTION('',(0.939692620785905,-0.342020143325679,0.)); #31571=DIRECTION('center_axis',(0.,0.,-1.)); #31572=DIRECTION('ref_axis',(-0.145051793107033,-0.989424063441169,0.)); #31573=DIRECTION('center_axis',(0.,0.,1.)); #31574=DIRECTION('ref_axis',(0.453678754751077,-0.8911652975108,0.)); #31575=DIRECTION('center_axis',(0.,0.,1.)); #31576=DIRECTION('ref_axis',(-0.992888625752009,0.119046952301552,0.)); #31577=DIRECTION('',(0.119046952301552,0.992888625752009,0.)); #31578=DIRECTION('center_axis',(0.,0.,1.)); #31579=DIRECTION('ref_axis',(0.,-1.,0.)); #31580=DIRECTION('',(-1.,-2.49189949614184E-16,0.)); #31581=DIRECTION('center_axis',(0.,0.,1.)); #31582=DIRECTION('ref_axis',(0.939841533331517,-0.341610732010958,0.)); #31583=DIRECTION('',(-0.341610732010958,-0.939841533331517,0.)); #31584=DIRECTION('center_axis',(0.,0.,1.)); #31585=DIRECTION('ref_axis',(0.,1.,0.)); #31586=DIRECTION('',(1.,0.,0.)); #31587=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #31588=DIRECTION('ref_axis',(0.104528463267572,0.,-0.994521895368282)); #31589=DIRECTION('',(-0.779061297456908,-0.626947760826018,0.)); #31590=DIRECTION('',(-0.104528463267572,-3.03660991025549E-17,0.994521895368282)); #31591=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #31592=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #31593=DIRECTION('',(0.104528463267572,3.03660991025549E-17,0.994521895368282)); #31594=DIRECTION('',(1.,2.90505553734428E-16,0.)); #31595=DIRECTION('',(-0.104528463267602,-3.03660991025636E-17,-0.994521895368279)); #31596=DIRECTION('',(0.104528437979547,3.03660917562432E-17,-0.99452189802616)); #31597=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #31598=DIRECTION('ref_axis',(-0.104528463267572,0.,-0.994521895368282)); #31599=DIRECTION('',(0.104528463267574,1.67809656057017E-15,0.994521895368282)); #31600=DIRECTION('',(-0.779061297456908,-0.626947760826019,0.)); #31601=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #31602=DIRECTION('ref_axis',(-0.104528463267574,0.,-0.994521895368282)); #31603=DIRECTION('',(1.0312867603263E-6,-0.999999999999468,0.)); #31604=DIRECTION('center_axis',(0.,0.,1.)); #31605=DIRECTION('ref_axis',(1.,0.,0.)); #31606=DIRECTION('',(-1.,0.,0.)); #31607=DIRECTION('center_axis',(0.,0.,-1.)); #31608=DIRECTION('ref_axis',(1.,-3.83361042428972E-15,0.)); #31609=DIRECTION('',(0.119045928507149,0.992888748504016,0.)); #31610=DIRECTION('center_axis',(0.,0.,-1.)); #31611=DIRECTION('ref_axis',(0.173648177666936,0.984807753012207,0.)); #31612=DIRECTION('',(-1.,0.,0.)); #31613=DIRECTION('center_axis',(0.,0.,-1.)); #31614=DIRECTION('ref_axis',(0.,1.,0.)); #31615=DIRECTION('',(0.341610732010958,0.939841533331517,0.)); #31616=DIRECTION('center_axis',(0.,0.,-1.)); #31617=DIRECTION('ref_axis',(0.939841533331517,-0.341610732010958,0.)); #31618=DIRECTION('',(1.,2.49189949614184E-16,0.)); #31619=DIRECTION('center_axis',(0.,0.,-1.)); #31620=DIRECTION('ref_axis',(0.,-1.,0.)); #31621=DIRECTION('',(-0.119046952301552,-0.992888625752009,0.)); #31622=DIRECTION('center_axis',(0.,0.,-1.)); #31623=DIRECTION('ref_axis',(-0.992888625752009,0.119046952301552,0.)); #31624=DIRECTION('center_axis',(0.,0.,-1.)); #31625=DIRECTION('ref_axis',(0.453678754751077,-0.8911652975108,0.)); #31626=DIRECTION('center_axis',(0.,0.,1.)); #31627=DIRECTION('ref_axis',(-0.145051793107033,-0.989424063441169,0.)); #31628=DIRECTION('',(-0.939692620785905,0.342020143325679,0.)); #31629=DIRECTION('center_axis',(0.,0.,1.)); #31630=DIRECTION('ref_axis',(0.173648177666936,0.984807753012207,0.)); #31631=DIRECTION('',(0.,0.,-1.)); #31632=DIRECTION('',(0.,0.,1.)); #31633=DIRECTION('center_axis',(0.,-1.,0.)); #31634=DIRECTION('ref_axis',(1.,0.,0.)); #31635=DIRECTION('',(0.,0.,-1.)); #31636=DIRECTION('center_axis',(0.,0.,1.)); #31637=DIRECTION('ref_axis',(-0.145051793107033,-0.989424063441169,0.)); #31638=DIRECTION('',(0.,0.,1.)); #31639=DIRECTION('',(0.,0.,-1.)); #31640=DIRECTION('center_axis',(0.342020143325679,0.939692620785905,0.)); #31641=DIRECTION('ref_axis',(-0.939692620785905,0.342020143325679,0.)); #31642=DIRECTION('center_axis',(0.,0.,1.)); #31643=DIRECTION('ref_axis',(0.,1.,0.)); #31644=DIRECTION('',(0.,0.,-1.)); #31645=DIRECTION('center_axis',(0.,0.,1.)); #31646=DIRECTION('ref_axis',(0.,-1.,0.)); #31647=DIRECTION('',(0.,0.,-1.)); #31648=DIRECTION('',(0.,0.,-1.)); #31649=DIRECTION('center_axis',(0.,0.,1.)); #31650=DIRECTION('ref_axis',(-0.992888625752009,0.119046952301552,0.)); #31651=DIRECTION('',(0.,0.,-1.)); #31652=DIRECTION('',(0.,0.,1.)); #31653=DIRECTION('center_axis',(0.,0.,1.)); #31654=DIRECTION('ref_axis',(0.939841533331517,-0.341610732010958,0.)); #31655=DIRECTION('',(0.,0.,-1.)); #31656=DIRECTION('',(0.,0.,-1.)); #31657=DIRECTION('center_axis',(-2.49189949614184E-16,1.,0.)); #31658=DIRECTION('ref_axis',(-1.,-2.49189949614184E-16,0.)); #31659=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #31660=DIRECTION('ref_axis',(0.103055059248253,-0.0123560474585355,-0.994598905516461)); #31661=DIRECTION('',(-0.119045939536509,-0.992888747181606,-1.06445901495563E-7)); #31662=DIRECTION('',(-0.104528436213408,1.48929815919914E-8,0.994521898211789)); #31663=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #31664=DIRECTION('ref_axis',(-0.103055059248255,0.0123562607170245,-0.994598902867096)); #31665=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #31666=DIRECTION('ref_axis',(-0.107457998287407,-0.0249823770453001,-0.993895698472043)); #31667=DIRECTION('',(-0.119045928507149,-0.992888748504016,0.)); #31668=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #31669=DIRECTION('ref_axis',(0.101532751961232,-0.0249823770453107,0.994518466955916)); #31670=DIRECTION('center_axis',(-0.104528463267819,-1.77130684153669E-15, 0.994521895368256)); #31671=DIRECTION('ref_axis',(5.50751365492214E-15,-1.,-1.20220068389589E-15)); #31672=DIRECTION('center_axis',(0.,0.,1.)); #31673=DIRECTION('ref_axis',(1.,5.50751365492214E-15,0.)); #31674=DIRECTION('',(-0.104528463267819,-1.77130684153669E-15,0.994521895368256)); #31675=DIRECTION('center_axis',(0.,0.,1.)); #31676=DIRECTION('ref_axis',(1.,0.,0.)); #31677=DIRECTION('center_axis',(0.,0.,-1.)); #31678=DIRECTION('ref_axis',(1.,-3.83361042428972E-15,0.)); #31679=DIRECTION('center_axis',(-7.84645488594967E-16,-1.,-8.42762927876514E-16)); #31680=DIRECTION('ref_axis',(-0.951056516295154,4.85814137966807E-16,0.309016994374947)); #31681=DIRECTION('',(-0.309016994374947,1.04398396478442E-15,-0.951056516295154)); #31682=DIRECTION('center_axis',(-0.309016994374947,1.04398396478442E-15, -0.951056516295154)); #31683=DIRECTION('ref_axis',(0.56526884746545,-0.804199956311576,-0.1836669822084)); #31684=DIRECTION('center_axis',(-0.939841533331517,0.341610732010958,0.)); #31685=DIRECTION('ref_axis',(-0.341610732010958,-0.939841533331517,0.)); #31686=DIRECTION('center_axis',(0.992888625752009,-0.119046952301552,0.)); #31687=DIRECTION('ref_axis',(0.119046952301552,0.992888625752009,0.)); #31688=DIRECTION('center_axis',(0.,0.,1.)); #31689=DIRECTION('ref_axis',(0.453678754751077,-0.8911652975108,0.)); #31690=DIRECTION('axis',(0.,0.,1.)); #31691=DIRECTION('refdir',(1.,0.,0.)); #31692=DIRECTION('center_axis',(0.,1.,0.)); #31693=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186549)); #31694=DIRECTION('',(0.,1.,0.)); #31695=DIRECTION('center_axis',(0.,1.,0.)); #31696=DIRECTION('ref_axis',(-1.,0.,0.)); #31697=DIRECTION('',(0.,-1.,0.)); #31698=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544,0.)); #31699=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #31700=DIRECTION('center_axis',(0.,0.,1.)); #31701=DIRECTION('ref_axis',(1.,0.,0.)); #31702=DIRECTION('center_axis',(0.999539615299856,-0.0303406896133867,0.)); #31703=DIRECTION('ref_axis',(0.0303406896133867,0.999539615299856,0.)); #31704=DIRECTION('center_axis',(0.,0.,1.)); #31705=DIRECTION('ref_axis',(-0.696297102674789,0.71775367975838,0.)); #31706=DIRECTION('center_axis',(0.,0.,-1.)); #31707=DIRECTION('ref_axis',(-0.696297102674789,0.71775367975838,0.)); #31708=DIRECTION('center_axis',(0.,0.,-1.)); #31709=DIRECTION('ref_axis',(-1.,0.,0.)); #31710=DIRECTION('center_axis',(0.89116529751081,-0.453678754751057,0.)); #31711=DIRECTION('ref_axis',(0.453678754751057,0.89116529751081,0.)); #31712=DIRECTION('center_axis',(0.,0.,1.)); #31713=DIRECTION('ref_axis',(0.248001923016298,0.968759539917011,0.)); #31714=DIRECTION('center_axis',(0.,0.,-1.)); #31715=DIRECTION('ref_axis',(0.248001923016298,0.968759539917011,0.)); #31716=DIRECTION('center_axis',(0.,0.,1.)); #31717=DIRECTION('ref_axis',(1.,0.,0.)); #31718=DIRECTION('center_axis',(0.119046952301543,-0.99288862575201,0.)); #31719=DIRECTION('ref_axis',(0.99288862575201,0.119046952301543,0.)); #31720=DIRECTION('center_axis',(0.,0.,1.)); #31721=DIRECTION('ref_axis',(0.819866441157379,0.572554817169443,0.)); #31722=DIRECTION('center_axis',(0.,0.,-1.)); #31723=DIRECTION('ref_axis',(0.819866441157379,0.572554817169443,0.)); #31724=DIRECTION('center_axis',(0.119046952301552,-0.992888625752009,0.)); #31725=DIRECTION('ref_axis',(0.702078280232244,0.0841789072520113,0.707106781186542)); #31726=DIRECTION('center_axis',(0.119046952301554,-0.992888625752008,0.)); #31727=DIRECTION('ref_axis',(0.992888625752008,0.119046952301554,0.)); #31728=DIRECTION('',(-0.119046952301552,0.992888625752009,0.)); #31729=DIRECTION('',(0.119046952301552,-0.992888625752009,0.)); #31730=DIRECTION('center_axis',(0.,0.,1.)); #31731=DIRECTION('ref_axis',(1.,0.,0.)); #31732=DIRECTION('center_axis',(-1.,0.,0.)); #31733=DIRECTION('ref_axis',(0.,-1.,0.)); #31734=DIRECTION('center_axis',(0.,0.,1.)); #31735=DIRECTION('ref_axis',(0.748013018704073,-0.663684054237572,0.)); #31736=DIRECTION('center_axis',(0.,0.,-1.)); #31737=DIRECTION('ref_axis',(0.748013018704073,-0.663684054237572,0.)); #31738=DIRECTION('center_axis',(-1.,0.,0.)); #31739=DIRECTION('ref_axis',(0.,-0.70710678118655,0.707106781186545)); #31740=DIRECTION('center_axis',(-1.,-2.79741234551221E-15,0.)); #31741=DIRECTION('ref_axis',(2.79741234551221E-15,-1.,0.)); #31742=DIRECTION('',(1.,0.,0.)); #31743=DIRECTION('',(-1.,0.,0.)); #31744=DIRECTION('center_axis',(0.,0.,1.)); #31745=DIRECTION('ref_axis',(1.,0.,0.)); #31746=DIRECTION('center_axis',(-0.341624922395361,0.939836375332623,0.)); #31747=DIRECTION('ref_axis',(-0.939836375332623,-0.341624922395361,0.)); #31748=DIRECTION('center_axis',(0.,0.,1.)); #31749=DIRECTION('ref_axis',(-0.573748672157349,-0.819031416490038,0.)); #31750=DIRECTION('center_axis',(0.,0.,-1.)); #31751=DIRECTION('ref_axis',(-0.573748672157349,-0.819031416490038,0.)); #31752=DIRECTION('center_axis',(-0.341624922395356,0.939836375332625,0.)); #31753=DIRECTION('ref_axis',(-0.664564674203487,-0.24156529924808,0.707106781186546)); #31754=DIRECTION('center_axis',(-0.341624922395369,0.93983637533262,0.)); #31755=DIRECTION('ref_axis',(-0.93983637533262,-0.341624922395369,0.)); #31756=DIRECTION('',(0.341624922395356,-0.939836375332625,0.)); #31757=DIRECTION('',(-0.341624922395356,0.939836375332625,0.)); #31758=DIRECTION('center_axis',(0.,0.,1.)); #31759=DIRECTION('ref_axis',(1.,0.,0.)); #31760=DIRECTION('center_axis',(2.23792987640976E-14,1.,0.)); #31761=DIRECTION('ref_axis',(-1.,2.23792987640976E-14,0.)); #31762=DIRECTION('center_axis',(0.,0.,1.)); #31763=DIRECTION('ref_axis',(-0.984844245384169,-0.173441091825685,0.)); #31764=DIRECTION('center_axis',(0.,0.,-1.)); #31765=DIRECTION('ref_axis',(-0.98484424538417,-0.173441091825685,0.)); #31766=DIRECTION('center_axis',(1.04358631653701E-14,1.,0.)); #31767=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #31768=DIRECTION('center_axis',(0.,1.,0.)); #31769=DIRECTION('ref_axis',(-1.,0.,0.)); #31770=DIRECTION('',(-1.04358631653701E-14,-1.,0.)); #31771=DIRECTION('',(1.04358631653701E-14,1.,0.)); #31772=DIRECTION('center_axis',(0.,0.,1.)); #31773=DIRECTION('ref_axis',(1.,0.,0.)); #31774=DIRECTION('center_axis',(1.,0.,0.)); #31775=DIRECTION('ref_axis',(0.,1.,0.)); #31776=DIRECTION('center_axis',(0.,0.,1.)); #31777=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #31778=DIRECTION('center_axis',(0.,0.,-1.)); #31779=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #31780=DIRECTION('center_axis',(1.,0.,0.)); #31781=DIRECTION('ref_axis',(0.,0.707106781186558,0.707106781186537)); #31782=DIRECTION('',(-1.,0.,0.)); #31783=DIRECTION('',(1.,0.,0.)); #31784=DIRECTION('center_axis',(0.,1.,0.)); #31785=DIRECTION('ref_axis',(-1.,0.,0.)); #31786=DIRECTION('',(0.,0.,-1.)); #31787=DIRECTION('',(-1.,0.,0.)); #31788=DIRECTION('',(0.,0.,1.)); #31789=DIRECTION('center_axis',(0.,0.,-1.)); #31790=DIRECTION('ref_axis',(0.748013018704073,-0.663684054237572,0.)); #31791=DIRECTION('',(0.,0.,1.)); #31792=DIRECTION('center_axis',(0.,0.,1.)); #31793=DIRECTION('ref_axis',(0.748013018704073,-0.663684054237572,0.)); #31794=DIRECTION('center_axis',(-0.992888625752009,-0.119046952301552,0.)); #31795=DIRECTION('ref_axis',(0.119046952301552,-0.992888625752009,0.)); #31796=DIRECTION('',(0.,0.,-1.)); #31797=DIRECTION('',(0.119046952301552,-0.992888625752009,0.)); #31798=DIRECTION('center_axis',(0.,0.,-1.)); #31799=DIRECTION('ref_axis',(0.819866441157378,0.572554817169444,0.)); #31800=DIRECTION('',(0.,0.,1.)); #31801=DIRECTION('center_axis',(0.,0.,1.)); #31802=DIRECTION('ref_axis',(0.819866441157378,0.572554817169444,0.)); #31803=DIRECTION('center_axis',(0.,0.,-1.)); #31804=DIRECTION('ref_axis',(0.457301775043101,0.889311580123878,0.)); #31805=DIRECTION('',(0.,0.,-1.)); #31806=DIRECTION('center_axis',(0.,0.,-1.)); #31807=DIRECTION('ref_axis',(0.457301775043101,0.889311580123878,0.)); #31808=DIRECTION('center_axis',(0.,0.,-1.)); #31809=DIRECTION('ref_axis',(-0.696297102674788,0.71775367975838,0.)); #31810=DIRECTION('',(0.,0.,1.)); #31811=DIRECTION('center_axis',(0.,0.,1.)); #31812=DIRECTION('ref_axis',(-0.696297102674788,0.71775367975838,0.)); #31813=DIRECTION('center_axis',(1.,0.,0.)); #31814=DIRECTION('ref_axis',(0.,1.,0.)); #31815=DIRECTION('',(0.,0.,1.)); #31816=DIRECTION('',(0.,1.,0.)); #31817=DIRECTION('center_axis',(0.,0.,-1.)); #31818=DIRECTION('ref_axis',(1.,0.,0.)); #31819=DIRECTION('center_axis',(0.,1.,0.)); #31820=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31821=DIRECTION('center_axis',(0.,1.,0.)); #31822=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31823=DIRECTION('center_axis',(0.,1.,0.)); #31824=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31825=DIRECTION('center_axis',(0.,-1.,0.)); #31826=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31827=DIRECTION('center_axis',(0.,1.,0.)); #31828=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31829=DIRECTION('center_axis',(0.,1.,0.)); #31830=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31831=DIRECTION('center_axis',(0.,1.,0.)); #31832=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31833=DIRECTION('center_axis',(0.,-1.,0.)); #31834=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31835=DIRECTION('center_axis',(0.,1.,0.)); #31836=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31837=DIRECTION('center_axis',(0.,1.,0.)); #31838=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31839=DIRECTION('center_axis',(0.,1.,0.)); #31840=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31841=DIRECTION('center_axis',(0.,-1.,0.)); #31842=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31843=DIRECTION('center_axis',(0.,1.,0.)); #31844=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31845=DIRECTION('center_axis',(0.,1.,0.)); #31846=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31847=DIRECTION('center_axis',(0.,1.,0.)); #31848=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31849=DIRECTION('center_axis',(0.,-1.,0.)); #31850=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #31851=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31852=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31853=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31854=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31855=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31856=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31857=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #31858=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31859=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31860=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31861=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31862=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31863=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31864=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31865=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #31866=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31867=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31868=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31869=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31870=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31871=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31872=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31873=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #31874=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31875=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31876=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31877=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31878=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31879=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31880=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31881=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #31882=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31883=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31884=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31885=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31886=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31887=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31888=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31889=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #31890=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31891=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31892=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31893=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31894=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31895=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31896=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31897=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #31898=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31899=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31900=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31901=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31902=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31903=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31904=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31905=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #31906=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31907=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31908=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31909=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31910=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31911=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31912=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31913=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #31914=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31915=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31916=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31917=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31918=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31919=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #31920=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31921=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #31922=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #31923=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #31924=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #31925=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #31926=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #31927=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31928=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31929=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31930=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31931=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31932=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31933=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #31934=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31935=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31936=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31937=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31938=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31939=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31940=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31941=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #31942=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31943=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31944=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31945=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31946=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31947=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31948=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31949=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #31950=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31951=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31952=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31953=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31954=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31955=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31956=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31957=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #31958=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31959=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31960=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31961=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31962=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31963=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31964=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31965=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #31966=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31967=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31968=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31969=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31970=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31971=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31972=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31973=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #31974=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31975=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31976=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31977=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31978=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31979=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31980=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31981=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #31982=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31983=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31984=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31985=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31986=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31987=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31988=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31989=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #31990=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31991=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31992=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31993=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31994=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31995=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #31996=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31997=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #31998=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #31999=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32000=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32001=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32002=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32003=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32004=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32005=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #32006=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32007=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32008=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32009=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32010=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32011=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32012=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32013=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #32014=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32015=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32016=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32017=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32018=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32019=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32020=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32021=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #32022=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32023=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32024=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32025=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32026=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32027=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32028=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32029=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #32030=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32031=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32032=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32033=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32034=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32035=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32036=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32037=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #32038=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32039=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32040=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32041=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32042=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32043=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #32044=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32045=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #32046=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #32047=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32048=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32049=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32050=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32051=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32052=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32053=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32054=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32055=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32056=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32057=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32058=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32059=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32060=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32061=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32062=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32063=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32064=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32065=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32066=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32067=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32068=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32069=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32070=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32071=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32072=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32073=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32074=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32075=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32076=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32077=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32078=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32079=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32080=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32081=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32082=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32083=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32084=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32085=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32086=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32087=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32088=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32089=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32090=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32091=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32092=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32093=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32094=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32095=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32096=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32097=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32098=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32099=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32100=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32101=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32102=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32103=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32104=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32105=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32106=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32107=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32108=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32109=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32110=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32111=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32112=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32113=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32114=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32115=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32116=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32117=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32118=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32119=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32120=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32121=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32122=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32123=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32124=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32125=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32126=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32127=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32128=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32129=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32130=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32131=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32132=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32133=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32134=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32135=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32136=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32137=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32138=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32139=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32140=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32141=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32142=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32143=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32144=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32145=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32146=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32147=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32148=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32149=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32150=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32151=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32152=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32153=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32154=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32155=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32156=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32157=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32158=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32159=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32160=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32161=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32162=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32163=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32164=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32165=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32166=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32167=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #32168=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32169=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32170=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32171=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #32172=DIRECTION('ref_axis',(0.104528463267626,0.,-0.994521895368276)); #32173=DIRECTION('',(0.104528462342429,-0.000132836935918586,-0.994521886594094)); #32174=DIRECTION('',(-7.35390746286746E-16,-0.999999999999977,-2.14416512236632E-7)); #32175=DIRECTION('',(-0.104528463267626,0.,0.994521895368276)); #32176=DIRECTION('',(2.25360842823501E-8,1.,0.)); #32177=DIRECTION('center_axis',(0.,-1.,0.)); #32178=DIRECTION('ref_axis',(-1.,0.,0.)); #32179=DIRECTION('',(0.,0.,-1.)); #32180=DIRECTION('',(1.,0.,0.)); #32181=DIRECTION('',(0.,0.,-1.)); #32182=DIRECTION('',(-1.,0.,0.)); #32183=DIRECTION('center_axis',(1.,-7.35390746286763E-16,0.)); #32184=DIRECTION('ref_axis',(-7.35390746286763E-16,-1.,0.)); #32185=DIRECTION('',(-6.18835431547175E-17,-0.0841505600487747,0.99645305119884)); #32186=DIRECTION('',(7.35390746286763E-16,1.,0.)); #32187=DIRECTION('center_axis',(-1.,0.,0.)); #32188=DIRECTION('ref_axis',(0.,0.,1.)); #32189=DIRECTION('',(-7.8171058467774E-25,-1.,-4.73628135835843E-12)); #32190=DIRECTION('',(-4.32848844392352E-29,1.,-2.62257407391719E-16)); #32191=DIRECTION('',(-1.65047328385211E-13,8.95171950572339E-14,-1.)); #32192=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #32193=DIRECTION('ref_axis',(0.104365198493865,0.,-0.994539041638555)); #32194=DIRECTION('',(-0.779056660287107,0.626953523048,0.)); #32195=DIRECTION('',(0.104365198493865,-3.03186697790638E-17,-0.994539041638555)); #32196=DIRECTION('',(0.779056660287107,-0.626953523048,0.)); #32197=DIRECTION('center_axis',(0.,0.,-1.)); #32198=DIRECTION('ref_axis',(1.,0.,0.)); #32199=DIRECTION('',(-1.,2.90505553734428E-16,0.)); #32200=DIRECTION('',(-0.119046952301558,0.992888625752008,0.)); #32201=DIRECTION('center_axis',(0.,0.,1.)); #32202=DIRECTION('ref_axis',(1.,-3.96502697574155E-15,0.)); #32203=DIRECTION('',(1.,-8.74496630061031E-13,0.)); #32204=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #32205=DIRECTION('ref_axis',(-0.104528463267653,0.,0.994521895368273)); #32206=DIRECTION('',(-0.104528463267653,3.03660991025785E-17,0.994521895368273)); #32207=DIRECTION('',(0.119046952301551,-0.992888625752009,0.)); #32208=DIRECTION('',(0.104528463267491,1.32473801155562E-15,-0.99452189536829)); #32209=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #32210=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #32211=DIRECTION('',(1.,-2.90505553734428E-16,0.)); #32212=DIRECTION('center_axis',(0.,0.,1.)); #32213=DIRECTION('ref_axis',(1.,0.,0.)); #32214=DIRECTION('',(-1.,8.74496630061031E-13,0.)); #32215=DIRECTION('center_axis',(0.,0.,1.)); #32216=DIRECTION('ref_axis',(-1.,3.95308463371775E-15,0.)); #32217=DIRECTION('',(1.,0.,0.)); #32218=DIRECTION('center_axis',(0.,0.,1.)); #32219=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #32220=DIRECTION('',(1.04358631653701E-14,1.,0.)); #32221=DIRECTION('center_axis',(0.,0.,1.)); #32222=DIRECTION('ref_axis',(-0.984844245384169,-0.173441091825688,0.)); #32223=DIRECTION('',(-0.341624922395356,0.939836375332625,0.)); #32224=DIRECTION('center_axis',(0.,0.,1.)); #32225=DIRECTION('ref_axis',(-0.573748672157349,-0.819031416490039,0.)); #32226=DIRECTION('center_axis',(8.74496630061031E-13,1.,9.32453345837031E-14)); #32227=DIRECTION('ref_axis',(-0.99452189536829,8.79452837552377E-13,-0.104528463267491)); #32228=DIRECTION('',(-0.104528463267491,-1.32473801155562E-15,0.99452189536829)); #32229=DIRECTION('center_axis',(-0.104528463267491,-1.32473801155562E-15, 0.99452189536829)); #32230=DIRECTION('ref_axis',(3.95308463371775E-15,1.,1.74752097623841E-15)); #32231=DIRECTION('center_axis',(0.,0.,-1.)); #32232=DIRECTION('ref_axis',(-0.573748672157349,-0.819031416490039,0.)); #32233=DIRECTION('',(0.,0.,-1.)); #32234=DIRECTION('center_axis',(0.,0.,-1.)); #32235=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #32236=DIRECTION('',(0.,0.,1.)); #32237=DIRECTION('',(0.,0.,-1.)); #32238=DIRECTION('center_axis',(1.,-1.04358631653701E-14,0.)); #32239=DIRECTION('ref_axis',(1.04358631653701E-14,1.,0.)); #32240=DIRECTION('',(0.,0.,-1.)); #32241=DIRECTION('center_axis',(0.,0.,-1.)); #32242=DIRECTION('ref_axis',(-0.984844245384169,-0.173441091825688,0.)); #32243=DIRECTION('',(0.,0.,1.)); #32244=DIRECTION('center_axis',(0.939836375332625,0.341624922395356,0.)); #32245=DIRECTION('ref_axis',(-0.341624922395356,0.939836375332625,0.)); #32246=DIRECTION('center_axis',(0.,-1.,0.)); #32247=DIRECTION('ref_axis',(1.,0.,0.)); #32248=DIRECTION('axis',(0.,0.,1.)); #32249=DIRECTION('refdir',(1.,0.,0.)); #32250=DIRECTION('center_axis',(-1.,0.,0.)); #32251=DIRECTION('ref_axis',(0.,-1.,0.)); #32252=DIRECTION('',(0.,1.,0.)); #32253=DIRECTION('',(0.,0.,1.)); #32254=DIRECTION('',(0.,-1.,0.)); #32255=DIRECTION('',(0.,0.,-1.)); #32256=DIRECTION('center_axis',(0.104528463267654,0.994521895368273,0.)); #32257=DIRECTION('ref_axis',(0.994521895368273,-0.104528463267654,0.)); #32258=DIRECTION('',(0.994521895368273,-0.104528463267654,0.)); #32259=DIRECTION('',(-0.774794156911347,0.0814341473504825,0.626946962718007)); #32260=DIRECTION('',(0.994521895368273,-0.104528463267654,0.)); #32261=DIRECTION('center_axis',(0.,0.,1.)); #32262=DIRECTION('ref_axis',(1.,0.,0.)); #32263=DIRECTION('',(-0.994521895368273,-0.104528463267655,0.)); #32264=DIRECTION('',(-2.73847868665919E-14,1.,0.)); #32265=DIRECTION('center_axis',(0.,0.,1.)); #32266=DIRECTION('ref_axis',(-1.,0.,0.)); #32267=DIRECTION('center_axis',(0.,0.,1.)); #32268=DIRECTION('ref_axis',(-1.,0.,0.)); #32269=DIRECTION('center_axis',(0.,0.,1.)); #32270=DIRECTION('ref_axis',(-1.,0.,0.)); #32271=DIRECTION('center_axis',(0.,0.,1.)); #32272=DIRECTION('ref_axis',(-1.,0.,0.)); #32273=DIRECTION('center_axis',(0.,0.,1.)); #32274=DIRECTION('ref_axis',(-1.,0.,0.)); #32275=DIRECTION('center_axis',(0.,0.,1.)); #32276=DIRECTION('ref_axis',(-1.,0.,0.)); #32277=DIRECTION('center_axis',(0.,0.,1.)); #32278=DIRECTION('ref_axis',(-1.,0.,0.)); #32279=DIRECTION('center_axis',(0.,0.,1.)); #32280=DIRECTION('ref_axis',(-1.,0.,0.)); #32281=DIRECTION('center_axis',(0.,0.,1.)); #32282=DIRECTION('ref_axis',(-1.,0.,0.)); #32283=DIRECTION('center_axis',(0.,0.,1.)); #32284=DIRECTION('ref_axis',(-1.,0.,0.)); #32285=DIRECTION('center_axis',(0.,0.,1.)); #32286=DIRECTION('ref_axis',(-1.,0.,0.)); #32287=DIRECTION('center_axis',(0.,0.,1.)); #32288=DIRECTION('ref_axis',(-1.,0.,0.)); #32289=DIRECTION('center_axis',(0.,0.,1.)); #32290=DIRECTION('ref_axis',(-1.,0.,0.)); #32291=DIRECTION('center_axis',(0.,0.,1.)); #32292=DIRECTION('ref_axis',(-1.,0.,0.)); #32293=DIRECTION('center_axis',(0.,0.,1.)); #32294=DIRECTION('ref_axis',(-1.,0.,0.)); #32295=DIRECTION('center_axis',(0.,0.,1.)); #32296=DIRECTION('ref_axis',(-1.,0.,0.)); #32297=DIRECTION('center_axis',(0.,0.,1.)); #32298=DIRECTION('ref_axis',(-1.,0.,0.)); #32299=DIRECTION('center_axis',(0.,0.,1.)); #32300=DIRECTION('ref_axis',(-1.,0.,0.)); #32301=DIRECTION('center_axis',(0.,0.,1.)); #32302=DIRECTION('ref_axis',(-1.,0.,0.)); #32303=DIRECTION('center_axis',(0.,0.,1.)); #32304=DIRECTION('ref_axis',(-1.,0.,0.)); #32305=DIRECTION('center_axis',(0.,0.,1.)); #32306=DIRECTION('ref_axis',(-1.,0.,0.)); #32307=DIRECTION('center_axis',(0.,0.,1.)); #32308=DIRECTION('ref_axis',(-1.,0.,0.)); #32309=DIRECTION('center_axis',(0.,0.,1.)); #32310=DIRECTION('ref_axis',(-1.,0.,0.)); #32311=DIRECTION('center_axis',(0.,0.,1.)); #32312=DIRECTION('ref_axis',(-1.,0.,0.)); #32313=DIRECTION('center_axis',(0.,0.,1.)); #32314=DIRECTION('ref_axis',(-1.,0.,0.)); #32315=DIRECTION('center_axis',(0.,0.,1.)); #32316=DIRECTION('ref_axis',(-1.,0.,0.)); #32317=DIRECTION('center_axis',(0.,0.,1.)); #32318=DIRECTION('ref_axis',(-1.,0.,0.)); #32319=DIRECTION('center_axis',(0.,0.,1.)); #32320=DIRECTION('ref_axis',(-1.,0.,0.)); #32321=DIRECTION('center_axis',(0.,0.,1.)); #32322=DIRECTION('ref_axis',(-1.,0.,0.)); #32323=DIRECTION('center_axis',(0.,0.,1.)); #32324=DIRECTION('ref_axis',(-1.,0.,0.)); #32325=DIRECTION('center_axis',(0.,0.,1.)); #32326=DIRECTION('ref_axis',(-1.,0.,0.)); #32327=DIRECTION('center_axis',(0.,0.,1.)); #32328=DIRECTION('ref_axis',(-1.,0.,0.)); #32329=DIRECTION('center_axis',(0.,0.,1.)); #32330=DIRECTION('ref_axis',(-1.,0.,0.)); #32331=DIRECTION('center_axis',(0.,0.,1.)); #32332=DIRECTION('ref_axis',(-1.,0.,0.)); #32333=DIRECTION('center_axis',(0.,0.,1.)); #32334=DIRECTION('ref_axis',(-1.,0.,0.)); #32335=DIRECTION('center_axis',(0.,0.,1.)); #32336=DIRECTION('ref_axis',(-1.,0.,0.)); #32337=DIRECTION('center_axis',(0.,0.,-1.)); #32338=DIRECTION('ref_axis',(-1.,0.,0.)); #32339=DIRECTION('center_axis',(0.,0.,-1.)); #32340=DIRECTION('ref_axis',(-1.,0.,0.)); #32341=DIRECTION('center_axis',(0.,0.,-1.)); #32342=DIRECTION('ref_axis',(-1.,0.,0.)); #32343=DIRECTION('center_axis',(0.,0.,-1.)); #32344=DIRECTION('ref_axis',(-1.,0.,0.)); #32345=DIRECTION('center_axis',(0.,0.,-1.)); #32346=DIRECTION('ref_axis',(-1.,0.,0.)); #32347=DIRECTION('center_axis',(0.,0.,-1.)); #32348=DIRECTION('ref_axis',(-1.,0.,0.)); #32349=DIRECTION('center_axis',(0.,0.,-1.)); #32350=DIRECTION('ref_axis',(-1.,0.,0.)); #32351=DIRECTION('center_axis',(0.,0.,-1.)); #32352=DIRECTION('ref_axis',(-1.,0.,0.)); #32353=DIRECTION('center_axis',(0.,0.,-1.)); #32354=DIRECTION('ref_axis',(-1.,0.,0.)); #32355=DIRECTION('center_axis',(0.,0.,-1.)); #32356=DIRECTION('ref_axis',(-1.,0.,0.)); #32357=DIRECTION('center_axis',(0.,0.,-1.)); #32358=DIRECTION('ref_axis',(-1.,0.,0.)); #32359=DIRECTION('center_axis',(0.,0.,-1.)); #32360=DIRECTION('ref_axis',(-1.,0.,0.)); #32361=DIRECTION('center_axis',(0.,0.,-1.)); #32362=DIRECTION('ref_axis',(-1.,0.,0.)); #32363=DIRECTION('center_axis',(0.,0.,-1.)); #32364=DIRECTION('ref_axis',(-1.,0.,0.)); #32365=DIRECTION('center_axis',(0.,0.,-1.)); #32366=DIRECTION('ref_axis',(-1.,0.,0.)); #32367=DIRECTION('center_axis',(0.,0.,-1.)); #32368=DIRECTION('ref_axis',(-1.,0.,0.)); #32369=DIRECTION('center_axis',(0.,0.,-1.)); #32370=DIRECTION('ref_axis',(-1.,0.,0.)); #32371=DIRECTION('center_axis',(0.,0.,-1.)); #32372=DIRECTION('ref_axis',(-1.,0.,0.)); #32373=DIRECTION('center_axis',(0.,0.,-1.)); #32374=DIRECTION('ref_axis',(-1.,0.,0.)); #32375=DIRECTION('center_axis',(0.,0.,-1.)); #32376=DIRECTION('ref_axis',(-1.,0.,0.)); #32377=DIRECTION('center_axis',(0.,0.,-1.)); #32378=DIRECTION('ref_axis',(-1.,0.,0.)); #32379=DIRECTION('center_axis',(0.,0.,-1.)); #32380=DIRECTION('ref_axis',(-1.,0.,0.)); #32381=DIRECTION('center_axis',(0.,0.,-1.)); #32382=DIRECTION('ref_axis',(-1.,0.,0.)); #32383=DIRECTION('center_axis',(0.,0.,-1.)); #32384=DIRECTION('ref_axis',(-1.,0.,0.)); #32385=DIRECTION('center_axis',(0.,0.,-1.)); #32386=DIRECTION('ref_axis',(-1.,0.,0.)); #32387=DIRECTION('center_axis',(0.,0.,-1.)); #32388=DIRECTION('ref_axis',(-1.,0.,0.)); #32389=DIRECTION('center_axis',(0.,0.,-1.)); #32390=DIRECTION('ref_axis',(-1.,0.,0.)); #32391=DIRECTION('center_axis',(0.,0.,-1.)); #32392=DIRECTION('ref_axis',(-1.,0.,0.)); #32393=DIRECTION('center_axis',(0.,0.,-1.)); #32394=DIRECTION('ref_axis',(-1.,0.,0.)); #32395=DIRECTION('center_axis',(0.,0.,-1.)); #32396=DIRECTION('ref_axis',(-1.,0.,0.)); #32397=DIRECTION('center_axis',(0.,0.,-1.)); #32398=DIRECTION('ref_axis',(-1.,0.,0.)); #32399=DIRECTION('center_axis',(0.,0.,-1.)); #32400=DIRECTION('ref_axis',(-1.,0.,0.)); #32401=DIRECTION('center_axis',(0.,0.,-1.)); #32402=DIRECTION('ref_axis',(-1.,0.,0.)); #32403=DIRECTION('center_axis',(0.,0.,-1.)); #32404=DIRECTION('ref_axis',(-1.,0.,0.)); #32405=DIRECTION('center_axis',(0.,0.,-1.)); #32406=DIRECTION('ref_axis',(-1.,0.,0.)); #32407=DIRECTION('center_axis',(0.,0.,-1.)); #32408=DIRECTION('ref_axis',(-1.,0.,0.)); #32409=DIRECTION('center_axis',(0.,0.,-1.)); #32410=DIRECTION('ref_axis',(-1.,0.,0.)); #32411=DIRECTION('center_axis',(0.,0.,-1.)); #32412=DIRECTION('ref_axis',(-1.,0.,0.)); #32413=DIRECTION('center_axis',(0.,0.,-1.)); #32414=DIRECTION('ref_axis',(-1.,0.,0.)); #32415=DIRECTION('center_axis',(0.,0.,-1.)); #32416=DIRECTION('ref_axis',(-1.,0.,0.)); #32417=DIRECTION('center_axis',(0.,0.,-1.)); #32418=DIRECTION('ref_axis',(-1.,0.,0.)); #32419=DIRECTION('center_axis',(0.,0.,-1.)); #32420=DIRECTION('ref_axis',(-1.,0.,0.)); #32421=DIRECTION('center_axis',(0.,0.,-1.)); #32422=DIRECTION('ref_axis',(-1.,0.,0.)); #32423=DIRECTION('center_axis',(0.,0.,-1.)); #32424=DIRECTION('ref_axis',(-1.,0.,0.)); #32425=DIRECTION('center_axis',(0.,0.,-1.)); #32426=DIRECTION('ref_axis',(-1.,0.,0.)); #32427=DIRECTION('center_axis',(0.,0.,-1.)); #32428=DIRECTION('ref_axis',(-1.,0.,0.)); #32429=DIRECTION('center_axis',(0.,0.,-1.)); #32430=DIRECTION('ref_axis',(-1.,0.,0.)); #32431=DIRECTION('center_axis',(0.,0.,-1.)); #32432=DIRECTION('ref_axis',(-1.,0.,0.)); #32433=DIRECTION('center_axis',(0.,0.,-1.)); #32434=DIRECTION('ref_axis',(-1.,0.,0.)); #32435=DIRECTION('center_axis',(0.,0.,-1.)); #32436=DIRECTION('ref_axis',(-1.,0.,0.)); #32437=DIRECTION('center_axis',(0.,0.,-1.)); #32438=DIRECTION('ref_axis',(-1.,0.,0.)); #32439=DIRECTION('center_axis',(0.,0.,-1.)); #32440=DIRECTION('ref_axis',(-1.,0.,0.)); #32441=DIRECTION('center_axis',(0.,0.,-1.)); #32442=DIRECTION('ref_axis',(-1.,0.,0.)); #32443=DIRECTION('center_axis',(0.,0.,-1.)); #32444=DIRECTION('ref_axis',(-1.,0.,0.)); #32445=DIRECTION('center_axis',(0.,0.,-1.)); #32446=DIRECTION('ref_axis',(-1.,0.,0.)); #32447=DIRECTION('center_axis',(0.,0.,-1.)); #32448=DIRECTION('ref_axis',(-1.,0.,0.)); #32449=DIRECTION('center_axis',(0.,0.,-1.)); #32450=DIRECTION('ref_axis',(-1.,0.,0.)); #32451=DIRECTION('center_axis',(0.,0.,-1.)); #32452=DIRECTION('ref_axis',(-1.,0.,0.)); #32453=DIRECTION('center_axis',(0.,0.,-1.)); #32454=DIRECTION('ref_axis',(-1.,0.,0.)); #32455=DIRECTION('center_axis',(0.,0.,-1.)); #32456=DIRECTION('ref_axis',(-1.,0.,0.)); #32457=DIRECTION('center_axis',(0.,0.,-1.)); #32458=DIRECTION('ref_axis',(-1.,0.,0.)); #32459=DIRECTION('center_axis',(0.,0.,-1.)); #32460=DIRECTION('ref_axis',(-1.,0.,0.)); #32461=DIRECTION('center_axis',(0.,0.,-1.)); #32462=DIRECTION('ref_axis',(-1.,0.,0.)); #32463=DIRECTION('center_axis',(0.,0.,-1.)); #32464=DIRECTION('ref_axis',(-1.,0.,0.)); #32465=DIRECTION('center_axis',(0.,0.,-1.)); #32466=DIRECTION('ref_axis',(-1.,0.,0.)); #32467=DIRECTION('center_axis',(0.,0.,-1.)); #32468=DIRECTION('ref_axis',(-1.,0.,0.)); #32469=DIRECTION('center_axis',(0.,0.,-1.)); #32470=DIRECTION('ref_axis',(-1.,0.,0.)); #32471=DIRECTION('center_axis',(0.,0.,-1.)); #32472=DIRECTION('ref_axis',(-1.,0.,0.)); #32473=DIRECTION('center_axis',(0.,0.,-1.)); #32474=DIRECTION('ref_axis',(-1.,0.,0.)); #32475=DIRECTION('center_axis',(0.,0.,-1.)); #32476=DIRECTION('ref_axis',(-1.,0.,0.)); #32477=DIRECTION('center_axis',(0.,0.,-1.)); #32478=DIRECTION('ref_axis',(-1.,0.,0.)); #32479=DIRECTION('center_axis',(0.,0.,-1.)); #32480=DIRECTION('ref_axis',(-1.,0.,0.)); #32481=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32482=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32483=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32484=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32485=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32486=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32487=DIRECTION('center_axis',(0.629036165193285,1.72260213151964E-14, 0.777376036985271)); #32488=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32489=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32490=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32491=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32492=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32493=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32494=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32495=DIRECTION('center_axis',(0.629036165193285,1.72260213151964E-14, 0.777376036985271)); #32496=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32497=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32498=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32499=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32500=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32501=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32502=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32503=DIRECTION('center_axis',(0.629036165193285,1.72260213151964E-14, 0.777376036985271)); #32504=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32505=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32506=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32507=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32508=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32509=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32510=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32511=DIRECTION('center_axis',(0.629036165193285,1.72260213151964E-14, 0.777376036985271)); #32512=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32513=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32514=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32515=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32516=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32517=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32518=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32519=DIRECTION('center_axis',(0.629036165193285,1.72260213151964E-14, 0.777376036985271)); #32520=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32521=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32522=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32523=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32524=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32525=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32526=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32527=DIRECTION('center_axis',(0.629036165193285,1.72260213151964E-14, 0.777376036985271)); #32528=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32529=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32530=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32531=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32532=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32533=DIRECTION('center_axis',(-0.629036165193285,-1.72260213151964E-14, -0.777376036985271)); #32534=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32535=DIRECTION('center_axis',(0.629036165193285,1.72260213151964E-14, 0.777376036985271)); #32536=DIRECTION('ref_axis',(-0.777376036985271,0.,0.629036165193285)); #32537=DIRECTION('center_axis',(0.629036165193285,1.72260213151964E-14, 0.777376036985271)); #32538=DIRECTION('ref_axis',(0.777376036985271,2.13447544599961E-14,-0.629036165193285)); #32539=DIRECTION('',(0.774794156911346,0.0814341473504829,-0.626946962718009)); #32540=DIRECTION('',(0.,1.,0.)); #32541=DIRECTION('center_axis',(0.104528463267655,-0.994521895368273,0.)); #32542=DIRECTION('ref_axis',(-0.994521895368273,-0.104528463267655,0.)); #32543=DIRECTION('',(0.994521895368273,0.104528463267655,0.)); #32544=DIRECTION('center_axis',(0.,0.,1.)); #32545=DIRECTION('ref_axis',(1.,0.,0.)); #32546=DIRECTION('axis',(0.,0.,1.)); #32547=DIRECTION('refdir',(1.,0.,0.)); #32548=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32549=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32550=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32551=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32552=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32553=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32554=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32555=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32556=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32557=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32558=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32559=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32560=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32561=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32562=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32563=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32564=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32565=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32566=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32567=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32568=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32569=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32570=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32571=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32572=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32573=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32574=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32575=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32576=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32577=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32578=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32579=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32580=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32581=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32582=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32583=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32584=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32585=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32586=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32587=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32588=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32589=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32590=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32591=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32592=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32593=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32594=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32595=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32596=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32597=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32598=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32599=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32600=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32601=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32602=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32603=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32604=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32605=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32606=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32607=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32608=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32609=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32610=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32611=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32612=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32613=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32614=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32615=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32616=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32617=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32618=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32619=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32620=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32621=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32622=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32623=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32624=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32625=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32626=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32627=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32628=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32629=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32630=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32631=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32632=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32633=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32634=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32635=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32636=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32637=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32638=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32639=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32640=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32641=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32642=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32643=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32644=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32645=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32646=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32647=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32648=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32649=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32650=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32651=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32652=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32653=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32654=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32655=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32656=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32657=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32658=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32659=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32660=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32661=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32662=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32663=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32664=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32665=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32666=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32667=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32668=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32669=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32670=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32671=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32672=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32673=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32674=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32675=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32676=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32677=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32678=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32679=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32680=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32681=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32682=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32683=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32684=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32685=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32686=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32687=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32688=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32689=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32690=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32691=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32692=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32693=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32694=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32695=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32696=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32697=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32698=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32699=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32700=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32701=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32702=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32703=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32704=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32705=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32706=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32707=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32708=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32709=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32710=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32711=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32712=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32713=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32714=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32715=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32716=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32717=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32718=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32719=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32720=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32721=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32722=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32723=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32724=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32725=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32726=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32727=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32728=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32729=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32730=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32731=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32732=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32733=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32734=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32735=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32736=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32737=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32738=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32739=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32740=DIRECTION('center_axis',(0.777376036985219,-0.62903616519335,0.)); #32741=DIRECTION('ref_axis',(-0.62903616519335,-0.777376036985219,0.)); #32742=DIRECTION('',(-0.627665862959045,-0.775682588850883,-0.0659703405286815)); #32743=DIRECTION('',(0.,0.,1.)); #32744=DIRECTION('',(-0.627665862959045,-0.775682588850883,0.0659703405286815)); #32745=DIRECTION('',(0.,0.,1.)); #32746=DIRECTION('center_axis',(-0.777376036985215,0.629036165193355,0.)); #32747=DIRECTION('ref_axis',(-0.629036165193355,-0.777376036985215,0.)); #32748=DIRECTION('',(0.627665862959049,0.775682588850879,-0.065970340528682)); #32749=DIRECTION('',(0.,0.,1.)); #32750=DIRECTION('',(0.627665862959049,0.775682588850879,0.065970340528682)); #32751=DIRECTION('',(0.,0.,1.)); #32752=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #32753=DIRECTION('ref_axis',(-0.777376036985218,0.629036165193352,0.)); #32754=DIRECTION('',(-0.774794156911291,0.626946962718078,-0.0814341473504761)); #32755=DIRECTION('',(-0.774794156911294,0.626946962718073,0.0814341473504765)); #32756=DIRECTION('center_axis',(-0.104528463267654,0.,0.994521895368273)); #32757=DIRECTION('ref_axis',(0.994521895368273,0.,0.104528463267654)); #32758=DIRECTION('',(0.774794156911291,-0.626946962718077,0.0814341473504761)); #32759=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #32760=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #32761=DIRECTION('',(0.774794156911293,-0.626946962718075,-0.0814341473504764)); #32762=DIRECTION('center_axis',(0.104528463267654,0.,0.994521895368273)); #32763=DIRECTION('ref_axis',(0.994521895368273,0.,-0.104528463267654)); #32764=DIRECTION('axis',(0.,0.,1.)); #32765=DIRECTION('refdir',(1.,0.,0.)); #32766=DIRECTION('center_axis',(-1.,0.,0.)); #32767=DIRECTION('ref_axis',(0.,0.,1.)); #32768=DIRECTION('center_axis',(-1.,0.,0.)); #32769=DIRECTION('ref_axis',(0.,0.,1.)); #32770=DIRECTION('center_axis',(-1.,0.,0.)); #32771=DIRECTION('ref_axis',(0.,0.,1.)); #32772=DIRECTION('center_axis',(1.,0.,0.)); #32773=DIRECTION('ref_axis',(0.,0.,1.)); #32774=DIRECTION('center_axis',(-1.,0.,0.)); #32775=DIRECTION('ref_axis',(0.,0.,1.)); #32776=DIRECTION('center_axis',(-1.,0.,0.)); #32777=DIRECTION('ref_axis',(0.,0.,1.)); #32778=DIRECTION('center_axis',(-1.,0.,0.)); #32779=DIRECTION('ref_axis',(0.,0.,1.)); #32780=DIRECTION('center_axis',(1.,0.,0.)); #32781=DIRECTION('ref_axis',(0.,0.,1.)); #32782=DIRECTION('center_axis',(-1.,0.,0.)); #32783=DIRECTION('ref_axis',(0.,0.,1.)); #32784=DIRECTION('center_axis',(-1.,0.,0.)); #32785=DIRECTION('ref_axis',(0.,0.,1.)); #32786=DIRECTION('center_axis',(-1.,0.,0.)); #32787=DIRECTION('ref_axis',(0.,0.,1.)); #32788=DIRECTION('center_axis',(1.,0.,0.)); #32789=DIRECTION('ref_axis',(0.,0.,1.)); #32790=DIRECTION('center_axis',(-1.,0.,0.)); #32791=DIRECTION('ref_axis',(0.,0.,1.)); #32792=DIRECTION('center_axis',(-1.,0.,0.)); #32793=DIRECTION('ref_axis',(0.,0.,1.)); #32794=DIRECTION('center_axis',(-1.,0.,0.)); #32795=DIRECTION('ref_axis',(0.,0.,1.)); #32796=DIRECTION('center_axis',(1.,0.,0.)); #32797=DIRECTION('ref_axis',(0.,0.,1.)); #32798=DIRECTION('center_axis',(-1.,0.,0.)); #32799=DIRECTION('ref_axis',(0.,0.,1.)); #32800=DIRECTION('center_axis',(-1.,0.,0.)); #32801=DIRECTION('ref_axis',(0.,0.,1.)); #32802=DIRECTION('center_axis',(-1.,0.,0.)); #32803=DIRECTION('ref_axis',(0.,0.,1.)); #32804=DIRECTION('center_axis',(1.,0.,0.)); #32805=DIRECTION('ref_axis',(0.,0.,1.)); #32806=DIRECTION('center_axis',(-1.,0.,0.)); #32807=DIRECTION('ref_axis',(0.,0.,1.)); #32808=DIRECTION('center_axis',(-1.,0.,0.)); #32809=DIRECTION('ref_axis',(0.,0.,1.)); #32810=DIRECTION('center_axis',(-1.,0.,0.)); #32811=DIRECTION('ref_axis',(0.,0.,1.)); #32812=DIRECTION('center_axis',(1.,0.,0.)); #32813=DIRECTION('ref_axis',(0.,0.,1.)); #32814=DIRECTION('center_axis',(-1.,0.,0.)); #32815=DIRECTION('ref_axis',(0.,0.,1.)); #32816=DIRECTION('center_axis',(-1.,0.,0.)); #32817=DIRECTION('ref_axis',(0.,0.,1.)); #32818=DIRECTION('center_axis',(-1.,0.,0.)); #32819=DIRECTION('ref_axis',(0.,0.,1.)); #32820=DIRECTION('center_axis',(1.,0.,0.)); #32821=DIRECTION('ref_axis',(0.,0.,1.)); #32822=DIRECTION('center_axis',(-1.,0.,0.)); #32823=DIRECTION('ref_axis',(0.,0.,1.)); #32824=DIRECTION('center_axis',(-1.,0.,0.)); #32825=DIRECTION('ref_axis',(0.,0.,1.)); #32826=DIRECTION('center_axis',(-1.,0.,0.)); #32827=DIRECTION('ref_axis',(0.,0.,1.)); #32828=DIRECTION('center_axis',(1.,0.,0.)); #32829=DIRECTION('ref_axis',(0.,0.,1.)); #32830=DIRECTION('center_axis',(-1.,0.,0.)); #32831=DIRECTION('ref_axis',(0.,0.,1.)); #32832=DIRECTION('center_axis',(-1.,0.,0.)); #32833=DIRECTION('ref_axis',(0.,0.,1.)); #32834=DIRECTION('center_axis',(-1.,0.,0.)); #32835=DIRECTION('ref_axis',(0.,0.,1.)); #32836=DIRECTION('center_axis',(1.,0.,0.)); #32837=DIRECTION('ref_axis',(0.,0.,1.)); #32838=DIRECTION('center_axis',(-1.,0.,0.)); #32839=DIRECTION('ref_axis',(0.,0.,1.)); #32840=DIRECTION('center_axis',(-1.,0.,0.)); #32841=DIRECTION('ref_axis',(0.,0.,1.)); #32842=DIRECTION('center_axis',(-1.,0.,0.)); #32843=DIRECTION('ref_axis',(0.,0.,1.)); #32844=DIRECTION('center_axis',(1.,0.,0.)); #32845=DIRECTION('ref_axis',(0.,0.,1.)); #32846=DIRECTION('center_axis',(0.,1.,0.)); #32847=DIRECTION('ref_axis',(1.,0.,0.)); #32848=DIRECTION('center_axis',(0.,1.,0.)); #32849=DIRECTION('ref_axis',(1.,0.,0.)); #32850=DIRECTION('center_axis',(0.,1.,0.)); #32851=DIRECTION('ref_axis',(1.,0.,0.)); #32852=DIRECTION('center_axis',(0.,-1.,0.)); #32853=DIRECTION('ref_axis',(1.,0.,0.)); #32854=DIRECTION('center_axis',(0.,1.,0.)); #32855=DIRECTION('ref_axis',(1.,0.,0.)); #32856=DIRECTION('center_axis',(0.,1.,0.)); #32857=DIRECTION('ref_axis',(1.,0.,0.)); #32858=DIRECTION('center_axis',(0.,1.,0.)); #32859=DIRECTION('ref_axis',(1.,0.,0.)); #32860=DIRECTION('center_axis',(0.,-1.,0.)); #32861=DIRECTION('ref_axis',(1.,0.,0.)); #32862=DIRECTION('center_axis',(0.,1.,0.)); #32863=DIRECTION('ref_axis',(1.,0.,0.)); #32864=DIRECTION('center_axis',(0.,1.,0.)); #32865=DIRECTION('ref_axis',(1.,0.,0.)); #32866=DIRECTION('center_axis',(0.,1.,0.)); #32867=DIRECTION('ref_axis',(1.,0.,0.)); #32868=DIRECTION('center_axis',(0.,-1.,0.)); #32869=DIRECTION('ref_axis',(1.,0.,0.)); #32870=DIRECTION('center_axis',(0.,1.,0.)); #32871=DIRECTION('ref_axis',(1.,0.,0.)); #32872=DIRECTION('center_axis',(0.,1.,0.)); #32873=DIRECTION('ref_axis',(1.,0.,0.)); #32874=DIRECTION('center_axis',(0.,1.,0.)); #32875=DIRECTION('ref_axis',(1.,0.,0.)); #32876=DIRECTION('center_axis',(0.,-1.,0.)); #32877=DIRECTION('ref_axis',(1.,0.,0.)); #32878=DIRECTION('center_axis',(0.,1.,0.)); #32879=DIRECTION('ref_axis',(1.,0.,0.)); #32880=DIRECTION('center_axis',(0.,1.,0.)); #32881=DIRECTION('ref_axis',(1.,0.,0.)); #32882=DIRECTION('center_axis',(0.,1.,0.)); #32883=DIRECTION('ref_axis',(1.,0.,0.)); #32884=DIRECTION('center_axis',(0.,-1.,0.)); #32885=DIRECTION('ref_axis',(1.,0.,0.)); #32886=DIRECTION('center_axis',(0.,1.,0.)); #32887=DIRECTION('ref_axis',(1.,0.,0.)); #32888=DIRECTION('center_axis',(0.,1.,0.)); #32889=DIRECTION('ref_axis',(1.,0.,0.)); #32890=DIRECTION('center_axis',(0.,1.,0.)); #32891=DIRECTION('ref_axis',(1.,0.,0.)); #32892=DIRECTION('center_axis',(0.,-1.,0.)); #32893=DIRECTION('ref_axis',(1.,0.,0.)); #32894=DIRECTION('center_axis',(0.,1.,0.)); #32895=DIRECTION('ref_axis',(1.,0.,0.)); #32896=DIRECTION('center_axis',(0.,1.,0.)); #32897=DIRECTION('ref_axis',(1.,0.,0.)); #32898=DIRECTION('center_axis',(0.,1.,0.)); #32899=DIRECTION('ref_axis',(1.,0.,0.)); #32900=DIRECTION('center_axis',(0.,-1.,0.)); #32901=DIRECTION('ref_axis',(1.,0.,0.)); #32902=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32903=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32904=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32905=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32906=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32907=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32908=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #32909=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32910=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32911=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32912=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32913=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32914=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32915=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32916=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #32917=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32918=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32919=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32920=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32921=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32922=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32923=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32924=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #32925=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32926=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32927=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32928=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32929=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32930=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32931=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32932=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #32933=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32934=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32935=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32936=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32937=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32938=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32939=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32940=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #32941=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32942=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32943=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32944=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32945=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32946=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32947=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32948=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #32949=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32950=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32951=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32952=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32953=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32954=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #32955=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32956=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #32957=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #32958=DIRECTION('center_axis',(-1.,0.,0.)); #32959=DIRECTION('ref_axis',(0.,0.,1.)); #32960=DIRECTION('center_axis',(-1.,0.,0.)); #32961=DIRECTION('ref_axis',(0.,0.,1.)); #32962=DIRECTION('center_axis',(1.,0.,0.)); #32963=DIRECTION('ref_axis',(0.,0.,1.)); #32964=DIRECTION('center_axis',(-1.,0.,0.)); #32965=DIRECTION('ref_axis',(0.,0.,1.)); #32966=DIRECTION('center_axis',(-1.,0.,0.)); #32967=DIRECTION('ref_axis',(0.,0.,1.)); #32968=DIRECTION('center_axis',(1.,0.,0.)); #32969=DIRECTION('ref_axis',(0.,0.,1.)); #32970=DIRECTION('center_axis',(-1.,0.,0.)); #32971=DIRECTION('ref_axis',(0.,0.,1.)); #32972=DIRECTION('center_axis',(-1.,0.,0.)); #32973=DIRECTION('ref_axis',(0.,0.,1.)); #32974=DIRECTION('center_axis',(1.,0.,0.)); #32975=DIRECTION('ref_axis',(0.,0.,1.)); #32976=DIRECTION('center_axis',(-1.,0.,0.)); #32977=DIRECTION('ref_axis',(0.,0.,1.)); #32978=DIRECTION('center_axis',(-1.,0.,0.)); #32979=DIRECTION('ref_axis',(0.,0.,1.)); #32980=DIRECTION('center_axis',(1.,0.,0.)); #32981=DIRECTION('ref_axis',(0.,0.,1.)); #32982=DIRECTION('center_axis',(-1.,0.,0.)); #32983=DIRECTION('ref_axis',(0.,0.,1.)); #32984=DIRECTION('center_axis',(-1.,0.,0.)); #32985=DIRECTION('ref_axis',(0.,0.,1.)); #32986=DIRECTION('center_axis',(1.,0.,0.)); #32987=DIRECTION('ref_axis',(0.,0.,1.)); #32988=DIRECTION('center_axis',(-1.,0.,0.)); #32989=DIRECTION('ref_axis',(0.,0.,1.)); #32990=DIRECTION('center_axis',(-1.,0.,0.)); #32991=DIRECTION('ref_axis',(0.,0.,1.)); #32992=DIRECTION('center_axis',(1.,0.,0.)); #32993=DIRECTION('ref_axis',(0.,0.,1.)); #32994=DIRECTION('center_axis',(-1.,0.,0.)); #32995=DIRECTION('ref_axis',(0.,0.,1.)); #32996=DIRECTION('center_axis',(-1.,0.,0.)); #32997=DIRECTION('ref_axis',(0.,0.,1.)); #32998=DIRECTION('center_axis',(1.,0.,0.)); #32999=DIRECTION('ref_axis',(0.,0.,1.)); #33000=DIRECTION('center_axis',(-1.,0.,0.)); #33001=DIRECTION('ref_axis',(0.,0.,1.)); #33002=DIRECTION('center_axis',(-1.,0.,0.)); #33003=DIRECTION('ref_axis',(0.,0.,1.)); #33004=DIRECTION('center_axis',(1.,0.,0.)); #33005=DIRECTION('ref_axis',(0.,0.,1.)); #33006=DIRECTION('center_axis',(-1.,0.,0.)); #33007=DIRECTION('ref_axis',(0.,0.,1.)); #33008=DIRECTION('center_axis',(-1.,0.,0.)); #33009=DIRECTION('ref_axis',(0.,0.,1.)); #33010=DIRECTION('center_axis',(1.,0.,0.)); #33011=DIRECTION('ref_axis',(0.,0.,1.)); #33012=DIRECTION('center_axis',(-1.,0.,0.)); #33013=DIRECTION('ref_axis',(0.,0.,1.)); #33014=DIRECTION('center_axis',(-1.,0.,0.)); #33015=DIRECTION('ref_axis',(0.,0.,1.)); #33016=DIRECTION('center_axis',(1.,0.,0.)); #33017=DIRECTION('ref_axis',(0.,0.,1.)); #33018=DIRECTION('center_axis',(-1.,0.,0.)); #33019=DIRECTION('ref_axis',(0.,0.,1.)); #33020=DIRECTION('center_axis',(-1.,0.,0.)); #33021=DIRECTION('ref_axis',(0.,0.,1.)); #33022=DIRECTION('center_axis',(1.,0.,0.)); #33023=DIRECTION('ref_axis',(0.,0.,1.)); #33024=DIRECTION('center_axis',(-1.,0.,0.)); #33025=DIRECTION('ref_axis',(0.,0.,1.)); #33026=DIRECTION('center_axis',(-1.,0.,0.)); #33027=DIRECTION('ref_axis',(0.,0.,1.)); #33028=DIRECTION('center_axis',(1.,0.,0.)); #33029=DIRECTION('ref_axis',(0.,0.,1.)); #33030=DIRECTION('center_axis',(-1.,0.,0.)); #33031=DIRECTION('ref_axis',(0.,0.,1.)); #33032=DIRECTION('center_axis',(-1.,0.,0.)); #33033=DIRECTION('ref_axis',(0.,0.,1.)); #33034=DIRECTION('center_axis',(1.,0.,0.)); #33035=DIRECTION('ref_axis',(0.,0.,1.)); #33036=DIRECTION('center_axis',(-1.,0.,0.)); #33037=DIRECTION('ref_axis',(0.,0.,1.)); #33038=DIRECTION('center_axis',(-1.,0.,0.)); #33039=DIRECTION('ref_axis',(0.,0.,1.)); #33040=DIRECTION('center_axis',(1.,0.,0.)); #33041=DIRECTION('ref_axis',(0.,0.,1.)); #33042=DIRECTION('center_axis',(-1.,0.,0.)); #33043=DIRECTION('ref_axis',(0.,0.,1.)); #33044=DIRECTION('center_axis',(-1.,0.,0.)); #33045=DIRECTION('ref_axis',(0.,0.,1.)); #33046=DIRECTION('center_axis',(1.,0.,0.)); #33047=DIRECTION('ref_axis',(0.,0.,1.)); #33048=DIRECTION('center_axis',(-1.,0.,0.)); #33049=DIRECTION('ref_axis',(0.,0.,1.)); #33050=DIRECTION('center_axis',(-1.,0.,0.)); #33051=DIRECTION('ref_axis',(0.,0.,1.)); #33052=DIRECTION('center_axis',(1.,0.,0.)); #33053=DIRECTION('ref_axis',(0.,0.,1.)); #33054=DIRECTION('center_axis',(-1.,0.,0.)); #33055=DIRECTION('ref_axis',(0.,0.,1.)); #33056=DIRECTION('center_axis',(-1.,0.,0.)); #33057=DIRECTION('ref_axis',(0.,0.,1.)); #33058=DIRECTION('center_axis',(1.,0.,0.)); #33059=DIRECTION('ref_axis',(0.,0.,1.)); #33060=DIRECTION('center_axis',(-1.,0.,0.)); #33061=DIRECTION('ref_axis',(0.,0.,1.)); #33062=DIRECTION('center_axis',(-1.,0.,0.)); #33063=DIRECTION('ref_axis',(0.,0.,1.)); #33064=DIRECTION('center_axis',(1.,0.,0.)); #33065=DIRECTION('ref_axis',(0.,0.,1.)); #33066=DIRECTION('center_axis',(0.,1.,0.)); #33067=DIRECTION('ref_axis',(0.,0.,1.)); #33068=DIRECTION('',(-0.994521895368273,0.,-0.104528463267654)); #33069=DIRECTION('',(0.,0.,-1.)); #33070=DIRECTION('',(0.994521895368273,0.,-0.104528463267654)); #33071=DIRECTION('',(0.,0.,-1.)); #33072=DIRECTION('center_axis',(1.,0.,0.)); #33073=DIRECTION('ref_axis',(0.,1.,0.)); #33074=DIRECTION('',(0.,1.,0.)); #33075=DIRECTION('',(0.,-1.,0.)); #33076=DIRECTION('',(0.,0.,-1.)); #33077=DIRECTION('center_axis',(-0.104528463267653,0.,-0.994521895368273)); #33078=DIRECTION('ref_axis',(-0.994521895368273,0.,0.104528463267653)); #33079=DIRECTION('',(0.,1.,1.11896493820487E-14)); #33080=DIRECTION('',(0.774794156911289,-0.62694696271808,-0.0814341473504755)); #33081=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #33082=DIRECTION('ref_axis',(-0.777376036985213,0.629036165193357,0.)); #33083=DIRECTION('',(-0.774794156911289,0.62694696271808,-0.0814341473504757)); #33084=DIRECTION('',(0.,0.,1.)); #33085=DIRECTION('center_axis',(-1.,0.,0.)); #33086=DIRECTION('ref_axis',(0.,-1.,0.)); #33087=DIRECTION('',(0.,-1.,0.)); #33088=DIRECTION('center_axis',(-0.104528463267654,0.,0.994521895368273)); #33089=DIRECTION('ref_axis',(0.994521895368273,0.,0.104528463267654)); #33090=DIRECTION('axis',(0.,0.,1.)); #33091=DIRECTION('refdir',(1.,0.,0.)); #33092=DIRECTION('center_axis',(-1.,1.79738924972034E-14,0.)); #33093=DIRECTION('ref_axis',(1.79738924972034E-14,1.,0.)); #33094=DIRECTION('',(-1.79738924972034E-14,-1.,0.)); #33095=DIRECTION('',(0.,0.,-1.)); #33096=DIRECTION('',(1.79738924972034E-14,1.,0.)); #33097=DIRECTION('',(0.,0.,1.)); #33098=DIRECTION('center_axis',(1.,-2.06435573394528E-14,0.)); #33099=DIRECTION('ref_axis',(-2.06435573394528E-14,-1.,0.)); #33100=DIRECTION('',(2.06435573394528E-14,1.,0.)); #33101=DIRECTION('',(0.,0.,-1.)); #33102=DIRECTION('',(-2.06435573394528E-14,-1.,0.)); #33103=DIRECTION('',(0.,0.,1.)); #33104=DIRECTION('center_axis',(-0.104528463267653,0.994521895368273,0.)); #33105=DIRECTION('ref_axis',(-0.994521895368273,-0.104528463267653,0.)); #33106=DIRECTION('',(0.994521895368273,0.104528463267653,0.)); #33107=DIRECTION('',(-0.994521895368273,-0.104528463267653,0.)); #33108=DIRECTION('',(0.,0.,-1.)); #33109=DIRECTION('',(0.994521895368273,0.104528463267653,0.)); #33110=DIRECTION('',(0.,0.,-1.)); #33111=DIRECTION('',(-0.994521895368273,-0.104528463267653,0.)); #33112=DIRECTION('center_axis',(0.,0.,-1.)); #33113=DIRECTION('ref_axis',(-1.,0.,0.)); #33114=DIRECTION('',(-0.994521895368273,0.104528463267653,0.)); #33115=DIRECTION('center_axis',(0.,0.,1.)); #33116=DIRECTION('ref_axis',(-1.,0.,0.)); #33117=DIRECTION('center_axis',(0.,0.,1.)); #33118=DIRECTION('ref_axis',(-1.,0.,0.)); #33119=DIRECTION('center_axis',(0.,0.,1.)); #33120=DIRECTION('ref_axis',(-1.,0.,0.)); #33121=DIRECTION('center_axis',(0.,0.,1.)); #33122=DIRECTION('ref_axis',(-1.,0.,0.)); #33123=DIRECTION('center_axis',(0.,0.,1.)); #33124=DIRECTION('ref_axis',(-1.,0.,0.)); #33125=DIRECTION('center_axis',(0.,0.,1.)); #33126=DIRECTION('ref_axis',(-1.,0.,0.)); #33127=DIRECTION('center_axis',(0.,0.,1.)); #33128=DIRECTION('ref_axis',(-1.,0.,0.)); #33129=DIRECTION('center_axis',(0.,0.,1.)); #33130=DIRECTION('ref_axis',(1.,0.,0.)); #33131=DIRECTION('',(0.994521895368273,-0.104528463267653,0.)); #33132=DIRECTION('center_axis',(0.,0.,1.)); #33133=DIRECTION('ref_axis',(-0.994521895368273,-0.104528463267653,0.)); #33134=DIRECTION('center_axis',(0.,0.,-1.)); #33135=DIRECTION('ref_axis',(-1.,0.,0.)); #33136=DIRECTION('center_axis',(0.,0.,-1.)); #33137=DIRECTION('ref_axis',(-1.,0.,0.)); #33138=DIRECTION('center_axis',(0.,0.,-1.)); #33139=DIRECTION('ref_axis',(-1.,0.,0.)); #33140=DIRECTION('center_axis',(0.,0.,-1.)); #33141=DIRECTION('ref_axis',(-1.,0.,0.)); #33142=DIRECTION('center_axis',(0.,0.,-1.)); #33143=DIRECTION('ref_axis',(-1.,0.,0.)); #33144=DIRECTION('center_axis',(0.,0.,-1.)); #33145=DIRECTION('ref_axis',(-1.,0.,0.)); #33146=DIRECTION('center_axis',(0.,0.,1.)); #33147=DIRECTION('ref_axis',(1.,0.,0.)); #33148=DIRECTION('',(0.104528463267648,-0.994521895368274,0.)); #33149=DIRECTION('',(0.994521895368274,0.104528463267649,0.)); #33150=DIRECTION('center_axis',(0.,0.,1.)); #33151=DIRECTION('ref_axis',(0.995746241981755,0.0921380571708079,0.)); #33152=DIRECTION('',(0.994521895368274,-0.104528463267647,0.)); #33153=DIRECTION('',(-0.104528463267648,-0.994521895368274,0.)); #33154=DIRECTION('',(0.994521895368273,-0.104528463267653,0.)); #33155=DIRECTION('center_axis',(0.,0.,-1.)); #33156=DIRECTION('ref_axis',(-1.,0.,0.)); #33157=DIRECTION('center_axis',(0.,0.,-1.)); #33158=DIRECTION('ref_axis',(-1.,0.,0.)); #33159=DIRECTION('center_axis',(0.,0.,-1.)); #33160=DIRECTION('ref_axis',(-1.,0.,0.)); #33161=DIRECTION('center_axis',(0.,0.,-1.)); #33162=DIRECTION('ref_axis',(-1.,0.,0.)); #33163=DIRECTION('center_axis',(0.,0.,-1.)); #33164=DIRECTION('ref_axis',(-1.,0.,0.)); #33165=DIRECTION('center_axis',(0.,0.,-1.)); #33166=DIRECTION('ref_axis',(-1.,0.,0.)); #33167=DIRECTION('center_axis',(0.,0.,-1.)); #33168=DIRECTION('ref_axis',(-1.,0.,0.)); #33169=DIRECTION('center_axis',(0.,0.,-1.)); #33170=DIRECTION('ref_axis',(-1.,0.,0.)); #33171=DIRECTION('center_axis',(0.,0.,-1.)); #33172=DIRECTION('ref_axis',(-1.,0.,0.)); #33173=DIRECTION('center_axis',(0.,0.,1.)); #33174=DIRECTION('ref_axis',(-1.,0.,0.)); #33175=DIRECTION('center_axis',(0.,0.,-1.)); #33176=DIRECTION('ref_axis',(-1.,0.,0.)); #33177=DIRECTION('center_axis',(0.,0.,1.)); #33178=DIRECTION('ref_axis',(-1.,0.,0.)); #33179=DIRECTION('center_axis',(0.,0.,-1.)); #33180=DIRECTION('ref_axis',(-1.,0.,0.)); #33181=DIRECTION('center_axis',(0.,0.,1.)); #33182=DIRECTION('ref_axis',(-1.,0.,0.)); #33183=DIRECTION('center_axis',(0.,0.,-1.)); #33184=DIRECTION('ref_axis',(-1.,0.,0.)); #33185=DIRECTION('center_axis',(0.,0.,1.)); #33186=DIRECTION('ref_axis',(-1.,0.,0.)); #33187=DIRECTION('center_axis',(0.,0.,-1.)); #33188=DIRECTION('ref_axis',(-1.,0.,0.)); #33189=DIRECTION('center_axis',(0.,0.,1.)); #33190=DIRECTION('ref_axis',(-1.,0.,0.)); #33191=DIRECTION('center_axis',(0.,0.,-1.)); #33192=DIRECTION('ref_axis',(-1.,0.,0.)); #33193=DIRECTION('center_axis',(0.,0.,1.)); #33194=DIRECTION('ref_axis',(-1.,0.,0.)); #33195=DIRECTION('center_axis',(0.,0.,1.)); #33196=DIRECTION('ref_axis',(-0.994521895368273,-0.104528463267653,0.)); #33197=DIRECTION('',(0.,0.,1.)); #33198=DIRECTION('center_axis',(0.,0.,-1.)); #33199=DIRECTION('ref_axis',(-0.994521895368273,-0.104528463267653,0.)); #33200=DIRECTION('center_axis',(0.,0.,1.)); #33201=DIRECTION('ref_axis',(1.,0.,0.)); #33202=DIRECTION('',(-0.994521895368273,0.104528463267653,0.)); #33203=DIRECTION('',(0.104528463267643,0.994521895368274,0.)); #33204=DIRECTION('',(-0.994521895368355,0.104528463266873,0.)); #33205=DIRECTION('center_axis',(0.,0.,1.)); #33206=DIRECTION('ref_axis',(-0.995746241981756,-0.0921380571708079,0.)); #33207=DIRECTION('',(0.994521895368274,0.104528463267648,0.)); #33208=DIRECTION('',(-0.104528463267643,0.994521895368275,0.)); #33209=DIRECTION('center_axis',(0.,0.,1.)); #33210=DIRECTION('ref_axis',(-1.,0.,0.)); #33211=DIRECTION('center_axis',(0.,0.,1.)); #33212=DIRECTION('ref_axis',(-1.,0.,0.)); #33213=DIRECTION('center_axis',(0.,0.,1.)); #33214=DIRECTION('ref_axis',(-1.,0.,0.)); #33215=DIRECTION('center_axis',(0.,0.,1.)); #33216=DIRECTION('ref_axis',(-1.,0.,0.)); #33217=DIRECTION('center_axis',(0.,0.,1.)); #33218=DIRECTION('ref_axis',(-1.,0.,0.)); #33219=DIRECTION('center_axis',(0.,0.,1.)); #33220=DIRECTION('ref_axis',(-1.,0.,0.)); #33221=DIRECTION('center_axis',(0.,0.,1.)); #33222=DIRECTION('ref_axis',(-1.,0.,0.)); #33223=DIRECTION('center_axis',(0.,0.,1.)); #33224=DIRECTION('ref_axis',(-1.,0.,0.)); #33225=DIRECTION('center_axis',(0.,0.,1.)); #33226=DIRECTION('ref_axis',(-1.,0.,0.)); #33227=DIRECTION('center_axis',(0.,0.,1.)); #33228=DIRECTION('ref_axis',(-1.,0.,0.)); #33229=DIRECTION('center_axis',(0.,0.,1.)); #33230=DIRECTION('ref_axis',(-1.,0.,0.)); #33231=DIRECTION('center_axis',(0.,0.,1.)); #33232=DIRECTION('ref_axis',(-1.,0.,0.)); #33233=DIRECTION('center_axis',(0.,0.,1.)); #33234=DIRECTION('ref_axis',(-1.,0.,0.)); #33235=DIRECTION('center_axis',(0.,0.,1.)); #33236=DIRECTION('ref_axis',(-1.,0.,0.)); #33237=DIRECTION('center_axis',(0.,0.,1.)); #33238=DIRECTION('ref_axis',(-1.,0.,0.)); #33239=DIRECTION('center_axis',(-0.104528463267653,-0.994521895368273,0.)); #33240=DIRECTION('ref_axis',(0.994521895368273,-0.104528463267653,0.)); #33241=DIRECTION('',(0.,0.,-1.)); #33242=DIRECTION('center_axis',(0.,0.,-1.)); #33243=DIRECTION('ref_axis',(-1.,0.,0.)); #33244=DIRECTION('center_axis',(0.,0.,-1.)); #33245=DIRECTION('ref_axis',(-1.,0.,0.)); #33246=DIRECTION('center_axis',(0.,0.,-1.)); #33247=DIRECTION('ref_axis',(-1.,0.,0.)); #33248=DIRECTION('center_axis',(0.,0.,-1.)); #33249=DIRECTION('ref_axis',(-1.,0.,0.)); #33250=DIRECTION('center_axis',(0.,0.,-1.)); #33251=DIRECTION('ref_axis',(-1.,0.,0.)); #33252=DIRECTION('center_axis',(0.,0.,-1.)); #33253=DIRECTION('ref_axis',(-1.,0.,0.)); #33254=DIRECTION('center_axis',(0.,0.,-1.)); #33255=DIRECTION('ref_axis',(-1.,0.,0.)); #33256=DIRECTION('center_axis',(0.,0.,-1.)); #33257=DIRECTION('ref_axis',(-1.,0.,0.)); #33258=DIRECTION('center_axis',(0.,0.,-1.)); #33259=DIRECTION('ref_axis',(-1.,0.,0.)); #33260=DIRECTION('center_axis',(0.,0.,-1.)); #33261=DIRECTION('ref_axis',(-1.,0.,0.)); #33262=DIRECTION('center_axis',(0.,0.,-1.)); #33263=DIRECTION('ref_axis',(-1.,0.,0.)); #33264=DIRECTION('center_axis',(0.,0.,-1.)); #33265=DIRECTION('ref_axis',(-1.,0.,0.)); #33266=DIRECTION('center_axis',(0.,0.,-1.)); #33267=DIRECTION('ref_axis',(-1.,0.,0.)); #33268=DIRECTION('center_axis',(0.,0.,-1.)); #33269=DIRECTION('ref_axis',(-1.,0.,0.)); #33270=DIRECTION('center_axis',(0.,0.,-1.)); #33271=DIRECTION('ref_axis',(-1.,0.,0.)); #33272=DIRECTION('center_axis',(0.,0.,1.)); #33273=DIRECTION('ref_axis',(0.995746241981755,0.0921380571708079,0.)); #33274=DIRECTION('',(0.,0.,-1.)); #33275=DIRECTION('',(0.,0.,1.)); #33276=DIRECTION('center_axis',(0.994521895368274,0.104528463267648,0.)); #33277=DIRECTION('ref_axis',(-0.104528463267648,0.994521895368274,0.)); #33278=DIRECTION('',(0.,0.,1.)); #33279=DIRECTION('center_axis',(0.104528463267647,-0.994521895368274,0.)); #33280=DIRECTION('ref_axis',(0.994521895368274,0.104528463267647,0.)); #33281=DIRECTION('center_axis',(0.104528463267647,0.994521895368274,0.)); #33282=DIRECTION('ref_axis',(-0.994521895368274,0.104528463267647,0.)); #33283=DIRECTION('',(0.,0.,1.)); #33284=DIRECTION('center_axis',(0.994521895368274,-0.104528463267648,0.)); #33285=DIRECTION('ref_axis',(0.104528463267648,0.994521895368274,0.)); #33286=DIRECTION('axis',(0.,0.,1.)); #33287=DIRECTION('refdir',(1.,0.,0.)); #33288=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #33289=DIRECTION('ref_axis',(0.,0.,-1.)); #33290=DIRECTION('center_axis',(1.,-1.74208378237134E-16,0.)); #33291=DIRECTION('ref_axis',(0.,0.,-1.)); #33292=DIRECTION('center_axis',(1.,-1.74208378237134E-16,0.)); #33293=DIRECTION('ref_axis',(0.,0.,-1.)); #33294=DIRECTION('center_axis',(1.,-1.74208378237134E-16,0.)); #33295=DIRECTION('ref_axis',(0.,0.,-1.)); #33296=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #33297=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33298=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33299=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33300=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33301=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33302=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #33303=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33304=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #33305=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33306=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33307=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33308=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33309=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33310=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #33311=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33312=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #33313=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33314=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33315=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33316=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33317=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33318=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #33319=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33320=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #33321=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33322=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33323=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33324=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33325=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33326=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #33327=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33328=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #33329=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33330=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33331=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33332=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33333=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33334=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #33335=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33336=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #33337=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33338=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33339=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33340=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #33341=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33342=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #33343=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #33344=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #33345=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33346=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33347=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33348=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33349=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33350=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33351=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #33352=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #33353=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33354=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33355=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33356=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33357=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33358=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33359=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #33360=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #33361=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33362=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33363=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33364=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33365=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33366=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33367=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #33368=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #33369=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33370=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33371=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33372=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33373=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33374=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33375=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #33376=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #33377=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33378=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33379=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33380=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33381=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33382=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33383=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #33384=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #33385=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33386=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33387=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33388=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33389=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33390=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33391=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #33392=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #33393=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33394=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33395=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33396=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33397=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33398=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33399=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #33400=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #33401=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33402=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33403=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33404=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33405=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33406=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33407=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #33408=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #33409=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33410=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33411=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33412=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #33413=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #33414=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33415=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #33416=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #33417=DIRECTION('ref_axis',(0.,0.,1.)); #33418=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #33419=DIRECTION('ref_axis',(0.,0.,1.)); #33420=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #33421=DIRECTION('ref_axis',(0.,0.,1.)); #33422=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #33423=DIRECTION('ref_axis',(0.,0.,1.)); #33424=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #33425=DIRECTION('ref_axis',(0.,0.,1.)); #33426=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #33427=DIRECTION('ref_axis',(0.,0.,1.)); #33428=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #33429=DIRECTION('ref_axis',(0.,0.,1.)); #33430=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #33431=DIRECTION('ref_axis',(0.,0.,1.)); #33432=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #33433=DIRECTION('ref_axis',(0.,0.,-1.)); #33434=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #33435=DIRECTION('ref_axis',(0.,0.,-1.)); #33436=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #33437=DIRECTION('ref_axis',(0.,0.,-1.)); #33438=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #33439=DIRECTION('ref_axis',(0.,0.,-1.)); #33440=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #33441=DIRECTION('ref_axis',(0.,0.,-1.)); #33442=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #33443=DIRECTION('ref_axis',(0.,0.,-1.)); #33444=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #33445=DIRECTION('ref_axis',(0.,0.,-1.)); #33446=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #33447=DIRECTION('ref_axis',(0.,0.,-1.)); #33448=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #33449=DIRECTION('ref_axis',(0.,0.,1.)); #33450=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #33451=DIRECTION('ref_axis',(0.,0.,1.)); #33452=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #33453=DIRECTION('ref_axis',(0.,0.,1.)); #33454=DIRECTION('center_axis',(1.,-1.74208378237134E-16,0.)); #33455=DIRECTION('ref_axis',(0.,0.,1.)); #33456=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33457=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33458=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33459=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33460=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33461=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33462=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33463=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33464=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33465=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33466=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33467=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33468=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33469=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33470=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33471=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33472=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33473=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33474=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33475=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33476=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33477=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33478=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33479=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33480=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33481=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33482=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33483=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33484=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33485=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33486=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33487=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33488=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33489=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33490=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33491=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33492=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33493=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33494=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33495=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33496=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33497=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33498=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33499=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33500=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33501=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33502=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33503=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33504=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33505=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33506=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33507=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33508=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33509=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33510=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33511=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33512=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33513=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33514=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33515=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33516=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33517=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33518=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33519=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33520=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33521=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33522=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33523=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33524=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #33525=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33526=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33527=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #33528=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33529=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33530=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33531=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33532=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33533=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33534=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #33535=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33536=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33537=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33538=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33539=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33540=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33541=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33542=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #33543=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33544=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33545=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33546=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33547=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33548=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33549=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33550=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #33551=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33552=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33553=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33554=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33555=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33556=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33557=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33558=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #33559=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33560=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33561=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33562=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33563=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33564=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33565=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33566=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #33567=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33568=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33569=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33570=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33571=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33572=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #33573=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33574=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #33575=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #33576=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #33577=DIRECTION('ref_axis',(0.0842812674742927,0.,-0.996442004309798)); #33578=DIRECTION('',(-0.62694696271807,0.779061939731744,1.21571715630004E-13)); #33579=DIRECTION('',(-1.82097340654775E-17,-0.104528463267652,0.994521895368274)); #33580=DIRECTION('',(-0.626946962718076,0.779061939731739,0.)); #33581=DIRECTION('',(6.92707548854693E-12,0.104528463259138,-0.994521895369168)); #33582=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #33583=DIRECTION('ref_axis',(-1.74208378237134E-16,-1.,0.)); #33584=DIRECTION('',(1.82097340654778E-17,0.104528463267654,0.994521895368273)); #33585=DIRECTION('',(-1.74208378237134E-16,-1.,0.)); #33586=DIRECTION('',(0.,0.,-1.)); #33587=DIRECTION('',(1.74208378237134E-16,1.,0.)); #33588=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #33589=DIRECTION('ref_axis',(-0.0842812674742942,0.,-0.996442004309798)); #33590=DIRECTION('',(-1.9815821087584E-15,-0.104528463267651,-0.994521895368274)); #33591=DIRECTION('',(0.626946962718076,-0.779061939731739,0.)); #33592=DIRECTION('center_axis',(0.,0.,1.)); #33593=DIRECTION('ref_axis',(1.,0.,0.)); #33594=DIRECTION('',(1.,-7.04254967062437E-15,0.)); #33595=DIRECTION('',(0.,1.,0.)); #33596=DIRECTION('',(-1.,0.,0.)); #33597=DIRECTION('center_axis',(0.,0.,1.)); #33598=DIRECTION('ref_axis',(-0.17389571492613,-0.984764073436034,0.)); #33599=DIRECTION('',(-0.93952056066066,0.342492505167456,0.)); #33600=DIRECTION('',(-1.,0.,0.)); #33601=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #33602=DIRECTION('ref_axis',(0.,0.104528463267651,0.994521895368274)); #33603=DIRECTION('',(1.,-1.05055820898109E-14,1.60495887890547E-9)); #33604=DIRECTION('',(-5.31004999141756E-16,-0.104528463267651,-0.994521895368274)); #33605=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #33606=DIRECTION('ref_axis',(5.08000388164213E-15,1.,0.)); #33607=DIRECTION('',(5.31004999098509E-16,0.104528463259138,-0.994521895369168)); #33608=DIRECTION('',(-5.08000388164213E-15,-1.,0.)); #33609=DIRECTION('',(0.,0.,-1.)); #33610=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #33611=DIRECTION('ref_axis',(0.,-0.104528463259138,0.994521895369168)); #33612=DIRECTION('',(-1.,-1.68677470004233E-10,0.)); #33613=DIRECTION('center_axis',(0.,0.,-1.)); #33614=DIRECTION('ref_axis',(-0.17389571492613,-0.984764073436034,0.)); #33615=DIRECTION('',(0.,0.,-1.)); #33616=DIRECTION('center_axis',(0.,0.,-1.)); #33617=DIRECTION('ref_axis',(-0.17389571492613,-0.984764073436034,0.)); #33618=DIRECTION('',(0.,0.,1.)); #33619=DIRECTION('center_axis',(0.,1.,0.)); #33620=DIRECTION('ref_axis',(1.,0.,0.)); #33621=DIRECTION('',(1.,0.,0.)); #33622=DIRECTION('center_axis',(0.342492505167456,0.93952056066066,0.)); #33623=DIRECTION('ref_axis',(0.93952056066066,-0.342492505167456,0.)); #33624=DIRECTION('',(0.93952056066066,-0.342492505167456,0.)); #33625=DIRECTION('',(0.,0.,1.)); #33626=DIRECTION('center_axis',(0.,-1.,0.)); #33627=DIRECTION('ref_axis',(-1.,0.,0.)); #33628=DIRECTION('',(1.,0.,0.)); #33629=DIRECTION('center_axis',(0.,0.,1.)); #33630=DIRECTION('ref_axis',(1.,0.,0.)); #33631=DIRECTION('axis',(0.,0.,1.)); #33632=DIRECTION('refdir',(1.,0.,0.)); #33633=DIRECTION('center_axis',(0.,0.,1.)); #33634=DIRECTION('ref_axis',(-0.90220764385949,-0.431301944537128,0.)); #33635=DIRECTION('center_axis',(0.,0.,-1.)); #33636=DIRECTION('ref_axis',(-0.90220764385949,-0.431301944537128,0.)); #33637=DIRECTION('',(0.,0.,-1.)); #33638=DIRECTION('center_axis',(0.,0.,-1.)); #33639=DIRECTION('ref_axis',(-0.90220764385949,-0.431301944537128,0.)); #33640=DIRECTION('',(0.,0.,1.)); #33641=DIRECTION('center_axis',(0.,0.,-1.)); #33642=DIRECTION('ref_axis',(-1.,0.,0.)); #33643=DIRECTION('',(-1.07518527810322E-16,1.,0.)); #33644=DIRECTION('center_axis',(0.,0.,1.)); #33645=DIRECTION('ref_axis',(-0.902207643859493,0.431301944537122,0.)); #33646=DIRECTION('',(-0.207911690817766,0.978147600733804,0.)); #33647=DIRECTION('',(0.951056516295153,0.309016994374949,0.)); #33648=DIRECTION('',(0.207911690817766,-0.978147600733804,0.)); #33649=DIRECTION('',(1.02915029621332E-14,-1.,0.)); #33650=DIRECTION('',(-0.20791169081775,-0.978147600733808,0.)); #33651=DIRECTION('',(-0.951056516295155,0.309016994374944,0.)); #33652=DIRECTION('',(0.207911690817737,0.978147600733811,0.)); #33653=DIRECTION('center_axis',(0.,0.,-1.)); #33654=DIRECTION('ref_axis',(-1.,0.,0.)); #33655=DIRECTION('center_axis',(0.,0.,-1.)); #33656=DIRECTION('ref_axis',(-1.,0.,0.)); #33657=DIRECTION('center_axis',(0.,0.,-1.)); #33658=DIRECTION('ref_axis',(-1.,0.,0.)); #33659=DIRECTION('center_axis',(0.,0.,-1.)); #33660=DIRECTION('ref_axis',(-1.,0.,0.)); #33661=DIRECTION('center_axis',(0.,0.,-1.)); #33662=DIRECTION('ref_axis',(-1.,0.,0.)); #33663=DIRECTION('center_axis',(0.,0.,-1.)); #33664=DIRECTION('ref_axis',(-1.,0.,0.)); #33665=DIRECTION('center_axis',(0.,0.,-1.)); #33666=DIRECTION('ref_axis',(-1.,0.,0.)); #33667=DIRECTION('center_axis',(0.,0.,-1.)); #33668=DIRECTION('ref_axis',(-1.,0.,0.)); #33669=DIRECTION('center_axis',(0.,0.,-1.)); #33670=DIRECTION('ref_axis',(-1.,0.,0.)); #33671=DIRECTION('center_axis',(0.,0.,-1.)); #33672=DIRECTION('ref_axis',(-1.,0.,0.)); #33673=DIRECTION('center_axis',(0.,0.,-1.)); #33674=DIRECTION('ref_axis',(-1.,0.,0.)); #33675=DIRECTION('center_axis',(0.,0.,-1.)); #33676=DIRECTION('ref_axis',(-1.,0.,0.)); #33677=DIRECTION('center_axis',(0.,0.,-1.)); #33678=DIRECTION('ref_axis',(-1.,0.,0.)); #33679=DIRECTION('center_axis',(0.,0.,-1.)); #33680=DIRECTION('ref_axis',(-1.,0.,0.)); #33681=DIRECTION('center_axis',(0.,0.,-1.)); #33682=DIRECTION('ref_axis',(-1.,0.,0.)); #33683=DIRECTION('center_axis',(0.,0.,-1.)); #33684=DIRECTION('ref_axis',(-1.,0.,0.)); #33685=DIRECTION('center_axis',(0.,0.,-1.)); #33686=DIRECTION('ref_axis',(-1.,0.,0.)); #33687=DIRECTION('center_axis',(0.,0.,-1.)); #33688=DIRECTION('ref_axis',(-1.,0.,0.)); #33689=DIRECTION('center_axis',(0.,0.,-1.)); #33690=DIRECTION('ref_axis',(-1.,0.,0.)); #33691=DIRECTION('center_axis',(0.,0.,-1.)); #33692=DIRECTION('ref_axis',(-1.,0.,0.)); #33693=DIRECTION('center_axis',(0.,0.,-1.)); #33694=DIRECTION('ref_axis',(-1.,0.,0.)); #33695=DIRECTION('center_axis',(1.,1.07518527810322E-16,0.)); #33696=DIRECTION('ref_axis',(1.07518527810322E-16,-1.,0.)); #33697=DIRECTION('',(1.07518527810322E-16,-1.,0.)); #33698=DIRECTION('',(0.,0.,-1.)); #33699=DIRECTION('center_axis',(0.,0.,-1.)); #33700=DIRECTION('ref_axis',(-0.902207643859493,0.431301944537122,0.)); #33701=DIRECTION('center_axis',(0.,0.,-1.)); #33702=DIRECTION('ref_axis',(-0.902207643859493,0.431301944537122,0.)); #33703=DIRECTION('',(0.,0.,1.)); #33704=DIRECTION('center_axis',(0.978147600733804,0.207911690817766,0.)); #33705=DIRECTION('ref_axis',(0.207911690817766,-0.978147600733804,0.)); #33706=DIRECTION('',(0.207911690817766,-0.978147600733804,0.)); #33707=DIRECTION('',(0.,0.,1.)); #33708=DIRECTION('center_axis',(0.,0.,1.)); #33709=DIRECTION('ref_axis',(1.,0.,0.)); #33710=DIRECTION('',(-0.207911690817737,-0.97814760073381,0.)); #33711=DIRECTION('',(0.951056516295156,-0.309016994374941,0.)); #33712=DIRECTION('center_axis',(0.,0.,1.)); #33713=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374947,0.)); #33714=DIRECTION('',(-0.951056516295154,-0.309016994374948,0.)); #33715=DIRECTION('center_axis',(0.,0.,-1.)); #33716=DIRECTION('ref_axis',(-1.,0.,0.)); #33717=DIRECTION('center_axis',(0.,0.,-1.)); #33718=DIRECTION('ref_axis',(-1.,0.,0.)); #33719=DIRECTION('center_axis',(0.,0.,-1.)); #33720=DIRECTION('ref_axis',(-1.,0.,0.)); #33721=DIRECTION('center_axis',(0.,0.,-1.)); #33722=DIRECTION('ref_axis',(-1.,0.,0.)); #33723=DIRECTION('center_axis',(0.,0.,-1.)); #33724=DIRECTION('ref_axis',(-1.,0.,0.)); #33725=DIRECTION('center_axis',(0.,0.,-1.)); #33726=DIRECTION('ref_axis',(-1.,0.,0.)); #33727=DIRECTION('center_axis',(0.,0.,-1.)); #33728=DIRECTION('ref_axis',(-1.,0.,0.)); #33729=DIRECTION('center_axis',(0.,0.,-1.)); #33730=DIRECTION('ref_axis',(-1.,0.,0.)); #33731=DIRECTION('center_axis',(0.,0.,-1.)); #33732=DIRECTION('ref_axis',(-1.,0.,0.)); #33733=DIRECTION('center_axis',(0.,0.,-1.)); #33734=DIRECTION('ref_axis',(-1.,0.,0.)); #33735=DIRECTION('center_axis',(0.,0.,-1.)); #33736=DIRECTION('ref_axis',(-1.,0.,0.)); #33737=DIRECTION('center_axis',(0.,0.,-1.)); #33738=DIRECTION('ref_axis',(-1.,0.,0.)); #33739=DIRECTION('center_axis',(-0.978147600733804,-0.207911690817766,0.)); #33740=DIRECTION('ref_axis',(-0.207911690817766,0.978147600733804,0.)); #33741=DIRECTION('',(0.,0.,-1.)); #33742=DIRECTION('',(-0.207911690817766,0.978147600733804,0.)); #33743=DIRECTION('',(0.,0.,-1.)); #33744=DIRECTION('center_axis',(-1.,-1.02915029621332E-14,0.)); #33745=DIRECTION('ref_axis',(-1.02915029621332E-14,1.,0.)); #33746=DIRECTION('',(-1.02915029621332E-14,1.,0.)); #33747=DIRECTION('',(0.,0.,-1.)); #33748=DIRECTION('center_axis',(-0.978147600733808,0.20791169081775,0.)); #33749=DIRECTION('ref_axis',(0.20791169081775,0.978147600733808,0.)); #33750=DIRECTION('',(0.20791169081775,0.978147600733808,0.)); #33751=DIRECTION('',(0.,0.,1.)); #33752=DIRECTION('center_axis',(0.97814760073381,-0.207911690817737,0.)); #33753=DIRECTION('ref_axis',(-0.207911690817737,-0.978147600733811,0.)); #33754=DIRECTION('',(0.,0.,-1.)); #33755=DIRECTION('center_axis',(0.,0.,1.)); #33756=DIRECTION('ref_axis',(1.,0.,0.)); #33757=DIRECTION('',(-0.951056516295154,-0.309016994374948,0.)); #33758=DIRECTION('',(0.309016994374946,-0.951056516295154,0.)); #33759=DIRECTION('',(-0.951056516295332,-0.309016994374397,0.)); #33760=DIRECTION('center_axis',(0.,0.,-1.)); #33761=DIRECTION('ref_axis',(-0.954830210382084,0.297151929729062,0.)); #33762=DIRECTION('',(0.951056516295167,-0.309016994374907,0.)); #33763=DIRECTION('',(-0.309016994375101,-0.951056516295104,0.)); #33764=DIRECTION('',(0.951056516295156,-0.309016994374941,0.)); #33765=DIRECTION('center_axis',(0.,0.,-1.)); #33766=DIRECTION('ref_axis',(-1.,0.,0.)); #33767=DIRECTION('center_axis',(0.,0.,-1.)); #33768=DIRECTION('ref_axis',(-1.,0.,0.)); #33769=DIRECTION('center_axis',(0.,0.,-1.)); #33770=DIRECTION('ref_axis',(-1.,0.,0.)); #33771=DIRECTION('center_axis',(0.,0.,-1.)); #33772=DIRECTION('ref_axis',(-1.,0.,0.)); #33773=DIRECTION('center_axis',(0.,0.,-1.)); #33774=DIRECTION('ref_axis',(-1.,0.,0.)); #33775=DIRECTION('center_axis',(0.,0.,-1.)); #33776=DIRECTION('ref_axis',(-1.,0.,0.)); #33777=DIRECTION('center_axis',(0.,0.,-1.)); #33778=DIRECTION('ref_axis',(-1.,0.,0.)); #33779=DIRECTION('center_axis',(0.,0.,-1.)); #33780=DIRECTION('ref_axis',(-1.,0.,0.)); #33781=DIRECTION('center_axis',(-0.309016994374949,0.951056516295153,0.)); #33782=DIRECTION('ref_axis',(0.951056516295153,0.309016994374949,0.)); #33783=DIRECTION('',(0.,0.,-1.)); #33784=DIRECTION('',(0.951056516295155,0.309016994374944,0.)); #33785=DIRECTION('',(0.,0.,1.)); #33786=DIRECTION('center_axis',(0.,0.,-1.)); #33787=DIRECTION('ref_axis',(-1.,0.,0.)); #33788=DIRECTION('center_axis',(0.,0.,1.)); #33789=DIRECTION('ref_axis',(-1.,0.,0.)); #33790=DIRECTION('center_axis',(0.,0.,-1.)); #33791=DIRECTION('ref_axis',(-1.,0.,0.)); #33792=DIRECTION('center_axis',(0.,0.,1.)); #33793=DIRECTION('ref_axis',(-1.,0.,0.)); #33794=DIRECTION('center_axis',(0.,0.,-1.)); #33795=DIRECTION('ref_axis',(-1.,0.,0.)); #33796=DIRECTION('center_axis',(0.,0.,1.)); #33797=DIRECTION('ref_axis',(-1.,0.,0.)); #33798=DIRECTION('center_axis',(0.,0.,-1.)); #33799=DIRECTION('ref_axis',(-1.,0.,0.)); #33800=DIRECTION('center_axis',(0.,0.,1.)); #33801=DIRECTION('ref_axis',(-1.,0.,0.)); #33802=DIRECTION('center_axis',(0.,0.,-1.)); #33803=DIRECTION('ref_axis',(-1.,0.,0.)); #33804=DIRECTION('center_axis',(0.,0.,1.)); #33805=DIRECTION('ref_axis',(-1.,0.,0.)); #33806=DIRECTION('center_axis',(0.,0.,-1.)); #33807=DIRECTION('ref_axis',(-1.,0.,0.)); #33808=DIRECTION('center_axis',(0.,0.,1.)); #33809=DIRECTION('ref_axis',(-1.,0.,0.)); #33810=DIRECTION('center_axis',(0.,0.,-1.)); #33811=DIRECTION('ref_axis',(-1.,0.,0.)); #33812=DIRECTION('center_axis',(0.,0.,1.)); #33813=DIRECTION('ref_axis',(-1.,0.,0.)); #33814=DIRECTION('center_axis',(0.,0.,-1.)); #33815=DIRECTION('ref_axis',(-1.,0.,0.)); #33816=DIRECTION('center_axis',(0.,0.,1.)); #33817=DIRECTION('ref_axis',(-1.,0.,0.)); #33818=DIRECTION('center_axis',(0.,0.,-1.)); #33819=DIRECTION('ref_axis',(-1.,0.,0.)); #33820=DIRECTION('center_axis',(0.,0.,1.)); #33821=DIRECTION('ref_axis',(-1.,0.,0.)); #33822=DIRECTION('center_axis',(0.,0.,-1.)); #33823=DIRECTION('ref_axis',(-1.,0.,0.)); #33824=DIRECTION('center_axis',(0.,0.,1.)); #33825=DIRECTION('ref_axis',(-1.,0.,0.)); #33826=DIRECTION('center_axis',(0.,0.,-1.)); #33827=DIRECTION('ref_axis',(-1.,0.,0.)); #33828=DIRECTION('center_axis',(0.,0.,1.)); #33829=DIRECTION('ref_axis',(-1.,0.,0.)); #33830=DIRECTION('center_axis',(0.,0.,-1.)); #33831=DIRECTION('ref_axis',(-1.,0.,0.)); #33832=DIRECTION('center_axis',(0.,0.,1.)); #33833=DIRECTION('ref_axis',(-1.,0.,0.)); #33834=DIRECTION('center_axis',(0.,0.,1.)); #33835=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374947,0.)); #33836=DIRECTION('',(0.,0.,1.)); #33837=DIRECTION('center_axis',(0.,0.,-1.)); #33838=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374947,0.)); #33839=DIRECTION('center_axis',(0.,0.,1.)); #33840=DIRECTION('ref_axis',(1.,0.,0.)); #33841=DIRECTION('',(-0.951056516295155,0.309016994374944,0.)); #33842=DIRECTION('',(0.30901699437494,0.951056516295156,0.)); #33843=DIRECTION('',(-0.951056516295155,0.309016994374942,0.)); #33844=DIRECTION('center_axis',(0.,0.,1.)); #33845=DIRECTION('ref_axis',(-0.954830210382084,0.297151929729062,0.)); #33846=DIRECTION('',(0.951056516295154,0.309016994374947,0.)); #33847=DIRECTION('',(-0.309016994374946,0.951056516295154,0.)); #33848=DIRECTION('center_axis',(0.,0.,1.)); #33849=DIRECTION('ref_axis',(-1.,0.,0.)); #33850=DIRECTION('center_axis',(0.,0.,1.)); #33851=DIRECTION('ref_axis',(-1.,0.,0.)); #33852=DIRECTION('center_axis',(0.,0.,1.)); #33853=DIRECTION('ref_axis',(-1.,0.,0.)); #33854=DIRECTION('center_axis',(0.,0.,1.)); #33855=DIRECTION('ref_axis',(-1.,0.,0.)); #33856=DIRECTION('center_axis',(0.,0.,1.)); #33857=DIRECTION('ref_axis',(-1.,0.,0.)); #33858=DIRECTION('center_axis',(0.,0.,1.)); #33859=DIRECTION('ref_axis',(-1.,0.,0.)); #33860=DIRECTION('center_axis',(0.,0.,1.)); #33861=DIRECTION('ref_axis',(-1.,0.,0.)); #33862=DIRECTION('center_axis',(0.,0.,1.)); #33863=DIRECTION('ref_axis',(-1.,0.,0.)); #33864=DIRECTION('center_axis',(0.,0.,1.)); #33865=DIRECTION('ref_axis',(-1.,0.,0.)); #33866=DIRECTION('center_axis',(0.,0.,1.)); #33867=DIRECTION('ref_axis',(-1.,0.,0.)); #33868=DIRECTION('center_axis',(0.,0.,1.)); #33869=DIRECTION('ref_axis',(-1.,0.,0.)); #33870=DIRECTION('center_axis',(0.,0.,1.)); #33871=DIRECTION('ref_axis',(-1.,0.,0.)); #33872=DIRECTION('center_axis',(0.,0.,1.)); #33873=DIRECTION('ref_axis',(-1.,0.,0.)); #33874=DIRECTION('center_axis',(0.,0.,1.)); #33875=DIRECTION('ref_axis',(-1.,0.,0.)); #33876=DIRECTION('center_axis',(0.,0.,1.)); #33877=DIRECTION('ref_axis',(-1.,0.,0.)); #33878=DIRECTION('center_axis',(0.,0.,1.)); #33879=DIRECTION('ref_axis',(-1.,0.,0.)); #33880=DIRECTION('center_axis',(0.,0.,1.)); #33881=DIRECTION('ref_axis',(-1.,0.,0.)); #33882=DIRECTION('center_axis',(0.,0.,1.)); #33883=DIRECTION('ref_axis',(-1.,0.,0.)); #33884=DIRECTION('center_axis',(0.,0.,1.)); #33885=DIRECTION('ref_axis',(-1.,0.,0.)); #33886=DIRECTION('center_axis',(0.,0.,1.)); #33887=DIRECTION('ref_axis',(-1.,0.,0.)); #33888=DIRECTION('center_axis',(0.,0.,1.)); #33889=DIRECTION('ref_axis',(-1.,0.,0.)); #33890=DIRECTION('center_axis',(0.,0.,1.)); #33891=DIRECTION('ref_axis',(-1.,0.,0.)); #33892=DIRECTION('center_axis',(0.,0.,1.)); #33893=DIRECTION('ref_axis',(-1.,0.,0.)); #33894=DIRECTION('center_axis',(0.,0.,1.)); #33895=DIRECTION('ref_axis',(-1.,0.,0.)); #33896=DIRECTION('center_axis',(0.,0.,1.)); #33897=DIRECTION('ref_axis',(-1.,0.,0.)); #33898=DIRECTION('center_axis',(0.,0.,1.)); #33899=DIRECTION('ref_axis',(-1.,0.,0.)); #33900=DIRECTION('center_axis',(0.,0.,1.)); #33901=DIRECTION('ref_axis',(-1.,0.,0.)); #33902=DIRECTION('center_axis',(0.,0.,1.)); #33903=DIRECTION('ref_axis',(-1.,0.,0.)); #33904=DIRECTION('center_axis',(0.,0.,1.)); #33905=DIRECTION('ref_axis',(-1.,0.,0.)); #33906=DIRECTION('center_axis',(0.,0.,-1.)); #33907=DIRECTION('ref_axis',(-1.,0.,0.)); #33908=DIRECTION('center_axis',(0.,0.,-1.)); #33909=DIRECTION('ref_axis',(-1.,0.,0.)); #33910=DIRECTION('center_axis',(0.,0.,-1.)); #33911=DIRECTION('ref_axis',(-1.,0.,0.)); #33912=DIRECTION('center_axis',(0.,0.,-1.)); #33913=DIRECTION('ref_axis',(-1.,0.,0.)); #33914=DIRECTION('center_axis',(0.,0.,-1.)); #33915=DIRECTION('ref_axis',(-1.,0.,0.)); #33916=DIRECTION('center_axis',(0.,0.,-1.)); #33917=DIRECTION('ref_axis',(-1.,0.,0.)); #33918=DIRECTION('center_axis',(0.,0.,-1.)); #33919=DIRECTION('ref_axis',(-1.,0.,0.)); #33920=DIRECTION('center_axis',(0.,0.,-1.)); #33921=DIRECTION('ref_axis',(-1.,0.,0.)); #33922=DIRECTION('center_axis',(0.,0.,-1.)); #33923=DIRECTION('ref_axis',(-1.,0.,0.)); #33924=DIRECTION('center_axis',(0.,0.,-1.)); #33925=DIRECTION('ref_axis',(-1.,0.,0.)); #33926=DIRECTION('center_axis',(0.,0.,-1.)); #33927=DIRECTION('ref_axis',(-1.,0.,0.)); #33928=DIRECTION('center_axis',(0.,0.,-1.)); #33929=DIRECTION('ref_axis',(-1.,0.,0.)); #33930=DIRECTION('center_axis',(0.,0.,-1.)); #33931=DIRECTION('ref_axis',(-1.,0.,0.)); #33932=DIRECTION('center_axis',(0.,0.,-1.)); #33933=DIRECTION('ref_axis',(-1.,0.,0.)); #33934=DIRECTION('center_axis',(0.,0.,-1.)); #33935=DIRECTION('ref_axis',(-1.,0.,0.)); #33936=DIRECTION('center_axis',(0.,0.,-1.)); #33937=DIRECTION('ref_axis',(-1.,0.,0.)); #33938=DIRECTION('center_axis',(0.,0.,-1.)); #33939=DIRECTION('ref_axis',(-1.,0.,0.)); #33940=DIRECTION('center_axis',(0.,0.,-1.)); #33941=DIRECTION('ref_axis',(-1.,0.,0.)); #33942=DIRECTION('center_axis',(0.,0.,-1.)); #33943=DIRECTION('ref_axis',(-1.,0.,0.)); #33944=DIRECTION('center_axis',(0.,0.,-1.)); #33945=DIRECTION('ref_axis',(-1.,0.,0.)); #33946=DIRECTION('center_axis',(0.,0.,-1.)); #33947=DIRECTION('ref_axis',(-1.,0.,0.)); #33948=DIRECTION('center_axis',(0.,0.,-1.)); #33949=DIRECTION('ref_axis',(-1.,0.,0.)); #33950=DIRECTION('center_axis',(0.,0.,-1.)); #33951=DIRECTION('ref_axis',(-1.,0.,0.)); #33952=DIRECTION('center_axis',(0.,0.,-1.)); #33953=DIRECTION('ref_axis',(-1.,0.,0.)); #33954=DIRECTION('center_axis',(0.,0.,-1.)); #33955=DIRECTION('ref_axis',(-1.,0.,0.)); #33956=DIRECTION('center_axis',(0.,0.,-1.)); #33957=DIRECTION('ref_axis',(-1.,0.,0.)); #33958=DIRECTION('center_axis',(0.,0.,-1.)); #33959=DIRECTION('ref_axis',(-1.,0.,0.)); #33960=DIRECTION('center_axis',(0.,0.,-1.)); #33961=DIRECTION('ref_axis',(-1.,0.,0.)); #33962=DIRECTION('center_axis',(0.,0.,-1.)); #33963=DIRECTION('ref_axis',(-1.,0.,0.)); #33964=DIRECTION('center_axis',(-0.309016994374944,-0.951056516295155,0.)); #33965=DIRECTION('ref_axis',(-0.951056516295155,0.309016994374944,0.)); #33966=DIRECTION('',(0.,0.,1.)); #33967=DIRECTION('center_axis',(0.,0.,-1.)); #33968=DIRECTION('ref_axis',(-0.954830210382084,0.297151929729062,0.)); #33969=DIRECTION('',(0.,0.,1.)); #33970=DIRECTION('',(0.,0.,-1.)); #33971=DIRECTION('center_axis',(-0.309016994374941,-0.951056516295156,0.)); #33972=DIRECTION('ref_axis',(-0.951056516295156,0.309016994374941,0.)); #33973=DIRECTION('',(0.,0.,1.)); #33974=DIRECTION('center_axis',(-0.951056516295156,0.30901699437494,0.)); #33975=DIRECTION('ref_axis',(0.30901699437494,0.951056516295156,0.)); #33976=DIRECTION('center_axis',(0.309016994374947,-0.951056516295154,0.)); #33977=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374947,0.)); #33978=DIRECTION('',(0.,0.,-1.)); #33979=DIRECTION('center_axis',(0.951056516295154,0.309016994374946,0.)); #33980=DIRECTION('ref_axis',(0.309016994374946,-0.951056516295154,0.)); #33981=DIRECTION('axis',(0.,0.,1.)); #33982=DIRECTION('refdir',(1.,0.,0.)); #33983=DIRECTION('center_axis',(0.,0.,-1.)); #33984=DIRECTION('ref_axis',(-1.,0.,0.)); #33985=DIRECTION('center_axis',(0.,0.,-1.)); #33986=DIRECTION('ref_axis',(-1.,0.,0.)); #33987=DIRECTION('center_axis',(0.,0.,1.)); #33988=DIRECTION('ref_axis',(-1.,0.,0.)); #33989=DIRECTION('center_axis',(0.,0.,-1.)); #33990=DIRECTION('ref_axis',(-1.,0.,0.)); #33991=DIRECTION('center_axis',(0.,0.,-1.)); #33992=DIRECTION('ref_axis',(-1.,0.,0.)); #33993=DIRECTION('center_axis',(0.,0.,1.)); #33994=DIRECTION('ref_axis',(-1.,0.,0.)); #33995=DIRECTION('center_axis',(0.,0.,-1.)); #33996=DIRECTION('ref_axis',(-1.,0.,0.)); #33997=DIRECTION('center_axis',(0.,0.,-1.)); #33998=DIRECTION('ref_axis',(-1.,0.,0.)); #33999=DIRECTION('center_axis',(0.,0.,1.)); #34000=DIRECTION('ref_axis',(-1.,0.,0.)); #34001=DIRECTION('center_axis',(0.,0.,-1.)); #34002=DIRECTION('ref_axis',(-1.,0.,0.)); #34003=DIRECTION('center_axis',(0.,0.,-1.)); #34004=DIRECTION('ref_axis',(-1.,0.,0.)); #34005=DIRECTION('center_axis',(0.,0.,1.)); #34006=DIRECTION('ref_axis',(-1.,0.,0.)); #34007=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34008=DIRECTION('ref_axis',(0.,0.,-1.)); #34009=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34010=DIRECTION('ref_axis',(0.,0.,-1.)); #34011=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34012=DIRECTION('ref_axis',(0.,0.,-1.)); #34013=DIRECTION('center_axis',(-1.,-6.12059322156209E-14,0.)); #34014=DIRECTION('ref_axis',(0.,0.,-1.)); #34015=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34016=DIRECTION('ref_axis',(0.,0.,-1.)); #34017=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34018=DIRECTION('ref_axis',(0.,0.,-1.)); #34019=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34020=DIRECTION('ref_axis',(0.,0.,-1.)); #34021=DIRECTION('center_axis',(-1.,-6.12059322156209E-14,0.)); #34022=DIRECTION('ref_axis',(0.,0.,-1.)); #34023=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34024=DIRECTION('ref_axis',(0.,0.,-1.)); #34025=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34026=DIRECTION('ref_axis',(0.,0.,-1.)); #34027=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34028=DIRECTION('ref_axis',(0.,0.,-1.)); #34029=DIRECTION('center_axis',(-1.,-6.12059322156209E-14,0.)); #34030=DIRECTION('ref_axis',(0.,0.,-1.)); #34031=DIRECTION('center_axis',(-6.11310422378614E-14,1.,0.)); #34032=DIRECTION('ref_axis',(-1.,-6.11310422378614E-14,0.)); #34033=DIRECTION('',(1.,6.11310422378614E-14,0.)); #34034=DIRECTION('',(0.,0.,1.)); #34035=DIRECTION('',(-1.,-6.11310422378614E-14,0.)); #34036=DIRECTION('',(0.,0.,-1.)); #34037=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #34038=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34039=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #34040=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34041=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #34042=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34043=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #34044=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34045=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #34046=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34047=DIRECTION('center_axis',(0.,0.,-1.)); #34048=DIRECTION('ref_axis',(-1.,0.,0.)); #34049=DIRECTION('',(-0.62898439126489,0.777417928494794,0.)); #34050=DIRECTION('',(1.,9.41276343547166E-15,0.)); #34051=DIRECTION('',(6.12059322156209E-14,-1.,0.)); #34052=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #34053=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34054=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #34055=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34056=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #34057=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34058=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #34059=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34060=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #34061=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34062=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #34063=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34064=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #34065=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34066=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #34067=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34068=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #34069=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34070=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #34071=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #34072=DIRECTION('center_axis',(0.777417928494794,0.62898439126489,0.)); #34073=DIRECTION('ref_axis',(0.62898439126489,-0.777417928494794,0.)); #34074=DIRECTION('',(0.62898439126489,-0.777417928494794,0.)); #34075=DIRECTION('',(0.,0.,-1.)); #34076=DIRECTION('center_axis',(-0.777417928494794,-0.62898439126489,0.)); #34077=DIRECTION('ref_axis',(-0.62898439126489,0.777417928494794,-2.72418752448518E-16)); #34078=DIRECTION('center_axis',(-0.777417928494794,-0.62898439126489,0.)); #34079=DIRECTION('ref_axis',(-0.62898439126489,0.777417928494794,-2.72418752448518E-16)); #34080=DIRECTION('center_axis',(-0.777417928494794,-0.62898439126489,0.)); #34081=DIRECTION('ref_axis',(-0.62898439126489,0.777417928494794,-2.72418752448518E-16)); #34082=DIRECTION('center_axis',(0.,0.,1.)); #34083=DIRECTION('ref_axis',(1.,0.,0.)); #34084=DIRECTION('',(-6.12059322156209E-14,1.,0.)); #34085=DIRECTION('',(-1.,-9.41276343547166E-15,0.)); #34086=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #34087=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #34088=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #34089=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #34090=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #34091=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #34092=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #34093=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #34094=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #34095=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #34096=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #34097=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #34098=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #34099=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #34100=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #34101=DIRECTION('ref_axis',(-1.,-9.37256022070115E-15,-1.70792694732048E-16)); #34102=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #34103=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #34104=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #34105=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #34106=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #34107=DIRECTION('ref_axis',(6.12059322156209E-14,-1.,0.)); #34108=DIRECTION('',(0.,0.,-1.)); #34109=DIRECTION('center_axis',(-9.41276343547166E-15,1.,0.)); #34110=DIRECTION('ref_axis',(1.,9.41276343547166E-15,0.)); #34111=DIRECTION('axis',(0.,0.,1.)); #34112=DIRECTION('refdir',(1.,0.,0.)); #34113=DIRECTION('center_axis',(-1.,0.,0.)); #34114=DIRECTION('ref_axis',(0.,0.,1.)); #34115=DIRECTION('',(0.,-1.,0.)); #34116=DIRECTION('',(0.,0.,1.)); #34117=DIRECTION('',(0.,1.,0.)); #34118=DIRECTION('',(0.,0.,-1.)); #34119=DIRECTION('center_axis',(0.,0.,1.)); #34120=DIRECTION('ref_axis',(1.,0.,0.)); #34121=DIRECTION('center_axis',(0.,0.,1.)); #34122=DIRECTION('ref_axis',(1.,0.,0.)); #34123=DIRECTION('center_axis',(0.,0.,1.)); #34124=DIRECTION('ref_axis',(1.,0.,0.)); #34125=DIRECTION('center_axis',(0.,0.,1.)); #34126=DIRECTION('ref_axis',(1.,0.,0.)); #34127=DIRECTION('center_axis',(0.,0.,-1.)); #34128=DIRECTION('ref_axis',(1.,0.,0.)); #34129=DIRECTION('center_axis',(0.,0.,1.)); #34130=DIRECTION('ref_axis',(1.,0.,0.)); #34131=DIRECTION('center_axis',(0.,0.,1.)); #34132=DIRECTION('ref_axis',(1.,0.,0.)); #34133=DIRECTION('center_axis',(0.,0.,1.)); #34134=DIRECTION('ref_axis',(1.,0.,0.)); #34135=DIRECTION('center_axis',(0.,0.,1.)); #34136=DIRECTION('ref_axis',(1.,0.,0.)); #34137=DIRECTION('center_axis',(0.,0.,1.)); #34138=DIRECTION('ref_axis',(1.,0.,0.)); #34139=DIRECTION('center_axis',(0.,0.,1.)); #34140=DIRECTION('ref_axis',(1.,0.,0.)); #34141=DIRECTION('center_axis',(0.,0.,-1.)); #34142=DIRECTION('ref_axis',(1.,0.,0.)); #34143=DIRECTION('center_axis',(0.,0.,1.)); #34144=DIRECTION('ref_axis',(1.,0.,0.)); #34145=DIRECTION('center_axis',(0.,0.,1.)); #34146=DIRECTION('ref_axis',(1.,0.,0.)); #34147=DIRECTION('center_axis',(0.,0.,1.)); #34148=DIRECTION('ref_axis',(1.,0.,0.)); #34149=DIRECTION('center_axis',(0.,0.,1.)); #34150=DIRECTION('ref_axis',(1.,0.,0.)); #34151=DIRECTION('center_axis',(0.,0.,1.)); #34152=DIRECTION('ref_axis',(1.,0.,0.)); #34153=DIRECTION('center_axis',(0.,0.,1.)); #34154=DIRECTION('ref_axis',(1.,0.,0.)); #34155=DIRECTION('center_axis',(0.,0.,-1.)); #34156=DIRECTION('ref_axis',(1.,0.,0.)); #34157=DIRECTION('center_axis',(0.,0.,1.)); #34158=DIRECTION('ref_axis',(1.,0.,0.)); #34159=DIRECTION('center_axis',(0.,0.,1.)); #34160=DIRECTION('ref_axis',(1.,0.,0.)); #34161=DIRECTION('center_axis',(0.,0.,1.)); #34162=DIRECTION('ref_axis',(1.,0.,0.)); #34163=DIRECTION('center_axis',(0.,0.,1.)); #34164=DIRECTION('ref_axis',(1.,0.,0.)); #34165=DIRECTION('center_axis',(0.,0.,1.)); #34166=DIRECTION('ref_axis',(1.,0.,0.)); #34167=DIRECTION('center_axis',(0.,0.,1.)); #34168=DIRECTION('ref_axis',(1.,0.,0.)); #34169=DIRECTION('center_axis',(0.,0.,-1.)); #34170=DIRECTION('ref_axis',(1.,0.,0.)); #34171=DIRECTION('center_axis',(0.,0.,1.)); #34172=DIRECTION('ref_axis',(1.,0.,0.)); #34173=DIRECTION('center_axis',(0.,0.,1.)); #34174=DIRECTION('ref_axis',(1.,0.,0.)); #34175=DIRECTION('center_axis',(0.,0.,1.)); #34176=DIRECTION('ref_axis',(1.,0.,0.)); #34177=DIRECTION('center_axis',(0.,0.,1.)); #34178=DIRECTION('ref_axis',(1.,0.,0.)); #34179=DIRECTION('center_axis',(0.,0.,1.)); #34180=DIRECTION('ref_axis',(1.,0.,0.)); #34181=DIRECTION('center_axis',(0.,0.,1.)); #34182=DIRECTION('ref_axis',(1.,0.,0.)); #34183=DIRECTION('center_axis',(0.,0.,-1.)); #34184=DIRECTION('ref_axis',(1.,0.,0.)); #34185=DIRECTION('center_axis',(0.,0.,1.)); #34186=DIRECTION('ref_axis',(1.,0.,0.)); #34187=DIRECTION('center_axis',(0.,0.,1.)); #34188=DIRECTION('ref_axis',(1.,0.,0.)); #34189=DIRECTION('center_axis',(0.,0.,1.)); #34190=DIRECTION('ref_axis',(1.,0.,0.)); #34191=DIRECTION('center_axis',(0.,0.,1.)); #34192=DIRECTION('ref_axis',(1.,0.,0.)); #34193=DIRECTION('center_axis',(0.,0.,1.)); #34194=DIRECTION('ref_axis',(1.,0.,0.)); #34195=DIRECTION('center_axis',(0.,0.,1.)); #34196=DIRECTION('ref_axis',(1.,0.,0.)); #34197=DIRECTION('center_axis',(0.,0.,-1.)); #34198=DIRECTION('ref_axis',(1.,0.,0.)); #34199=DIRECTION('center_axis',(0.,0.,1.)); #34200=DIRECTION('ref_axis',(1.,0.,0.)); #34201=DIRECTION('center_axis',(0.,0.,1.)); #34202=DIRECTION('ref_axis',(1.,0.,0.)); #34203=DIRECTION('center_axis',(0.,0.,1.)); #34204=DIRECTION('ref_axis',(1.,0.,0.)); #34205=DIRECTION('center_axis',(0.,0.,1.)); #34206=DIRECTION('ref_axis',(1.,0.,0.)); #34207=DIRECTION('center_axis',(0.,0.,1.)); #34208=DIRECTION('ref_axis',(1.,0.,0.)); #34209=DIRECTION('center_axis',(0.,0.,1.)); #34210=DIRECTION('ref_axis',(1.,0.,0.)); #34211=DIRECTION('center_axis',(0.,0.,-1.)); #34212=DIRECTION('ref_axis',(1.,0.,0.)); #34213=DIRECTION('center_axis',(0.,0.,1.)); #34214=DIRECTION('ref_axis',(1.,0.,0.)); #34215=DIRECTION('center_axis',(0.,0.,1.)); #34216=DIRECTION('ref_axis',(1.,0.,0.)); #34217=DIRECTION('center_axis',(0.,0.,1.)); #34218=DIRECTION('ref_axis',(1.,0.,0.)); #34219=DIRECTION('center_axis',(0.,0.,1.)); #34220=DIRECTION('ref_axis',(1.,0.,0.)); #34221=DIRECTION('center_axis',(0.,0.,1.)); #34222=DIRECTION('ref_axis',(1.,0.,0.)); #34223=DIRECTION('center_axis',(0.,0.,1.)); #34224=DIRECTION('ref_axis',(1.,0.,0.)); #34225=DIRECTION('center_axis',(0.,0.,-1.)); #34226=DIRECTION('ref_axis',(1.,0.,0.)); #34227=DIRECTION('center_axis',(0.,0.,1.)); #34228=DIRECTION('ref_axis',(1.,0.,0.)); #34229=DIRECTION('center_axis',(0.,0.,1.)); #34230=DIRECTION('ref_axis',(1.,0.,0.)); #34231=DIRECTION('center_axis',(0.,0.,1.)); #34232=DIRECTION('ref_axis',(1.,0.,0.)); #34233=DIRECTION('center_axis',(0.,0.,1.)); #34234=DIRECTION('ref_axis',(1.,0.,0.)); #34235=DIRECTION('center_axis',(0.,0.,1.)); #34236=DIRECTION('ref_axis',(1.,0.,0.)); #34237=DIRECTION('center_axis',(0.,0.,1.)); #34238=DIRECTION('ref_axis',(1.,0.,0.)); #34239=DIRECTION('center_axis',(0.,0.,-1.)); #34240=DIRECTION('ref_axis',(1.,0.,0.)); #34241=DIRECTION('center_axis',(0.,0.,1.)); #34242=DIRECTION('ref_axis',(1.,0.,0.)); #34243=DIRECTION('center_axis',(0.,0.,1.)); #34244=DIRECTION('ref_axis',(1.,0.,0.)); #34245=DIRECTION('center_axis',(0.,0.,1.)); #34246=DIRECTION('ref_axis',(1.,0.,0.)); #34247=DIRECTION('center_axis',(0.,0.,1.)); #34248=DIRECTION('ref_axis',(1.,0.,0.)); #34249=DIRECTION('center_axis',(0.,0.,1.)); #34250=DIRECTION('ref_axis',(1.,0.,0.)); #34251=DIRECTION('center_axis',(0.,0.,1.)); #34252=DIRECTION('ref_axis',(1.,0.,0.)); #34253=DIRECTION('center_axis',(0.,0.,-1.)); #34254=DIRECTION('ref_axis',(1.,0.,0.)); #34255=DIRECTION('center_axis',(0.,0.,1.)); #34256=DIRECTION('ref_axis',(1.,0.,0.)); #34257=DIRECTION('center_axis',(0.,0.,1.)); #34258=DIRECTION('ref_axis',(1.,0.,0.)); #34259=DIRECTION('center_axis',(1.,0.,0.)); #34260=DIRECTION('ref_axis',(0.,1.,0.)); #34261=DIRECTION('',(0.,1.,0.)); #34262=DIRECTION('',(0.,0.,1.)); #34263=DIRECTION('',(0.,1.,0.)); #34264=DIRECTION('',(0.,0.,1.)); #34265=DIRECTION('center_axis',(0.,-1.,0.)); #34266=DIRECTION('ref_axis',(1.,0.,0.)); #34267=DIRECTION('',(-1.,0.,0.)); #34268=DIRECTION('',(-1.,0.,0.)); #34269=DIRECTION('center_axis',(0.,1.,0.)); #34270=DIRECTION('ref_axis',(-1.,0.,0.)); #34271=DIRECTION('',(-1.,0.,0.)); #34272=DIRECTION('',(-1.,0.,0.)); #34273=DIRECTION('center_axis',(0.,0.,1.)); #34274=DIRECTION('ref_axis',(1.,0.,0.)); #34275=DIRECTION('center_axis',(0.,0.,1.)); #34276=DIRECTION('ref_axis',(1.,0.,0.)); #34277=DIRECTION('axis',(0.,0.,1.)); #34278=DIRECTION('refdir',(1.,0.,0.)); #34279=DIRECTION('center_axis',(0.,0.,1.)); #34280=DIRECTION('ref_axis',(1.,0.,0.)); #34281=DIRECTION('center_axis',(0.,0.,1.)); #34282=DIRECTION('ref_axis',(1.,0.,0.)); #34283=DIRECTION('center_axis',(0.,0.,-1.)); #34284=DIRECTION('ref_axis',(1.,0.,0.)); #34285=DIRECTION('center_axis',(0.,0.,1.)); #34286=DIRECTION('ref_axis',(1.,0.,0.)); #34287=DIRECTION('center_axis',(0.,0.,1.)); #34288=DIRECTION('ref_axis',(1.,0.,0.)); #34289=DIRECTION('center_axis',(0.,0.,-1.)); #34290=DIRECTION('ref_axis',(1.,0.,0.)); #34291=DIRECTION('center_axis',(0.,0.,1.)); #34292=DIRECTION('ref_axis',(1.,0.,0.)); #34293=DIRECTION('center_axis',(0.,0.,1.)); #34294=DIRECTION('ref_axis',(1.,0.,0.)); #34295=DIRECTION('center_axis',(0.,0.,-1.)); #34296=DIRECTION('ref_axis',(1.,0.,0.)); #34297=DIRECTION('center_axis',(0.,0.,1.)); #34298=DIRECTION('ref_axis',(1.,0.,0.)); #34299=DIRECTION('center_axis',(0.,0.,1.)); #34300=DIRECTION('ref_axis',(1.,0.,0.)); #34301=DIRECTION('center_axis',(0.,0.,-1.)); #34302=DIRECTION('ref_axis',(1.,0.,0.)); #34303=DIRECTION('center_axis',(0.,0.,1.)); #34304=DIRECTION('ref_axis',(1.,0.,0.)); #34305=DIRECTION('center_axis',(0.,0.,1.)); #34306=DIRECTION('ref_axis',(1.,0.,0.)); #34307=DIRECTION('center_axis',(0.,0.,-1.)); #34308=DIRECTION('ref_axis',(1.,0.,0.)); #34309=DIRECTION('center_axis',(0.,0.,1.)); #34310=DIRECTION('ref_axis',(1.,0.,0.)); #34311=DIRECTION('center_axis',(0.,0.,1.)); #34312=DIRECTION('ref_axis',(1.,0.,0.)); #34313=DIRECTION('center_axis',(0.,0.,-1.)); #34314=DIRECTION('ref_axis',(1.,0.,0.)); #34315=DIRECTION('center_axis',(0.,0.,1.)); #34316=DIRECTION('ref_axis',(1.,0.,0.)); #34317=DIRECTION('center_axis',(0.,0.,1.)); #34318=DIRECTION('ref_axis',(1.,0.,0.)); #34319=DIRECTION('center_axis',(0.,0.,-1.)); #34320=DIRECTION('ref_axis',(1.,0.,0.)); #34321=DIRECTION('center_axis',(0.,0.,1.)); #34322=DIRECTION('ref_axis',(1.,0.,0.)); #34323=DIRECTION('center_axis',(0.,0.,1.)); #34324=DIRECTION('ref_axis',(1.,0.,0.)); #34325=DIRECTION('center_axis',(0.,0.,-1.)); #34326=DIRECTION('ref_axis',(1.,0.,0.)); #34327=DIRECTION('center_axis',(0.,0.,1.)); #34328=DIRECTION('ref_axis',(1.,0.,0.)); #34329=DIRECTION('center_axis',(0.,0.,1.)); #34330=DIRECTION('ref_axis',(1.,0.,0.)); #34331=DIRECTION('center_axis',(0.,0.,-1.)); #34332=DIRECTION('ref_axis',(1.,0.,0.)); #34333=DIRECTION('center_axis',(0.,0.,1.)); #34334=DIRECTION('ref_axis',(1.,0.,0.)); #34335=DIRECTION('center_axis',(0.,0.,1.)); #34336=DIRECTION('ref_axis',(1.,0.,0.)); #34337=DIRECTION('center_axis',(0.,0.,-1.)); #34338=DIRECTION('ref_axis',(1.,0.,0.)); #34339=DIRECTION('center_axis',(0.,0.,1.)); #34340=DIRECTION('ref_axis',(1.,0.,0.)); #34341=DIRECTION('center_axis',(0.,0.,1.)); #34342=DIRECTION('ref_axis',(1.,0.,0.)); #34343=DIRECTION('center_axis',(0.,0.,-1.)); #34344=DIRECTION('ref_axis',(1.,0.,0.)); #34345=DIRECTION('center_axis',(0.,0.,1.)); #34346=DIRECTION('ref_axis',(1.,0.,0.)); #34347=DIRECTION('center_axis',(0.,0.,1.)); #34348=DIRECTION('ref_axis',(1.,0.,0.)); #34349=DIRECTION('center_axis',(0.,0.,-1.)); #34350=DIRECTION('ref_axis',(1.,0.,0.)); #34351=DIRECTION('center_axis',(0.,0.,1.)); #34352=DIRECTION('ref_axis',(1.,0.,0.)); #34353=DIRECTION('center_axis',(0.,0.,1.)); #34354=DIRECTION('ref_axis',(1.,0.,0.)); #34355=DIRECTION('center_axis',(0.,0.,-1.)); #34356=DIRECTION('ref_axis',(1.,0.,0.)); #34357=DIRECTION('center_axis',(0.,0.,1.)); #34358=DIRECTION('ref_axis',(1.,0.,0.)); #34359=DIRECTION('center_axis',(0.,0.,1.)); #34360=DIRECTION('ref_axis',(1.,0.,0.)); #34361=DIRECTION('center_axis',(0.,0.,-1.)); #34362=DIRECTION('ref_axis',(1.,0.,0.)); #34363=DIRECTION('center_axis',(0.,0.,1.)); #34364=DIRECTION('ref_axis',(1.,0.,0.)); #34365=DIRECTION('center_axis',(0.,0.,1.)); #34366=DIRECTION('ref_axis',(1.,0.,0.)); #34367=DIRECTION('center_axis',(0.,0.,-1.)); #34368=DIRECTION('ref_axis',(1.,0.,0.)); #34369=DIRECTION('center_axis',(0.,0.,1.)); #34370=DIRECTION('ref_axis',(1.,0.,0.)); #34371=DIRECTION('center_axis',(0.,0.,1.)); #34372=DIRECTION('ref_axis',(1.,0.,0.)); #34373=DIRECTION('center_axis',(0.,0.,-1.)); #34374=DIRECTION('ref_axis',(1.,0.,0.)); #34375=DIRECTION('center_axis',(0.,0.,1.)); #34376=DIRECTION('ref_axis',(1.,0.,0.)); #34377=DIRECTION('center_axis',(0.,0.,1.)); #34378=DIRECTION('ref_axis',(1.,0.,0.)); #34379=DIRECTION('center_axis',(0.,0.,-1.)); #34380=DIRECTION('ref_axis',(1.,0.,0.)); #34381=DIRECTION('center_axis',(0.,0.,1.)); #34382=DIRECTION('ref_axis',(1.,0.,0.)); #34383=DIRECTION('center_axis',(0.,0.,1.)); #34384=DIRECTION('ref_axis',(1.,0.,0.)); #34385=DIRECTION('center_axis',(0.,0.,-1.)); #34386=DIRECTION('ref_axis',(1.,0.,0.)); #34387=DIRECTION('center_axis',(0.,0.,1.)); #34388=DIRECTION('ref_axis',(1.,0.,0.)); #34389=DIRECTION('center_axis',(0.,0.,1.)); #34390=DIRECTION('ref_axis',(1.,0.,0.)); #34391=DIRECTION('center_axis',(0.,0.,-1.)); #34392=DIRECTION('ref_axis',(1.,0.,0.)); #34393=DIRECTION('center_axis',(0.,0.,1.)); #34394=DIRECTION('ref_axis',(1.,0.,0.)); #34395=DIRECTION('center_axis',(0.,0.,1.)); #34396=DIRECTION('ref_axis',(1.,0.,0.)); #34397=DIRECTION('center_axis',(0.,0.,-1.)); #34398=DIRECTION('ref_axis',(1.,0.,0.)); #34399=DIRECTION('center_axis',(0.,0.,1.)); #34400=DIRECTION('ref_axis',(1.,0.,0.)); #34401=DIRECTION('center_axis',(0.,0.,1.)); #34402=DIRECTION('ref_axis',(1.,0.,0.)); #34403=DIRECTION('center_axis',(0.,0.,-1.)); #34404=DIRECTION('ref_axis',(1.,0.,0.)); #34405=DIRECTION('center_axis',(0.,0.,1.)); #34406=DIRECTION('ref_axis',(1.,0.,0.)); #34407=DIRECTION('center_axis',(0.,0.,1.)); #34408=DIRECTION('ref_axis',(1.,0.,0.)); #34409=DIRECTION('center_axis',(0.,0.,-1.)); #34410=DIRECTION('ref_axis',(1.,0.,0.)); #34411=DIRECTION('center_axis',(0.,0.,1.)); #34412=DIRECTION('ref_axis',(1.,0.,0.)); #34413=DIRECTION('center_axis',(0.,0.,1.)); #34414=DIRECTION('ref_axis',(1.,0.,0.)); #34415=DIRECTION('center_axis',(0.,0.,-1.)); #34416=DIRECTION('ref_axis',(1.,0.,0.)); #34417=DIRECTION('center_axis',(0.,0.,1.)); #34418=DIRECTION('ref_axis',(1.,0.,0.)); #34419=DIRECTION('center_axis',(0.,0.,1.)); #34420=DIRECTION('ref_axis',(1.,0.,0.)); #34421=DIRECTION('center_axis',(0.,0.,-1.)); #34422=DIRECTION('ref_axis',(1.,0.,0.)); #34423=DIRECTION('center_axis',(0.,0.,1.)); #34424=DIRECTION('ref_axis',(1.,0.,0.)); #34425=DIRECTION('center_axis',(0.,0.,1.)); #34426=DIRECTION('ref_axis',(1.,0.,0.)); #34427=DIRECTION('center_axis',(0.,0.,-1.)); #34428=DIRECTION('ref_axis',(1.,0.,0.)); #34429=DIRECTION('center_axis',(0.,0.,1.)); #34430=DIRECTION('ref_axis',(1.,0.,0.)); #34431=DIRECTION('center_axis',(0.,0.,1.)); #34432=DIRECTION('ref_axis',(1.,0.,0.)); #34433=DIRECTION('center_axis',(0.,0.,-1.)); #34434=DIRECTION('ref_axis',(1.,0.,0.)); #34435=DIRECTION('center_axis',(0.,0.,1.)); #34436=DIRECTION('ref_axis',(1.,0.,0.)); #34437=DIRECTION('center_axis',(0.,0.,1.)); #34438=DIRECTION('ref_axis',(1.,0.,0.)); #34439=DIRECTION('center_axis',(0.,0.,-1.)); #34440=DIRECTION('ref_axis',(1.,0.,0.)); #34441=DIRECTION('center_axis',(0.,0.,1.)); #34442=DIRECTION('ref_axis',(1.,0.,0.)); #34443=DIRECTION('center_axis',(0.,0.,1.)); #34444=DIRECTION('ref_axis',(1.,0.,0.)); #34445=DIRECTION('center_axis',(0.,0.,-1.)); #34446=DIRECTION('ref_axis',(1.,0.,0.)); #34447=DIRECTION('center_axis',(0.,0.,1.)); #34448=DIRECTION('ref_axis',(1.,0.,0.)); #34449=DIRECTION('center_axis',(0.,0.,1.)); #34450=DIRECTION('ref_axis',(1.,0.,0.)); #34451=DIRECTION('center_axis',(0.,0.,-1.)); #34452=DIRECTION('ref_axis',(1.,0.,0.)); #34453=DIRECTION('center_axis',(0.,0.,1.)); #34454=DIRECTION('ref_axis',(1.,0.,0.)); #34455=DIRECTION('center_axis',(0.,0.,1.)); #34456=DIRECTION('ref_axis',(1.,0.,0.)); #34457=DIRECTION('center_axis',(0.,0.,-1.)); #34458=DIRECTION('ref_axis',(1.,0.,0.)); #34459=DIRECTION('center_axis',(0.,0.,1.)); #34460=DIRECTION('ref_axis',(1.,0.,0.)); #34461=DIRECTION('center_axis',(0.,0.,1.)); #34462=DIRECTION('ref_axis',(1.,0.,0.)); #34463=DIRECTION('center_axis',(0.,0.,-1.)); #34464=DIRECTION('ref_axis',(1.,0.,0.)); #34465=DIRECTION('center_axis',(0.,0.,1.)); #34466=DIRECTION('ref_axis',(1.,0.,0.)); #34467=DIRECTION('center_axis',(0.,0.,1.)); #34468=DIRECTION('ref_axis',(1.,0.,0.)); #34469=DIRECTION('center_axis',(0.,0.,-1.)); #34470=DIRECTION('ref_axis',(1.,0.,0.)); #34471=DIRECTION('center_axis',(0.,0.,1.)); #34472=DIRECTION('ref_axis',(1.,0.,0.)); #34473=DIRECTION('center_axis',(0.,0.,1.)); #34474=DIRECTION('ref_axis',(1.,0.,0.)); #34475=DIRECTION('center_axis',(0.,0.,-1.)); #34476=DIRECTION('ref_axis',(1.,0.,0.)); #34477=DIRECTION('center_axis',(0.,0.,1.)); #34478=DIRECTION('ref_axis',(1.,0.,0.)); #34479=DIRECTION('center_axis',(0.,0.,1.)); #34480=DIRECTION('ref_axis',(1.,0.,0.)); #34481=DIRECTION('center_axis',(0.,0.,-1.)); #34482=DIRECTION('ref_axis',(1.,0.,0.)); #34483=DIRECTION('center_axis',(0.,0.,1.)); #34484=DIRECTION('ref_axis',(1.,0.,0.)); #34485=DIRECTION('center_axis',(0.,0.,1.)); #34486=DIRECTION('ref_axis',(1.,0.,0.)); #34487=DIRECTION('center_axis',(0.,0.,-1.)); #34488=DIRECTION('ref_axis',(1.,0.,0.)); #34489=DIRECTION('center_axis',(0.,0.,1.)); #34490=DIRECTION('ref_axis',(1.,0.,0.)); #34491=DIRECTION('center_axis',(0.,0.,1.)); #34492=DIRECTION('ref_axis',(1.,0.,0.)); #34493=DIRECTION('center_axis',(0.,0.,-1.)); #34494=DIRECTION('ref_axis',(1.,0.,0.)); #34495=DIRECTION('center_axis',(0.,0.,1.)); #34496=DIRECTION('ref_axis',(1.,0.,0.)); #34497=DIRECTION('center_axis',(0.,0.,1.)); #34498=DIRECTION('ref_axis',(1.,0.,0.)); #34499=DIRECTION('center_axis',(0.,0.,-1.)); #34500=DIRECTION('ref_axis',(1.,0.,0.)); #34501=DIRECTION('center_axis',(0.,0.,1.)); #34502=DIRECTION('ref_axis',(1.,0.,0.)); #34503=DIRECTION('center_axis',(0.,0.,1.)); #34504=DIRECTION('ref_axis',(1.,0.,0.)); #34505=DIRECTION('center_axis',(0.,0.,-1.)); #34506=DIRECTION('ref_axis',(1.,0.,0.)); #34507=DIRECTION('center_axis',(0.,0.,1.)); #34508=DIRECTION('ref_axis',(1.,0.,0.)); #34509=DIRECTION('center_axis',(0.,0.,1.)); #34510=DIRECTION('ref_axis',(1.,0.,0.)); #34511=DIRECTION('center_axis',(0.,0.,-1.)); #34512=DIRECTION('ref_axis',(1.,0.,0.)); #34513=DIRECTION('center_axis',(0.,0.,1.)); #34514=DIRECTION('ref_axis',(1.,0.,0.)); #34515=DIRECTION('center_axis',(0.,0.,1.)); #34516=DIRECTION('ref_axis',(1.,0.,0.)); #34517=DIRECTION('center_axis',(0.,0.,-1.)); #34518=DIRECTION('ref_axis',(1.,0.,0.)); #34519=DIRECTION('center_axis',(0.,0.,1.)); #34520=DIRECTION('ref_axis',(1.,0.,0.)); #34521=DIRECTION('center_axis',(0.,0.,1.)); #34522=DIRECTION('ref_axis',(1.,0.,0.)); #34523=DIRECTION('center_axis',(0.,0.,-1.)); #34524=DIRECTION('ref_axis',(1.,0.,0.)); #34525=DIRECTION('center_axis',(0.,0.,1.)); #34526=DIRECTION('ref_axis',(1.,0.,0.)); #34527=DIRECTION('center_axis',(0.,0.,1.)); #34528=DIRECTION('ref_axis',(1.,0.,0.)); #34529=DIRECTION('center_axis',(0.,0.,-1.)); #34530=DIRECTION('ref_axis',(1.,0.,0.)); #34531=DIRECTION('center_axis',(0.,0.,1.)); #34532=DIRECTION('ref_axis',(1.,0.,0.)); #34533=DIRECTION('center_axis',(0.,0.,1.)); #34534=DIRECTION('ref_axis',(1.,0.,0.)); #34535=DIRECTION('center_axis',(0.,0.,-1.)); #34536=DIRECTION('ref_axis',(1.,0.,0.)); #34537=DIRECTION('center_axis',(0.,0.,1.)); #34538=DIRECTION('ref_axis',(1.,0.,0.)); #34539=DIRECTION('center_axis',(0.,0.,1.)); #34540=DIRECTION('ref_axis',(1.,0.,0.)); #34541=DIRECTION('center_axis',(0.,0.,-1.)); #34542=DIRECTION('ref_axis',(1.,0.,0.)); #34543=DIRECTION('center_axis',(0.,0.,1.)); #34544=DIRECTION('ref_axis',(1.,0.,0.)); #34545=DIRECTION('center_axis',(0.,0.,1.)); #34546=DIRECTION('ref_axis',(1.,0.,0.)); #34547=DIRECTION('center_axis',(0.,0.,-1.)); #34548=DIRECTION('ref_axis',(1.,0.,0.)); #34549=DIRECTION('center_axis',(0.,0.,1.)); #34550=DIRECTION('ref_axis',(1.,0.,0.)); #34551=DIRECTION('center_axis',(0.,0.,1.)); #34552=DIRECTION('ref_axis',(1.,0.,0.)); #34553=DIRECTION('center_axis',(0.,0.,-1.)); #34554=DIRECTION('ref_axis',(1.,0.,0.)); #34555=DIRECTION('center_axis',(0.,0.,1.)); #34556=DIRECTION('ref_axis',(1.,0.,0.)); #34557=DIRECTION('center_axis',(0.,0.,1.)); #34558=DIRECTION('ref_axis',(1.,0.,0.)); #34559=DIRECTION('center_axis',(0.,0.,-1.)); #34560=DIRECTION('ref_axis',(1.,0.,0.)); #34561=DIRECTION('center_axis',(0.,0.,1.)); #34562=DIRECTION('ref_axis',(1.,0.,0.)); #34563=DIRECTION('center_axis',(0.,0.,1.)); #34564=DIRECTION('ref_axis',(1.,0.,0.)); #34565=DIRECTION('center_axis',(0.,0.,-1.)); #34566=DIRECTION('ref_axis',(1.,0.,0.)); #34567=DIRECTION('center_axis',(0.,0.,1.)); #34568=DIRECTION('ref_axis',(1.,0.,0.)); #34569=DIRECTION('center_axis',(0.,0.,1.)); #34570=DIRECTION('ref_axis',(1.,0.,0.)); #34571=DIRECTION('center_axis',(0.,0.,-1.)); #34572=DIRECTION('ref_axis',(1.,0.,0.)); #34573=DIRECTION('center_axis',(0.,0.,1.)); #34574=DIRECTION('ref_axis',(1.,0.,0.)); #34575=DIRECTION('center_axis',(0.,0.,1.)); #34576=DIRECTION('ref_axis',(1.,0.,0.)); #34577=DIRECTION('center_axis',(0.,0.,-1.)); #34578=DIRECTION('ref_axis',(1.,0.,0.)); #34579=DIRECTION('center_axis',(0.,0.,1.)); #34580=DIRECTION('ref_axis',(1.,0.,0.)); #34581=DIRECTION('center_axis',(0.,0.,1.)); #34582=DIRECTION('ref_axis',(1.,0.,0.)); #34583=DIRECTION('center_axis',(0.,0.,-1.)); #34584=DIRECTION('ref_axis',(1.,0.,0.)); #34585=DIRECTION('center_axis',(0.,0.,1.)); #34586=DIRECTION('ref_axis',(1.,0.,0.)); #34587=DIRECTION('center_axis',(0.,0.,1.)); #34588=DIRECTION('ref_axis',(1.,0.,0.)); #34589=DIRECTION('center_axis',(0.,0.,-1.)); #34590=DIRECTION('ref_axis',(1.,0.,0.)); #34591=DIRECTION('center_axis',(0.,0.,1.)); #34592=DIRECTION('ref_axis',(1.,0.,0.)); #34593=DIRECTION('center_axis',(0.,0.,1.)); #34594=DIRECTION('ref_axis',(1.,0.,0.)); #34595=DIRECTION('center_axis',(0.,0.,-1.)); #34596=DIRECTION('ref_axis',(1.,0.,0.)); #34597=DIRECTION('center_axis',(0.,0.,1.)); #34598=DIRECTION('ref_axis',(1.,0.,0.)); #34599=DIRECTION('center_axis',(0.,0.,1.)); #34600=DIRECTION('ref_axis',(1.,0.,0.)); #34601=DIRECTION('center_axis',(0.,0.,-1.)); #34602=DIRECTION('ref_axis',(1.,0.,0.)); #34603=DIRECTION('center_axis',(0.,0.,1.)); #34604=DIRECTION('ref_axis',(1.,0.,0.)); #34605=DIRECTION('center_axis',(0.,0.,1.)); #34606=DIRECTION('ref_axis',(1.,0.,0.)); #34607=DIRECTION('center_axis',(0.,0.,-1.)); #34608=DIRECTION('ref_axis',(1.,0.,0.)); #34609=DIRECTION('center_axis',(0.,0.,1.)); #34610=DIRECTION('ref_axis',(1.,0.,0.)); #34611=DIRECTION('center_axis',(0.,0.,1.)); #34612=DIRECTION('ref_axis',(1.,0.,0.)); #34613=DIRECTION('center_axis',(0.,0.,-1.)); #34614=DIRECTION('ref_axis',(1.,0.,0.)); #34615=DIRECTION('center_axis',(0.,0.,1.)); #34616=DIRECTION('ref_axis',(1.,0.,0.)); #34617=DIRECTION('center_axis',(0.,0.,1.)); #34618=DIRECTION('ref_axis',(1.,0.,0.)); #34619=DIRECTION('center_axis',(0.,0.,-1.)); #34620=DIRECTION('ref_axis',(1.,0.,0.)); #34621=DIRECTION('center_axis',(0.,0.,1.)); #34622=DIRECTION('ref_axis',(1.,0.,0.)); #34623=DIRECTION('center_axis',(0.,0.,1.)); #34624=DIRECTION('ref_axis',(1.,0.,0.)); #34625=DIRECTION('center_axis',(0.,0.,-1.)); #34626=DIRECTION('ref_axis',(1.,0.,0.)); #34627=DIRECTION('center_axis',(0.,0.,1.)); #34628=DIRECTION('ref_axis',(1.,0.,0.)); #34629=DIRECTION('center_axis',(0.,0.,1.)); #34630=DIRECTION('ref_axis',(1.,0.,0.)); #34631=DIRECTION('center_axis',(0.,0.,-1.)); #34632=DIRECTION('ref_axis',(1.,0.,0.)); #34633=DIRECTION('center_axis',(0.,0.,1.)); #34634=DIRECTION('ref_axis',(1.,0.,0.)); #34635=DIRECTION('center_axis',(0.,0.,1.)); #34636=DIRECTION('ref_axis',(1.,0.,0.)); #34637=DIRECTION('center_axis',(0.,0.,-1.)); #34638=DIRECTION('ref_axis',(1.,0.,0.)); #34639=DIRECTION('center_axis',(0.,0.,1.)); #34640=DIRECTION('ref_axis',(1.,0.,0.)); #34641=DIRECTION('center_axis',(0.,0.,1.)); #34642=DIRECTION('ref_axis',(1.,0.,0.)); #34643=DIRECTION('center_axis',(0.,0.,-1.)); #34644=DIRECTION('ref_axis',(1.,0.,0.)); #34645=DIRECTION('center_axis',(0.,0.,1.)); #34646=DIRECTION('ref_axis',(1.,0.,0.)); #34647=DIRECTION('center_axis',(0.,0.,1.)); #34648=DIRECTION('ref_axis',(1.,0.,0.)); #34649=DIRECTION('center_axis',(0.,0.,-1.)); #34650=DIRECTION('ref_axis',(1.,0.,0.)); #34651=DIRECTION('center_axis',(0.,0.,1.)); #34652=DIRECTION('ref_axis',(1.,0.,0.)); #34653=DIRECTION('center_axis',(0.,0.,1.)); #34654=DIRECTION('ref_axis',(1.,0.,0.)); #34655=DIRECTION('center_axis',(0.,0.,-1.)); #34656=DIRECTION('ref_axis',(1.,0.,0.)); #34657=DIRECTION('center_axis',(0.,0.,1.)); #34658=DIRECTION('ref_axis',(1.,0.,0.)); #34659=DIRECTION('center_axis',(0.,0.,1.)); #34660=DIRECTION('ref_axis',(1.,0.,0.)); #34661=DIRECTION('center_axis',(0.,0.,-1.)); #34662=DIRECTION('ref_axis',(1.,0.,0.)); #34663=DIRECTION('center_axis',(0.,0.,1.)); #34664=DIRECTION('ref_axis',(1.,0.,0.)); #34665=DIRECTION('center_axis',(0.,0.,1.)); #34666=DIRECTION('ref_axis',(1.,0.,0.)); #34667=DIRECTION('center_axis',(0.,0.,-1.)); #34668=DIRECTION('ref_axis',(1.,0.,0.)); #34669=DIRECTION('center_axis',(0.,0.,1.)); #34670=DIRECTION('ref_axis',(1.,0.,0.)); #34671=DIRECTION('center_axis',(0.,0.,1.)); #34672=DIRECTION('ref_axis',(1.,0.,0.)); #34673=DIRECTION('center_axis',(0.,0.,-1.)); #34674=DIRECTION('ref_axis',(1.,0.,0.)); #34675=DIRECTION('center_axis',(0.,0.,1.)); #34676=DIRECTION('ref_axis',(1.,0.,0.)); #34677=DIRECTION('center_axis',(0.,0.,1.)); #34678=DIRECTION('ref_axis',(1.,0.,0.)); #34679=DIRECTION('center_axis',(0.,0.,-1.)); #34680=DIRECTION('ref_axis',(1.,0.,0.)); #34681=DIRECTION('center_axis',(0.,0.,1.)); #34682=DIRECTION('ref_axis',(1.,0.,0.)); #34683=DIRECTION('center_axis',(0.,0.,1.)); #34684=DIRECTION('ref_axis',(1.,0.,0.)); #34685=DIRECTION('center_axis',(0.,0.,-1.)); #34686=DIRECTION('ref_axis',(1.,0.,0.)); #34687=DIRECTION('center_axis',(0.,0.,1.)); #34688=DIRECTION('ref_axis',(1.,0.,0.)); #34689=DIRECTION('center_axis',(0.,0.,1.)); #34690=DIRECTION('ref_axis',(1.,0.,0.)); #34691=DIRECTION('center_axis',(0.,0.,-1.)); #34692=DIRECTION('ref_axis',(1.,0.,0.)); #34693=DIRECTION('center_axis',(0.,0.,1.)); #34694=DIRECTION('ref_axis',(1.,0.,0.)); #34695=DIRECTION('center_axis',(0.,0.,1.)); #34696=DIRECTION('ref_axis',(1.,0.,0.)); #34697=DIRECTION('center_axis',(0.,0.,-1.)); #34698=DIRECTION('ref_axis',(1.,0.,0.)); #34699=DIRECTION('center_axis',(0.,0.,1.)); #34700=DIRECTION('ref_axis',(1.,0.,0.)); #34701=DIRECTION('center_axis',(0.,0.,1.)); #34702=DIRECTION('ref_axis',(1.,0.,0.)); #34703=DIRECTION('center_axis',(0.,0.,-1.)); #34704=DIRECTION('ref_axis',(1.,0.,0.)); #34705=DIRECTION('center_axis',(0.,0.,1.)); #34706=DIRECTION('ref_axis',(1.,0.,0.)); #34707=DIRECTION('center_axis',(0.,0.,1.)); #34708=DIRECTION('ref_axis',(1.,0.,0.)); #34709=DIRECTION('center_axis',(0.,0.,-1.)); #34710=DIRECTION('ref_axis',(1.,0.,0.)); #34711=DIRECTION('center_axis',(0.,0.,1.)); #34712=DIRECTION('ref_axis',(1.,0.,0.)); #34713=DIRECTION('center_axis',(0.,0.,1.)); #34714=DIRECTION('ref_axis',(1.,0.,0.)); #34715=DIRECTION('center_axis',(0.,0.,-1.)); #34716=DIRECTION('ref_axis',(1.,0.,0.)); #34717=DIRECTION('center_axis',(0.,0.,1.)); #34718=DIRECTION('ref_axis',(1.,0.,0.)); #34719=DIRECTION('center_axis',(0.,0.,1.)); #34720=DIRECTION('ref_axis',(1.,0.,0.)); #34721=DIRECTION('center_axis',(0.,0.,-1.)); #34722=DIRECTION('ref_axis',(1.,0.,0.)); #34723=DIRECTION('center_axis',(0.,0.,1.)); #34724=DIRECTION('ref_axis',(1.,0.,0.)); #34725=DIRECTION('center_axis',(0.,0.,1.)); #34726=DIRECTION('ref_axis',(1.,0.,0.)); #34727=DIRECTION('center_axis',(0.,0.,-1.)); #34728=DIRECTION('ref_axis',(1.,0.,0.)); #34729=DIRECTION('center_axis',(0.,0.,1.)); #34730=DIRECTION('ref_axis',(1.,0.,0.)); #34731=DIRECTION('center_axis',(0.,0.,1.)); #34732=DIRECTION('ref_axis',(1.,0.,0.)); #34733=DIRECTION('center_axis',(0.,0.,-1.)); #34734=DIRECTION('ref_axis',(1.,0.,0.)); #34735=DIRECTION('center_axis',(0.,0.,1.)); #34736=DIRECTION('ref_axis',(1.,0.,0.)); #34737=DIRECTION('center_axis',(0.,0.,1.)); #34738=DIRECTION('ref_axis',(1.,0.,0.)); #34739=DIRECTION('center_axis',(0.,0.,-1.)); #34740=DIRECTION('ref_axis',(1.,0.,0.)); #34741=DIRECTION('center_axis',(0.,0.,1.)); #34742=DIRECTION('ref_axis',(1.,0.,0.)); #34743=DIRECTION('center_axis',(0.,0.,1.)); #34744=DIRECTION('ref_axis',(1.,0.,0.)); #34745=DIRECTION('center_axis',(0.,0.,-1.)); #34746=DIRECTION('ref_axis',(1.,0.,0.)); #34747=DIRECTION('center_axis',(0.,0.,1.)); #34748=DIRECTION('ref_axis',(1.,0.,0.)); #34749=DIRECTION('center_axis',(0.,0.,1.)); #34750=DIRECTION('ref_axis',(1.,0.,0.)); #34751=DIRECTION('center_axis',(0.,0.,-1.)); #34752=DIRECTION('ref_axis',(1.,0.,0.)); #34753=DIRECTION('center_axis',(0.,0.,1.)); #34754=DIRECTION('ref_axis',(1.,0.,0.)); #34755=DIRECTION('center_axis',(0.,0.,1.)); #34756=DIRECTION('ref_axis',(1.,0.,0.)); #34757=DIRECTION('center_axis',(0.,0.,-1.)); #34758=DIRECTION('ref_axis',(1.,0.,0.)); #34759=DIRECTION('center_axis',(0.,0.,1.)); #34760=DIRECTION('ref_axis',(1.,0.,0.)); #34761=DIRECTION('center_axis',(0.,0.,1.)); #34762=DIRECTION('ref_axis',(1.,0.,0.)); #34763=DIRECTION('center_axis',(0.,0.,-1.)); #34764=DIRECTION('ref_axis',(1.,0.,0.)); #34765=DIRECTION('center_axis',(0.,0.,1.)); #34766=DIRECTION('ref_axis',(1.,0.,0.)); #34767=DIRECTION('center_axis',(0.,0.,1.)); #34768=DIRECTION('ref_axis',(1.,0.,0.)); #34769=DIRECTION('center_axis',(0.,0.,-1.)); #34770=DIRECTION('ref_axis',(1.,0.,0.)); #34771=DIRECTION('center_axis',(0.,0.,1.)); #34772=DIRECTION('ref_axis',(1.,0.,0.)); #34773=DIRECTION('center_axis',(0.,0.,1.)); #34774=DIRECTION('ref_axis',(1.,0.,0.)); #34775=DIRECTION('center_axis',(0.,0.,-1.)); #34776=DIRECTION('ref_axis',(1.,0.,0.)); #34777=DIRECTION('center_axis',(0.,0.,1.)); #34778=DIRECTION('ref_axis',(1.,0.,0.)); #34779=DIRECTION('center_axis',(0.,0.,1.)); #34780=DIRECTION('ref_axis',(1.,0.,0.)); #34781=DIRECTION('center_axis',(0.,0.,-1.)); #34782=DIRECTION('ref_axis',(1.,0.,0.)); #34783=DIRECTION('center_axis',(0.,0.,1.)); #34784=DIRECTION('ref_axis',(1.,0.,0.)); #34785=DIRECTION('center_axis',(0.,0.,1.)); #34786=DIRECTION('ref_axis',(1.,0.,0.)); #34787=DIRECTION('center_axis',(0.,0.,-1.)); #34788=DIRECTION('ref_axis',(1.,0.,0.)); #34789=DIRECTION('center_axis',(0.,0.,1.)); #34790=DIRECTION('ref_axis',(1.,0.,0.)); #34791=DIRECTION('center_axis',(0.,0.,1.)); #34792=DIRECTION('ref_axis',(1.,0.,0.)); #34793=DIRECTION('center_axis',(0.,0.,-1.)); #34794=DIRECTION('ref_axis',(1.,0.,0.)); #34795=DIRECTION('center_axis',(0.,0.,1.)); #34796=DIRECTION('ref_axis',(1.,0.,0.)); #34797=DIRECTION('center_axis',(0.,0.,1.)); #34798=DIRECTION('ref_axis',(1.,0.,0.)); #34799=DIRECTION('center_axis',(0.,0.,-1.)); #34800=DIRECTION('ref_axis',(1.,0.,0.)); #34801=DIRECTION('center_axis',(0.,0.,1.)); #34802=DIRECTION('ref_axis',(1.,0.,0.)); #34803=DIRECTION('center_axis',(0.,0.,1.)); #34804=DIRECTION('ref_axis',(1.,0.,0.)); #34805=DIRECTION('center_axis',(0.,0.,-1.)); #34806=DIRECTION('ref_axis',(1.,0.,0.)); #34807=DIRECTION('center_axis',(0.,0.,1.)); #34808=DIRECTION('ref_axis',(1.,0.,0.)); #34809=DIRECTION('center_axis',(0.,0.,1.)); #34810=DIRECTION('ref_axis',(1.,0.,0.)); #34811=DIRECTION('center_axis',(0.,0.,-1.)); #34812=DIRECTION('ref_axis',(1.,0.,0.)); #34813=DIRECTION('center_axis',(0.,0.,1.)); #34814=DIRECTION('ref_axis',(1.,0.,0.)); #34815=DIRECTION('center_axis',(0.,0.,1.)); #34816=DIRECTION('ref_axis',(1.,0.,0.)); #34817=DIRECTION('center_axis',(0.,0.,-1.)); #34818=DIRECTION('ref_axis',(1.,0.,0.)); #34819=DIRECTION('center_axis',(0.,0.,1.)); #34820=DIRECTION('ref_axis',(1.,0.,0.)); #34821=DIRECTION('center_axis',(0.,0.,1.)); #34822=DIRECTION('ref_axis',(1.,0.,0.)); #34823=DIRECTION('center_axis',(0.,0.,-1.)); #34824=DIRECTION('ref_axis',(1.,0.,0.)); #34825=DIRECTION('center_axis',(0.,0.,1.)); #34826=DIRECTION('ref_axis',(1.,0.,0.)); #34827=DIRECTION('center_axis',(0.,0.,1.)); #34828=DIRECTION('ref_axis',(1.,0.,0.)); #34829=DIRECTION('center_axis',(0.,0.,-1.)); #34830=DIRECTION('ref_axis',(1.,0.,0.)); #34831=DIRECTION('center_axis',(0.,0.,1.)); #34832=DIRECTION('ref_axis',(1.,0.,0.)); #34833=DIRECTION('center_axis',(0.,0.,1.)); #34834=DIRECTION('ref_axis',(1.,0.,0.)); #34835=DIRECTION('center_axis',(0.,0.,-1.)); #34836=DIRECTION('ref_axis',(1.,0.,0.)); #34837=DIRECTION('center_axis',(0.,0.,1.)); #34838=DIRECTION('ref_axis',(1.,0.,0.)); #34839=DIRECTION('center_axis',(0.,0.,1.)); #34840=DIRECTION('ref_axis',(1.,0.,0.)); #34841=DIRECTION('center_axis',(0.,0.,-1.)); #34842=DIRECTION('ref_axis',(1.,0.,0.)); #34843=DIRECTION('center_axis',(0.,0.,1.)); #34844=DIRECTION('ref_axis',(1.,0.,0.)); #34845=DIRECTION('center_axis',(0.,0.,1.)); #34846=DIRECTION('ref_axis',(1.,0.,0.)); #34847=DIRECTION('center_axis',(0.,0.,-1.)); #34848=DIRECTION('ref_axis',(1.,0.,0.)); #34849=DIRECTION('center_axis',(0.,0.,1.)); #34850=DIRECTION('ref_axis',(1.,0.,0.)); #34851=DIRECTION('center_axis',(0.,0.,1.)); #34852=DIRECTION('ref_axis',(1.,0.,0.)); #34853=DIRECTION('center_axis',(0.,0.,-1.)); #34854=DIRECTION('ref_axis',(1.,0.,0.)); #34855=DIRECTION('center_axis',(0.,0.,1.)); #34856=DIRECTION('ref_axis',(1.,0.,0.)); #34857=DIRECTION('center_axis',(-0.951056516295169,0.3090169943749,0.)); #34858=DIRECTION('ref_axis',(0.,0.,-1.)); #34859=DIRECTION('center_axis',(0.,0.,1.)); #34860=DIRECTION('ref_axis',(-0.483660225543757,0.875255840441503,0.)); #34861=DIRECTION('center_axis',(0.244470387776178,0.969656758600983,0.)); #34862=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #34863=DIRECTION('center_axis',(0.,0.,-1.)); #34864=DIRECTION('ref_axis',(-0.483660225543757,0.875255840441503,0.)); #34865=DIRECTION('center_axis',(0.,0.,1.)); #34866=DIRECTION('ref_axis',(1.,0.,0.)); #34867=DIRECTION('center_axis',(-0.244470387776207,0.969656758600976,0.)); #34868=DIRECTION('ref_axis',(0.969656758600976,0.244470387776207,0.)); #34869=DIRECTION('center_axis',(0.,0.,-1.)); #34870=DIRECTION('ref_axis',(-1.,-1.53762290323962E-14,0.)); #34871=DIRECTION('center_axis',(0.,0.,1.)); #34872=DIRECTION('ref_axis',(-1.,-1.53762290323962E-14,0.)); #34873=DIRECTION('center_axis',(0.,0.,1.)); #34874=DIRECTION('ref_axis',(1.,0.,0.)); #34875=DIRECTION('center_axis',(-0.951056516295151,-0.309016994374954,0.)); #34876=DIRECTION('ref_axis',(-0.309016994374954,0.951056516295151,0.)); #34877=DIRECTION('center_axis',(0.,0.,-1.)); #34878=DIRECTION('ref_axis',(-0.48366022554372,-0.875255840441524,0.)); #34879=DIRECTION('center_axis',(0.,0.,1.)); #34880=DIRECTION('ref_axis',(-0.48366022554372,-0.875255840441524,0.)); #34881=DIRECTION('center_axis',(0.951056516295152,0.309016994374951,0.)); #34882=DIRECTION('ref_axis',(-0.218508012224425,0.672498511963955,-0.707106781186545)); #34883=DIRECTION('center_axis',(-0.951056516295152,-0.309016994374953,0.)); #34884=DIRECTION('ref_axis',(-0.309016994374953,0.951056516295152,0.)); #34885=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #34886=DIRECTION('',(0.951056516295152,0.309016994374951,0.)); #34887=DIRECTION('center_axis',(0.,0.,1.)); #34888=DIRECTION('ref_axis',(1.,0.,0.)); #34889=DIRECTION('center_axis',(0.185937314266848,-0.982561608838464,0.)); #34890=DIRECTION('ref_axis',(-0.982561608838464,-0.185937314266848,0.)); #34891=DIRECTION('center_axis',(0.,0.,-1.)); #34892=DIRECTION('ref_axis',(0.860367691602661,-0.509673851836944,0.)); #34893=DIRECTION('center_axis',(0.,0.,1.)); #34894=DIRECTION('ref_axis',(0.860367691602661,-0.509673851836944,0.)); #34895=DIRECTION('center_axis',(0.,0.,-1.)); #34896=DIRECTION('ref_axis',(-1.,0.,0.)); #34897=DIRECTION('center_axis',(-0.18593731426684,-0.982561608838466,0.)); #34898=DIRECTION('ref_axis',(-0.982561608838466,0.18593731426684,0.)); #34899=DIRECTION('center_axis',(0.,0.,1.)); #34900=DIRECTION('ref_axis',(-1.,-4.73264019744662E-15,0.)); #34901=DIRECTION('center_axis',(0.,0.,-1.)); #34902=DIRECTION('ref_axis',(-1.,-4.73264019744662E-15,0.)); #34903=DIRECTION('center_axis',(0.,0.,1.)); #34904=DIRECTION('ref_axis',(1.,0.,0.)); #34905=DIRECTION('center_axis',(0.951056516295173,-0.309016994374887,0.)); #34906=DIRECTION('ref_axis',(-0.309016994374887,-0.951056516295173,0.)); #34907=DIRECTION('center_axis',(0.,0.,-1.)); #34908=DIRECTION('ref_axis',(0.860367691602645,0.509673851836972,0.)); #34909=DIRECTION('center_axis',(0.,0.,1.)); #34910=DIRECTION('ref_axis',(0.860367691602645,0.509673851836972,0.)); #34911=DIRECTION('center_axis',(-0.95105651629517,0.309016994374899,0.)); #34912=DIRECTION('ref_axis',(-0.218508012224384,-0.672498511963964,-0.707106781186549)); #34913=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #34914=DIRECTION('',(-0.951056516295169,0.309016994374899,0.)); #34915=DIRECTION('center_axis',(0.309016994374951,-0.951056516295152,0.)); #34916=DIRECTION('ref_axis',(-0.951056516295152,-0.309016994374951,0.)); #34917=DIRECTION('',(0.,0.,-1.)); #34918=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #34919=DIRECTION('',(0.,0.,-1.)); #34920=DIRECTION('center_axis',(0.,0.,-1.)); #34921=DIRECTION('ref_axis',(-0.969656758600976,-0.244470387776207,0.)); #34922=DIRECTION('',(0.,0.,-1.)); #34923=DIRECTION('center_axis',(0.,0.,-1.)); #34924=DIRECTION('ref_axis',(-0.969656758600976,-0.244470387776207,0.)); #34925=DIRECTION('center_axis',(0.,0.,-1.)); #34926=DIRECTION('ref_axis',(-0.969656758600983,0.244470387776178,0.)); #34927=DIRECTION('',(0.,0.,-1.)); #34928=DIRECTION('center_axis',(0.,0.,-1.)); #34929=DIRECTION('ref_axis',(-0.969656758600983,0.244470387776178,0.)); #34930=DIRECTION('center_axis',(0.,0.,-1.)); #34931=DIRECTION('ref_axis',(0.309016994374899,0.951056516295169,0.)); #34932=DIRECTION('',(0.,0.,-1.)); #34933=DIRECTION('center_axis',(0.,0.,-1.)); #34934=DIRECTION('ref_axis',(0.309016994374899,0.951056516295169,0.)); #34935=DIRECTION('center_axis',(0.,0.,1.)); #34936=DIRECTION('ref_axis',(1.,0.,0.)); #34937=DIRECTION('center_axis',(0.,0.,-1.)); #34938=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #34939=DIRECTION('',(0.951056516295154,0.309016994374948,0.)); #34940=DIRECTION('center_axis',(0.,0.,1.)); #34941=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #34942=DIRECTION('',(-0.951056516295153,0.309016994374948,0.)); #34943=DIRECTION('center_axis',(0.309016994374899,0.95105651629517,0.)); #34944=DIRECTION('ref_axis',(0.951056516295169,-0.309016994374899,0.)); #34945=DIRECTION('',(0.,0.,-1.)); #34946=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #34947=DIRECTION('center_axis',(0.,0.,-1.)); #34948=DIRECTION('ref_axis',(0.982561608838466,-0.185937314266839,0.)); #34949=DIRECTION('',(0.,0.,-1.)); #34950=DIRECTION('center_axis',(0.,0.,-1.)); #34951=DIRECTION('ref_axis',(0.982561608838466,-0.185937314266839,0.)); #34952=DIRECTION('center_axis',(0.,0.,-1.)); #34953=DIRECTION('ref_axis',(0.982561608838466,-0.185937314266839,0.)); #34954=DIRECTION('',(0.,0.,-1.)); #34955=DIRECTION('center_axis',(0.,0.,1.)); #34956=DIRECTION('ref_axis',(0.982561608838466,-0.185937314266839,0.)); #34957=DIRECTION('center_axis',(0.,0.,-1.)); #34958=DIRECTION('ref_axis',(0.309016994374951,-0.951056516295152,0.)); #34959=DIRECTION('center_axis',(0.,0.,-1.)); #34960=DIRECTION('ref_axis',(0.309016994374951,-0.951056516295152,0.)); #34961=DIRECTION('center_axis',(0.309016994374948,-0.951056516295154,0.)); #34962=DIRECTION('ref_axis',(0.951056516295154,0.309016994374948,0.)); #34963=DIRECTION('',(0.,0.,1.)); #34964=DIRECTION('',(0.951056516295154,0.309016994374948,0.)); #34965=DIRECTION('',(0.,0.,1.)); #34966=DIRECTION('center_axis',(0.,0.,1.)); #34967=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #34968=DIRECTION('center_axis',(0.,0.,1.)); #34969=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #34970=DIRECTION('',(0.,0.,1.)); #34971=DIRECTION('center_axis',(0.309016994374948,0.951056516295154,0.)); #34972=DIRECTION('ref_axis',(-0.951056516295153,0.309016994374948,0.)); #34973=DIRECTION('',(-0.951056516295153,0.309016994374948,0.)); #34974=DIRECTION('',(0.,0.,1.)); #34975=DIRECTION('center_axis',(0.,0.,1.)); #34976=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #34977=DIRECTION('center_axis',(0.,0.,-1.)); #34978=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #34979=DIRECTION('center_axis',(0.,0.,1.)); #34980=DIRECTION('ref_axis',(1.,0.,0.)); #34981=DIRECTION('',(0.,0.,-1.)); #34982=DIRECTION('',(-1.,7.21644966006352E-16,0.)); #34983=DIRECTION('',(0.992965508106537,-2.7694329958485E-14,-0.118403968306501)); #34984=DIRECTION('',(2.78353218767483E-14,1.,-4.6295262409396E-16)); #34985=DIRECTION('',(0.971266829365826,-0.20644913771418,-0.118403968306501)); #34986=DIRECTION('',(0.207911690817794,0.978147600733798,-4.6295262409396E-16)); #34987=DIRECTION('',(0.97126682936584,0.206449137714113,-0.118403968306501)); #34988=DIRECTION('',(-0.207911690817727,0.978147600733813,-4.6295262409396E-16)); #34989=DIRECTION('',(-0.309016994374979,-0.951056516295143,2.46519032881566E-32)); #34990=DIRECTION('',(-0.951056516295143,0.309016994374979,2.90505553734428E-16)); #34991=DIRECTION('',(0.907119248311816,0.403875482705825,-0.118402972138979)); #34992=DIRECTION('',(-0.406736643075618,0.913545457642657,-2.13241980689198E-7)); #34993=DIRECTION('',(0.309016994374816,-0.951056516295196,1.23259516440783E-32)); #34994=DIRECTION('',(-0.951056516295196,-0.309016994374816,2.90505553734428E-16)); #34995=DIRECTION('',(-0.499999989265361,0.866025409982059,-2.13559981288166E-7)); #34996=DIRECTION('',(-0.866025409981552,-0.499999989265312,-9.86304619951932E-7)); #34997=DIRECTION('',(-9.70095451973101E-7,-3.15203119572022E-7,0.99999999999948)); #34998=DIRECTION('',(-0.913545457642109,-0.40673664307564,-1.01443095237152E-6)); #34999=DIRECTION('',(0.207911690536105,0.978147600793673,-2.330099085513E-10)); #35000=DIRECTION('',(-0.978147600793673,0.207911690536105,1.5265566588596E-15)); #35001=DIRECTION('',(-2.87952656923355E-10,1.,-2.33005868535882E-10)); #35002=DIRECTION('',(-1.,-2.87952656923355E-10,4.44089209850063E-16)); #35003=DIRECTION('',(-0.20791169109942,0.978147600673937,-2.33006145419678E-10)); #35004=DIRECTION('',(-0.978147600673937,-0.20791169109942,3.60822483003176E-16)); #35005=DIRECTION('',(-0.406736643338813,0.913545457525494,-1.0685397896739E-7)); #35006=DIRECTION('',(-0.913545457524985,-0.406736643338705,-1.01443086752973E-6)); #35007=DIRECTION('',(0.207911690536104,0.978147600793673,-2.3300066600704E-10)); #35008=DIRECTION('',(-0.978147600793673,0.207911690536104,9.1058669087812E-15)); #35009=DIRECTION('',(-2.7938257263216E-10,1.,-2.26073340804554E-10)); #35010=DIRECTION('',(-1.,-2.79382572632159E-10,4.91273488782766E-15)); #35011=DIRECTION('',(-0.406736643338813,0.913545457525494,-1.06853978137121E-7)); #35012=DIRECTION('',(-0.913545457524985,-0.406736643338705,-1.01443085987678E-6)); #35013=DIRECTION('',(-0.207911691091041,0.978147600675718,-2.26074246736788E-10)); #35014=DIRECTION('',(-0.978147600675718,-0.207911691091041,7.26540435554334E-15)); #35015=DIRECTION('',(9.60944851261198E-7,3.08203928953527E-7,-0.999999999999491)); #35016=DIRECTION('',(0.913545462683688,0.406736631752095,1.00322463671475E-6)); #35017=DIRECTION('',(0.104528463554025,-0.994521895338174,1.52418466155734E-16)); #35018=DIRECTION('',(-1.67483223397976E-15,-2.27739319557403E-17,1.)); #35019=DIRECTION('',(-0.104528462981286,-0.994521895398372,1.75355658090144E-11)); #35020=DIRECTION('',(1.67758766312298E-10,-1.86267264846549E-19,1.)); #35021=DIRECTION('',(-5.52140718929116E-16,8.9771153064252E-17,-1.)); #35022=DIRECTION('',(1.,-3.44169137633798E-14,-5.52140718929119E-16)); #35023=DIRECTION('',(0.406736643338812,-0.913545457525494,1.09293183963442E-7)); #35024=DIRECTION('',(-9.7118226927477E-7,-3.12761921104108E-7,0.99999999999948)); #35025=DIRECTION('',(0.207911691091041,-0.978147600675718,2.26095652278178E-10)); #35026=DIRECTION('',(-4.70240382006645E-11,2.21151495770691E-10,1.)); #35027=DIRECTION('',(-0.207911690536105,-0.978147600793673,2.3302239429848E-10)); #35028=DIRECTION('',(4.84334048561629E-11,2.27933415197778E-10,1.)); #35029=DIRECTION('',(0.406736643338814,-0.913545457525493,1.06853990493681E-7)); #35030=DIRECTION('',(-9.70190160182183E-7,-3.1499023533943E-7,0.99999999999948)); #35031=DIRECTION('',(0.207911691091041,-0.978147600675718,2.26084784302647E-10)); #35032=DIRECTION('',(-4.70216998857679E-11,2.21140882022297E-10,1.)); #35033=DIRECTION('',(-0.207911690536104,-0.978147600793673,2.33011660606629E-10)); #35034=DIRECTION('',(4.84311786116917E-11,2.27922914911737E-10,1.)); #35035=DIRECTION('',(0.913545457524985,0.406736643338706,1.01443086076003E-6)); #35036=DIRECTION('',(-9.70190122795422E-7,-3.14990260263937E-7,0.99999999999948)); #35037=DIRECTION('',(0.978147600675718,0.207911691091041,-7.51560847048683E-15)); #35038=DIRECTION('',(-4.69958169602158E-11,2.21134083266961E-10,1.)); #35039=DIRECTION('',(0.978147600793673,-0.207911690536104,-8.9205464642882E-15)); #35040=DIRECTION('',(4.84468437589314E-11,2.27881574721422E-10,1.)); #35041=DIRECTION('',(0.,0.,-1.)); #35042=DIRECTION('',(-1.,1.337691647743E-15,0.)); #35043=DIRECTION('center_axis',(0.,0.,1.)); #35044=DIRECTION('ref_axis',(1.,0.,0.)); #35045=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35046=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35047=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35048=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35049=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35050=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35051=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35052=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35053=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35054=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35055=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35056=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35057=DIRECTION('center_axis',(0.,1.,0.)); #35058=DIRECTION('ref_axis',(1.,0.,0.)); #35059=DIRECTION('center_axis',(0.,1.,0.)); #35060=DIRECTION('ref_axis',(1.,0.,0.)); #35061=DIRECTION('center_axis',(0.,-1.,0.)); #35062=DIRECTION('center_axis',(0.,-1.,0.)); #35063=DIRECTION('center_axis',(1.,0.,0.)); #35064=DIRECTION('ref_axis',(0.,0.,-1.)); #35065=DIRECTION('center_axis',(1.,0.,0.)); #35066=DIRECTION('ref_axis',(0.,0.,-1.)); #35067=DIRECTION('center_axis',(-1.,0.,0.)); #35068=DIRECTION('center_axis',(-1.,0.,0.)); #35069=DIRECTION('center_axis',(-0.123450200788267,-0.18202061180629,0.975514502610289)); #35070=DIRECTION('ref_axis',(-0.0863727871916163,0.981274160882544,0.172164929114531)); #35071=DIRECTION('center_axis',(-0.123450200788267,-0.18202061180629,0.975514502610289)); #35072=DIRECTION('ref_axis',(-0.0863727871916163,0.981274160882544,0.172164929114531)); #35073=DIRECTION('center_axis',(0.123450200788267,0.18202061180629,-0.975514502610289)); #35074=DIRECTION('center_axis',(0.123450200788267,0.18202061180629,-0.975514502610289)); #35075=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35076=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35077=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35078=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35079=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35080=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35081=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35082=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35083=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35084=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35085=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35086=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35087=DIRECTION('center_axis',(0.,1.,0.)); #35088=DIRECTION('ref_axis',(1.,0.,0.)); #35089=DIRECTION('center_axis',(0.,1.,0.)); #35090=DIRECTION('ref_axis',(1.,0.,0.)); #35091=DIRECTION('center_axis',(0.,-1.,0.)); #35092=DIRECTION('center_axis',(0.,-1.,0.)); #35093=DIRECTION('center_axis',(1.,0.,0.)); #35094=DIRECTION('ref_axis',(0.,0.,-1.)); #35095=DIRECTION('center_axis',(1.,0.,0.)); #35096=DIRECTION('ref_axis',(0.,0.,-1.)); #35097=DIRECTION('center_axis',(-1.,0.,0.)); #35098=DIRECTION('center_axis',(-1.,0.,0.)); #35099=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35100=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35101=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35102=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35103=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35104=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35105=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35106=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35107=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35108=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35109=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35110=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35111=DIRECTION('center_axis',(0.,1.,0.)); #35112=DIRECTION('ref_axis',(1.,0.,0.)); #35113=DIRECTION('center_axis',(0.,1.,0.)); #35114=DIRECTION('ref_axis',(1.,0.,0.)); #35115=DIRECTION('center_axis',(0.,-1.,0.)); #35116=DIRECTION('center_axis',(0.,-1.,0.)); #35117=DIRECTION('center_axis',(1.,0.,0.)); #35118=DIRECTION('ref_axis',(0.,0.,-1.)); #35119=DIRECTION('center_axis',(1.,0.,0.)); #35120=DIRECTION('ref_axis',(0.,0.,-1.)); #35121=DIRECTION('center_axis',(-1.,0.,0.)); #35122=DIRECTION('center_axis',(-1.,0.,0.)); #35123=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35124=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35125=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35126=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35127=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35128=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35129=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35130=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35131=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35132=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35133=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35134=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35135=DIRECTION('center_axis',(0.,1.,0.)); #35136=DIRECTION('ref_axis',(1.,0.,0.)); #35137=DIRECTION('center_axis',(0.,1.,0.)); #35138=DIRECTION('ref_axis',(1.,0.,0.)); #35139=DIRECTION('center_axis',(0.,-1.,0.)); #35140=DIRECTION('center_axis',(0.,-1.,0.)); #35141=DIRECTION('center_axis',(1.,0.,0.)); #35142=DIRECTION('ref_axis',(0.,0.,-1.)); #35143=DIRECTION('center_axis',(1.,0.,0.)); #35144=DIRECTION('ref_axis',(0.,0.,-1.)); #35145=DIRECTION('center_axis',(-1.,0.,0.)); #35146=DIRECTION('center_axis',(-1.,0.,0.)); #35147=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35148=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35149=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35150=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35151=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35152=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35153=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35154=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35155=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35156=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35157=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35158=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35159=DIRECTION('center_axis',(0.,1.,0.)); #35160=DIRECTION('ref_axis',(1.,0.,0.)); #35161=DIRECTION('center_axis',(0.,1.,0.)); #35162=DIRECTION('ref_axis',(1.,0.,0.)); #35163=DIRECTION('center_axis',(0.,-1.,0.)); #35164=DIRECTION('center_axis',(0.,-1.,0.)); #35165=DIRECTION('center_axis',(1.,0.,0.)); #35166=DIRECTION('ref_axis',(0.,0.,-1.)); #35167=DIRECTION('center_axis',(1.,0.,0.)); #35168=DIRECTION('ref_axis',(0.,0.,-1.)); #35169=DIRECTION('center_axis',(-1.,0.,0.)); #35170=DIRECTION('center_axis',(-1.,0.,0.)); #35171=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35172=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35173=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35174=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35175=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35176=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35177=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35178=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35179=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35180=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35181=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35182=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35183=DIRECTION('center_axis',(0.,1.,0.)); #35184=DIRECTION('ref_axis',(1.,0.,0.)); #35185=DIRECTION('center_axis',(0.,1.,0.)); #35186=DIRECTION('ref_axis',(1.,0.,0.)); #35187=DIRECTION('center_axis',(0.,-1.,0.)); #35188=DIRECTION('center_axis',(0.,-1.,0.)); #35189=DIRECTION('center_axis',(1.,0.,0.)); #35190=DIRECTION('ref_axis',(0.,0.,-1.)); #35191=DIRECTION('center_axis',(1.,0.,0.)); #35192=DIRECTION('ref_axis',(0.,0.,-1.)); #35193=DIRECTION('center_axis',(-1.,0.,0.)); #35194=DIRECTION('center_axis',(-1.,0.,0.)); #35195=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35196=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35197=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35198=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35199=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35200=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35201=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35202=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35203=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35204=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35205=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35206=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35207=DIRECTION('center_axis',(0.,1.,0.)); #35208=DIRECTION('ref_axis',(1.,0.,0.)); #35209=DIRECTION('center_axis',(0.,1.,0.)); #35210=DIRECTION('ref_axis',(1.,0.,0.)); #35211=DIRECTION('center_axis',(0.,-1.,0.)); #35212=DIRECTION('center_axis',(0.,-1.,0.)); #35213=DIRECTION('center_axis',(1.,0.,0.)); #35214=DIRECTION('ref_axis',(0.,0.,-1.)); #35215=DIRECTION('center_axis',(1.,0.,0.)); #35216=DIRECTION('ref_axis',(0.,0.,-1.)); #35217=DIRECTION('center_axis',(-1.,0.,0.)); #35218=DIRECTION('center_axis',(-1.,0.,0.)); #35219=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35220=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35221=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35222=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35223=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35224=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35225=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35226=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35227=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35228=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35229=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35230=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35231=DIRECTION('center_axis',(0.,1.,0.)); #35232=DIRECTION('ref_axis',(1.,0.,0.)); #35233=DIRECTION('center_axis',(0.,1.,0.)); #35234=DIRECTION('ref_axis',(1.,0.,0.)); #35235=DIRECTION('center_axis',(0.,-1.,0.)); #35236=DIRECTION('center_axis',(0.,-1.,0.)); #35237=DIRECTION('center_axis',(1.,0.,0.)); #35238=DIRECTION('ref_axis',(0.,0.,-1.)); #35239=DIRECTION('center_axis',(1.,0.,0.)); #35240=DIRECTION('ref_axis',(0.,0.,-1.)); #35241=DIRECTION('center_axis',(-1.,0.,0.)); #35242=DIRECTION('center_axis',(-1.,0.,0.)); #35243=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35244=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35245=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35246=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35247=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35248=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35249=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35250=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35251=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35252=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35253=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35254=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35255=DIRECTION('center_axis',(0.,1.,0.)); #35256=DIRECTION('ref_axis',(1.,0.,0.)); #35257=DIRECTION('center_axis',(0.,1.,0.)); #35258=DIRECTION('ref_axis',(1.,0.,0.)); #35259=DIRECTION('center_axis',(0.,-1.,0.)); #35260=DIRECTION('center_axis',(0.,-1.,0.)); #35261=DIRECTION('center_axis',(1.,0.,0.)); #35262=DIRECTION('ref_axis',(0.,0.,-1.)); #35263=DIRECTION('center_axis',(1.,0.,0.)); #35264=DIRECTION('ref_axis',(0.,0.,-1.)); #35265=DIRECTION('center_axis',(-1.,0.,0.)); #35266=DIRECTION('center_axis',(-1.,0.,0.)); #35267=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35268=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35269=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35270=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35271=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35272=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35273=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35274=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35275=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35276=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35277=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35278=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35279=DIRECTION('center_axis',(0.,1.,0.)); #35280=DIRECTION('ref_axis',(1.,0.,0.)); #35281=DIRECTION('center_axis',(0.,1.,0.)); #35282=DIRECTION('ref_axis',(1.,0.,0.)); #35283=DIRECTION('center_axis',(0.,-1.,0.)); #35284=DIRECTION('center_axis',(0.,-1.,0.)); #35285=DIRECTION('center_axis',(1.,0.,0.)); #35286=DIRECTION('ref_axis',(0.,0.,-1.)); #35287=DIRECTION('center_axis',(1.,0.,0.)); #35288=DIRECTION('ref_axis',(0.,0.,-1.)); #35289=DIRECTION('center_axis',(-1.,0.,0.)); #35290=DIRECTION('center_axis',(-1.,0.,0.)); #35291=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35292=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35293=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35294=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35295=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35296=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35297=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35298=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35299=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35300=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35301=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35302=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35303=DIRECTION('center_axis',(0.,1.,0.)); #35304=DIRECTION('ref_axis',(1.,0.,0.)); #35305=DIRECTION('center_axis',(0.,1.,0.)); #35306=DIRECTION('ref_axis',(1.,0.,0.)); #35307=DIRECTION('center_axis',(0.,-1.,0.)); #35308=DIRECTION('center_axis',(0.,-1.,0.)); #35309=DIRECTION('center_axis',(1.,0.,0.)); #35310=DIRECTION('ref_axis',(0.,0.,-1.)); #35311=DIRECTION('center_axis',(1.,0.,0.)); #35312=DIRECTION('ref_axis',(0.,0.,-1.)); #35313=DIRECTION('center_axis',(-1.,0.,0.)); #35314=DIRECTION('center_axis',(-1.,0.,0.)); #35315=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35316=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35317=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35318=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35319=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35320=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35321=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35322=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35323=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35324=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35325=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35326=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35327=DIRECTION('center_axis',(0.,1.,0.)); #35328=DIRECTION('ref_axis',(1.,0.,0.)); #35329=DIRECTION('center_axis',(0.,1.,0.)); #35330=DIRECTION('ref_axis',(1.,0.,0.)); #35331=DIRECTION('center_axis',(0.,-1.,0.)); #35332=DIRECTION('center_axis',(0.,-1.,0.)); #35333=DIRECTION('center_axis',(1.,0.,0.)); #35334=DIRECTION('ref_axis',(0.,0.,-1.)); #35335=DIRECTION('center_axis',(1.,0.,0.)); #35336=DIRECTION('ref_axis',(0.,0.,-1.)); #35337=DIRECTION('center_axis',(-1.,0.,0.)); #35338=DIRECTION('center_axis',(-1.,0.,0.)); #35339=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35340=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35341=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35342=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35343=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35344=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35345=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35346=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35347=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35348=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35349=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35350=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35351=DIRECTION('center_axis',(0.,1.,0.)); #35352=DIRECTION('ref_axis',(1.,0.,0.)); #35353=DIRECTION('center_axis',(0.,1.,0.)); #35354=DIRECTION('ref_axis',(1.,0.,0.)); #35355=DIRECTION('center_axis',(0.,-1.,0.)); #35356=DIRECTION('center_axis',(0.,-1.,0.)); #35357=DIRECTION('center_axis',(1.,0.,0.)); #35358=DIRECTION('ref_axis',(0.,0.,-1.)); #35359=DIRECTION('center_axis',(1.,0.,0.)); #35360=DIRECTION('ref_axis',(0.,0.,-1.)); #35361=DIRECTION('center_axis',(-1.,0.,0.)); #35362=DIRECTION('center_axis',(-1.,0.,0.)); #35363=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35364=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35365=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35366=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35367=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35368=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35369=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35370=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35371=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35372=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35373=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35374=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35375=DIRECTION('center_axis',(0.,1.,0.)); #35376=DIRECTION('ref_axis',(1.,0.,0.)); #35377=DIRECTION('center_axis',(0.,1.,0.)); #35378=DIRECTION('ref_axis',(1.,0.,0.)); #35379=DIRECTION('center_axis',(0.,-1.,0.)); #35380=DIRECTION('center_axis',(0.,-1.,0.)); #35381=DIRECTION('center_axis',(1.,0.,0.)); #35382=DIRECTION('ref_axis',(0.,0.,-1.)); #35383=DIRECTION('center_axis',(1.,0.,0.)); #35384=DIRECTION('ref_axis',(0.,0.,-1.)); #35385=DIRECTION('center_axis',(-1.,0.,0.)); #35386=DIRECTION('center_axis',(-1.,0.,0.)); #35387=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35388=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35389=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35390=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35391=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35392=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35393=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35394=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35395=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35396=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35397=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35398=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35399=DIRECTION('center_axis',(0.,1.,0.)); #35400=DIRECTION('ref_axis',(1.,0.,0.)); #35401=DIRECTION('center_axis',(0.,1.,0.)); #35402=DIRECTION('ref_axis',(1.,0.,0.)); #35403=DIRECTION('center_axis',(0.,-1.,0.)); #35404=DIRECTION('center_axis',(0.,-1.,0.)); #35405=DIRECTION('center_axis',(1.,0.,0.)); #35406=DIRECTION('ref_axis',(0.,0.,-1.)); #35407=DIRECTION('center_axis',(1.,0.,0.)); #35408=DIRECTION('ref_axis',(0.,0.,-1.)); #35409=DIRECTION('center_axis',(-1.,0.,0.)); #35410=DIRECTION('center_axis',(-1.,0.,0.)); #35411=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35412=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35413=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35414=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35415=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35416=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35417=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35418=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35419=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35420=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35421=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35422=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35423=DIRECTION('center_axis',(0.,1.,0.)); #35424=DIRECTION('ref_axis',(1.,0.,0.)); #35425=DIRECTION('center_axis',(0.,1.,0.)); #35426=DIRECTION('ref_axis',(1.,0.,0.)); #35427=DIRECTION('center_axis',(0.,-1.,0.)); #35428=DIRECTION('center_axis',(0.,-1.,0.)); #35429=DIRECTION('center_axis',(1.,0.,0.)); #35430=DIRECTION('ref_axis',(0.,0.,-1.)); #35431=DIRECTION('center_axis',(1.,0.,0.)); #35432=DIRECTION('ref_axis',(0.,0.,-1.)); #35433=DIRECTION('center_axis',(-1.,0.,0.)); #35434=DIRECTION('center_axis',(-1.,0.,0.)); #35435=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35436=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35437=DIRECTION('center_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #35438=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #35439=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35440=DIRECTION('center_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #35441=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35442=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35443=DIRECTION('center_axis',(-4.44089209850062E-16,0.,1.)); #35444=DIRECTION('ref_axis',(1.,0.,4.44089209850062E-16)); #35445=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35446=DIRECTION('center_axis',(4.44089209850062E-16,0.,-1.)); #35447=DIRECTION('center_axis',(0.,1.,0.)); #35448=DIRECTION('ref_axis',(1.,0.,0.)); #35449=DIRECTION('center_axis',(0.,1.,0.)); #35450=DIRECTION('ref_axis',(1.,0.,0.)); #35451=DIRECTION('center_axis',(0.,-1.,0.)); #35452=DIRECTION('center_axis',(0.,-1.,0.)); #35453=DIRECTION('center_axis',(1.,0.,0.)); #35454=DIRECTION('ref_axis',(0.,0.,-1.)); #35455=DIRECTION('center_axis',(1.,0.,0.)); #35456=DIRECTION('ref_axis',(0.,0.,-1.)); #35457=DIRECTION('center_axis',(-1.,0.,0.)); #35458=DIRECTION('center_axis',(-1.,0.,0.)); #35459=DIRECTION('center_axis',(0.,0.,1.)); #35460=DIRECTION('ref_axis',(1.,0.,0.)); #35461=DIRECTION('center_axis',(0.,0.,1.)); #35462=DIRECTION('ref_axis',(1.,0.,0.)); #35463=DIRECTION('center_axis',(0.,0.,1.)); #35464=DIRECTION('ref_axis',(1.,0.,0.)); #35465=DIRECTION('center_axis',(0.,0.,1.)); #35466=DIRECTION('ref_axis',(1.,0.,0.)); #35467=DIRECTION('center_axis',(0.,0.,1.)); #35468=DIRECTION('ref_axis',(1.,0.,0.)); #35469=DIRECTION('center_axis',(0.,0.,1.)); #35470=DIRECTION('ref_axis',(1.,0.,0.)); #35471=DIRECTION('center_axis',(0.,0.,1.)); #35472=DIRECTION('ref_axis',(1.,0.,0.)); #35473=DIRECTION('center_axis',(0.,0.,1.)); #35474=DIRECTION('ref_axis',(1.,0.,0.)); #35475=DIRECTION('center_axis',(0.,0.,1.)); #35476=DIRECTION('ref_axis',(1.,0.,0.)); #35477=DIRECTION('center_axis',(0.,0.,1.)); #35478=DIRECTION('ref_axis',(1.,0.,0.)); #35479=DIRECTION('center_axis',(0.,0.,1.)); #35480=DIRECTION('ref_axis',(1.,0.,0.)); #35481=DIRECTION('center_axis',(0.,0.,1.)); #35482=DIRECTION('ref_axis',(1.,0.,0.)); #35483=DIRECTION('center_axis',(0.,0.,1.)); #35484=DIRECTION('ref_axis',(1.,0.,0.)); #35485=DIRECTION('center_axis',(0.,0.,1.)); #35486=DIRECTION('ref_axis',(1.,0.,0.)); #35487=DIRECTION('center_axis',(0.,0.,1.)); #35488=DIRECTION('ref_axis',(1.,0.,0.)); #35489=DIRECTION('center_axis',(0.,0.,1.)); #35490=DIRECTION('ref_axis',(1.,0.,0.)); #35491=DIRECTION('center_axis',(0.,0.,1.)); #35492=DIRECTION('ref_axis',(1.,0.,0.)); #35493=DIRECTION('center_axis',(0.,0.,1.)); #35494=DIRECTION('ref_axis',(1.,0.,0.)); #35495=DIRECTION('center_axis',(0.,0.,1.)); #35496=DIRECTION('ref_axis',(1.,0.,0.)); #35497=DIRECTION('center_axis',(0.,0.,1.)); #35498=DIRECTION('ref_axis',(1.,0.,0.)); #35499=DIRECTION('center_axis',(0.,0.,1.)); #35500=DIRECTION('ref_axis',(1.,0.,0.)); #35501=DIRECTION('center_axis',(0.,0.,1.)); #35502=DIRECTION('ref_axis',(1.,0.,0.)); #35503=DIRECTION('center_axis',(0.,0.,1.)); #35504=DIRECTION('ref_axis',(1.,0.,0.)); #35505=DIRECTION('center_axis',(0.,0.,1.)); #35506=DIRECTION('ref_axis',(1.,0.,0.)); #35507=DIRECTION('center_axis',(0.,0.,1.)); #35508=DIRECTION('ref_axis',(1.,0.,0.)); #35509=DIRECTION('center_axis',(0.,0.,1.)); #35510=DIRECTION('ref_axis',(1.,0.,0.)); #35511=DIRECTION('center_axis',(0.,0.,1.)); #35512=DIRECTION('ref_axis',(1.,0.,0.)); #35513=DIRECTION('center_axis',(0.,0.,1.)); #35514=DIRECTION('ref_axis',(1.,0.,0.)); #35515=DIRECTION('center_axis',(0.,0.,1.)); #35516=DIRECTION('ref_axis',(1.,0.,0.)); #35517=DIRECTION('center_axis',(0.,0.,1.)); #35518=DIRECTION('ref_axis',(1.,0.,0.)); #35519=DIRECTION('center_axis',(0.,0.,1.)); #35520=DIRECTION('ref_axis',(1.,0.,0.)); #35521=DIRECTION('center_axis',(0.,0.,1.)); #35522=DIRECTION('ref_axis',(1.,0.,0.)); #35523=DIRECTION('center_axis',(0.,0.,1.)); #35524=DIRECTION('ref_axis',(1.,0.,0.)); #35525=DIRECTION('center_axis',(0.,0.,1.)); #35526=DIRECTION('ref_axis',(1.,0.,0.)); #35527=DIRECTION('center_axis',(0.,0.,1.)); #35528=DIRECTION('ref_axis',(1.,0.,0.)); #35529=DIRECTION('center_axis',(0.,0.,1.)); #35530=DIRECTION('ref_axis',(1.,0.,0.)); #35531=DIRECTION('center_axis',(0.,0.,1.)); #35532=DIRECTION('ref_axis',(1.,0.,0.)); #35533=DIRECTION('center_axis',(0.,0.,1.)); #35534=DIRECTION('ref_axis',(1.,0.,0.)); #35535=DIRECTION('center_axis',(0.,0.,1.)); #35536=DIRECTION('ref_axis',(1.,0.,0.)); #35537=DIRECTION('center_axis',(0.,0.,1.)); #35538=DIRECTION('ref_axis',(1.,0.,0.)); #35539=DIRECTION('center_axis',(0.,0.,1.)); #35540=DIRECTION('ref_axis',(1.,0.,0.)); #35541=DIRECTION('center_axis',(0.,0.,1.)); #35542=DIRECTION('ref_axis',(1.,0.,0.)); #35543=DIRECTION('center_axis',(0.,0.,1.)); #35544=DIRECTION('ref_axis',(1.,0.,0.)); #35545=DIRECTION('center_axis',(0.,0.,1.)); #35546=DIRECTION('ref_axis',(1.,0.,0.)); #35547=DIRECTION('center_axis',(0.,0.,1.)); #35548=DIRECTION('ref_axis',(1.,0.,0.)); #35549=DIRECTION('center_axis',(0.,0.,1.)); #35550=DIRECTION('ref_axis',(1.,0.,0.)); #35551=DIRECTION('center_axis',(0.,0.,1.)); #35552=DIRECTION('ref_axis',(1.,0.,0.)); #35553=DIRECTION('center_axis',(0.,0.,1.)); #35554=DIRECTION('ref_axis',(1.,0.,0.)); #35555=DIRECTION('center_axis',(0.,0.,1.)); #35556=DIRECTION('ref_axis',(1.,0.,0.)); #35557=DIRECTION('center_axis',(0.,0.,1.)); #35558=DIRECTION('ref_axis',(1.,0.,0.)); #35559=DIRECTION('center_axis',(0.,0.,1.)); #35560=DIRECTION('ref_axis',(1.,0.,0.)); #35561=DIRECTION('center_axis',(0.,0.,1.)); #35562=DIRECTION('ref_axis',(1.,0.,0.)); #35563=DIRECTION('center_axis',(0.,0.,1.)); #35564=DIRECTION('ref_axis',(1.,0.,0.)); #35565=DIRECTION('center_axis',(0.,0.,1.)); #35566=DIRECTION('ref_axis',(1.,0.,0.)); #35567=DIRECTION('center_axis',(0.,0.,1.)); #35568=DIRECTION('ref_axis',(1.,0.,0.)); #35569=DIRECTION('center_axis',(0.,0.,1.)); #35570=DIRECTION('ref_axis',(1.,0.,0.)); #35571=DIRECTION('center_axis',(0.,0.,1.)); #35572=DIRECTION('ref_axis',(1.,0.,0.)); #35573=DIRECTION('center_axis',(0.,0.,1.)); #35574=DIRECTION('ref_axis',(1.,0.,0.)); #35575=DIRECTION('center_axis',(0.,0.,1.)); #35576=DIRECTION('ref_axis',(1.,0.,0.)); #35577=DIRECTION('center_axis',(0.,0.,1.)); #35578=DIRECTION('ref_axis',(1.,0.,0.)); #35579=DIRECTION('center_axis',(0.,0.,1.)); #35580=DIRECTION('ref_axis',(1.,0.,0.)); #35581=DIRECTION('center_axis',(0.,0.,1.)); #35582=DIRECTION('ref_axis',(1.,0.,0.)); #35583=DIRECTION('center_axis',(0.,0.,1.)); #35584=DIRECTION('ref_axis',(1.,0.,0.)); #35585=DIRECTION('center_axis',(0.,0.,1.)); #35586=DIRECTION('ref_axis',(1.,0.,0.)); #35587=DIRECTION('center_axis',(0.,0.,1.)); #35588=DIRECTION('ref_axis',(1.,0.,0.)); #35589=DIRECTION('center_axis',(0.,0.,1.)); #35590=DIRECTION('ref_axis',(1.,0.,0.)); #35591=DIRECTION('center_axis',(0.,0.,1.)); #35592=DIRECTION('ref_axis',(1.,0.,0.)); #35593=DIRECTION('center_axis',(0.,0.,1.)); #35594=DIRECTION('ref_axis',(1.,0.,0.)); #35595=DIRECTION('center_axis',(0.,0.,1.)); #35596=DIRECTION('ref_axis',(1.,0.,0.)); #35597=DIRECTION('center_axis',(0.,0.,1.)); #35598=DIRECTION('ref_axis',(1.,0.,0.)); #35599=DIRECTION('center_axis',(0.,0.,1.)); #35600=DIRECTION('ref_axis',(1.,0.,0.)); #35601=DIRECTION('center_axis',(0.,0.,1.)); #35602=DIRECTION('ref_axis',(1.,0.,0.)); #35603=DIRECTION('center_axis',(0.,0.,1.)); #35604=DIRECTION('ref_axis',(1.,0.,0.)); #35605=DIRECTION('center_axis',(0.,0.,1.)); #35606=DIRECTION('ref_axis',(1.,0.,0.)); #35607=DIRECTION('center_axis',(0.,0.,1.)); #35608=DIRECTION('ref_axis',(1.,0.,0.)); #35609=DIRECTION('center_axis',(0.,0.,1.)); #35610=DIRECTION('ref_axis',(1.,0.,0.)); #35611=DIRECTION('center_axis',(0.,0.,1.)); #35612=DIRECTION('ref_axis',(1.,0.,0.)); #35613=DIRECTION('center_axis',(0.,0.,1.)); #35614=DIRECTION('ref_axis',(1.,0.,0.)); #35615=DIRECTION('center_axis',(0.,0.,1.)); #35616=DIRECTION('ref_axis',(1.,0.,0.)); #35617=DIRECTION('center_axis',(0.,0.,1.)); #35618=DIRECTION('ref_axis',(1.,0.,0.)); #35619=DIRECTION('center_axis',(0.,0.,1.)); #35620=DIRECTION('ref_axis',(1.,0.,0.)); #35621=DIRECTION('center_axis',(0.,0.,1.)); #35622=DIRECTION('ref_axis',(1.,0.,0.)); #35623=DIRECTION('center_axis',(0.,0.,1.)); #35624=DIRECTION('ref_axis',(1.,0.,0.)); #35625=DIRECTION('center_axis',(0.,0.,1.)); #35626=DIRECTION('ref_axis',(1.,0.,0.)); #35627=DIRECTION('center_axis',(0.,0.,1.)); #35628=DIRECTION('ref_axis',(1.,0.,0.)); #35629=DIRECTION('center_axis',(0.,0.,1.)); #35630=DIRECTION('ref_axis',(1.,0.,0.)); #35631=DIRECTION('center_axis',(0.,0.,1.)); #35632=DIRECTION('ref_axis',(1.,0.,0.)); #35633=CARTESIAN_POINT('',(0.,0.,0.)); #35634=CARTESIAN_POINT('',(0.,0.,0.)); #35635=CARTESIAN_POINT('Origin',(18.0011520999241,38.6779175721909,-70.6819215938077)); #35636=CARTESIAN_POINT('',(17.8351520999241,38.6779175721909,-0.999999999999997)); #35637=CARTESIAN_POINT('Origin',(18.0011520999241,38.6779175721909,-0.999999999999997)); #35638=CARTESIAN_POINT('',(18.1671520999241,38.6779175721909,0.)); #35639=CARTESIAN_POINT('Origin',(18.0011520999241,38.6779175721909,0.)); #35640=CARTESIAN_POINT('Origin',(18.1056805367405,22.3953580942754,-70.6819215938077)); #35641=CARTESIAN_POINT('',(17.9396805367405,22.3953580942754,-0.999999999999997)); #35642=CARTESIAN_POINT('Origin',(18.1056805367405,22.3953580942754,-0.999999999999997)); #35643=CARTESIAN_POINT('',(18.2716805367405,22.3953580942754,0.)); #35644=CARTESIAN_POINT('Origin',(18.1056805367405,22.3953580942754,0.)); #35645=CARTESIAN_POINT('Origin',(18.0011520737677,23.3898799896746,-70.6819215938077)); #35646=CARTESIAN_POINT('',(17.8351520737677,23.3898799896746,-0.999999999999997)); #35647=CARTESIAN_POINT('Origin',(18.0011520737677,23.3898799896746,-0.999999999999997)); #35648=CARTESIAN_POINT('',(18.1671520737677,23.3898799896746,0.)); #35649=CARTESIAN_POINT('Origin',(18.0011520737677,23.3898799896746,0.)); #35650=CARTESIAN_POINT('Origin',(18.1056805634781,39.672439467529,-70.6819215938077)); #35651=CARTESIAN_POINT('',(17.9396805634781,39.672439467529,-0.999999999999997)); #35652=CARTESIAN_POINT('Origin',(18.1056805634781,39.672439467529,-0.999999999999997)); #35653=CARTESIAN_POINT('',(18.2716805634781,39.672439467529,0.)); #35654=CARTESIAN_POINT('Origin',(18.1056805634781,39.672439467529,0.)); #35655=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.3)); #35656=CARTESIAN_POINT('',(64.2330323641191,40.5953557510901,-0.3)); #35657=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.3)); #35658=CARTESIAN_POINT('',(63.8810323641191,40.5953557510901,-0.3)); #35659=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.3)); #35660=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.15)); #35661=CARTESIAN_POINT('',(64.2330323641191,40.5953557510901,0.)); #35662=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,0.)); #35663=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-70.6819215938077)); #35664=CARTESIAN_POINT('',(63.8810323641191,40.5953557510901,-0.999999999999997)); #35665=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.999999999999997)); #35666=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.3)); #35667=CARTESIAN_POINT('',(65.5060386949023,39.0155609832701,-0.3)); #35668=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.3)); #35669=CARTESIAN_POINT('',(65.1540386949023,39.0155609832701,-0.3)); #35670=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.3)); #35671=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.15)); #35672=CARTESIAN_POINT('',(65.5060386949023,39.0155609832701,0.)); #35673=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,0.)); #35674=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-70.6819215938077)); #35675=CARTESIAN_POINT('',(65.1540386949023,39.0155609832701,-0.999999999999997)); #35676=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.999999999999997)); #35677=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-70.6819215938077)); #35678=CARTESIAN_POINT('',(64.206975230487,33.2829578235971,-0.999999999999997)); #35679=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.999999999999997)); #35680=CARTESIAN_POINT('',(64.206975230487,33.2829578235971,-0.3)); #35681=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.3)); #35682=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.15)); #35683=CARTESIAN_POINT('',(64.558975230487,33.2829578235971,0.)); #35684=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,0.)); #35685=CARTESIAN_POINT('',(64.558975230487,33.2829578235971,-0.3)); #35686=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.3)); #35687=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.3)); #35688=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.3)); #35689=CARTESIAN_POINT('',(61.2094267976933,41.7566190668677,-0.3)); #35690=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.3)); #35691=CARTESIAN_POINT('',(60.8574267976933,41.7566190668677,-0.3)); #35692=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.3)); #35693=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.15)); #35694=CARTESIAN_POINT('',(61.2094267976933,41.7566190668677,0.)); #35695=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,0.)); #35696=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-70.6819215938077)); #35697=CARTESIAN_POINT('',(60.8574267976933,41.7566190668677,-0.999999999999997)); #35698=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.999999999999997)); #35699=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-70.6819215938077)); #35700=CARTESIAN_POINT('',(55.1489518991037,43.6114149968706,-0.999999999999997)); #35701=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.999999999999997)); #35702=CARTESIAN_POINT('',(55.1489518991037,43.6114149968706,-0.3)); #35703=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.3)); #35704=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.15)); #35705=CARTESIAN_POINT('',(55.5009518991037,43.6114149968706,0.)); #35706=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,0.)); #35707=CARTESIAN_POINT('',(55.5009518991037,43.6114149968706,-0.3)); #35708=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.3)); #35709=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.3)); #35710=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.3)); #35711=CARTESIAN_POINT('',(49.7924770005141,45.4662109268736,-0.3)); #35712=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.3)); #35713=CARTESIAN_POINT('',(49.4404770005141,45.4662109268736,-0.3)); #35714=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.3)); #35715=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.15)); #35716=CARTESIAN_POINT('',(49.7924770005141,45.4662109268736,0.)); #35717=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,0.)); #35718=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-70.6819215938077)); #35719=CARTESIAN_POINT('',(49.4404770005141,45.4662109268736,-0.999999999999997)); #35720=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.999999999999997)); #35721=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-70.6819215938077)); #35722=CARTESIAN_POINT('',(43.7320021019245,47.3210068568765,-0.999999999999997)); #35723=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.999999999999997)); #35724=CARTESIAN_POINT('',(43.7320021019245,47.3210068568765,-0.3)); #35725=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.3)); #35726=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.15)); #35727=CARTESIAN_POINT('',(44.0840021019245,47.3210068568765,0.)); #35728=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,0.)); #35729=CARTESIAN_POINT('',(44.0840021019245,47.3210068568765,-0.3)); #35730=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.3)); #35731=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.3)); #35732=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.3)); #35733=CARTESIAN_POINT('',(38.3755272033349,49.1758027868795,-0.3)); #35734=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.3)); #35735=CARTESIAN_POINT('',(38.0235272033349,49.1758027868795,-0.3)); #35736=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.3)); #35737=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.15)); #35738=CARTESIAN_POINT('',(38.3755272033349,49.1758027868795,0.)); #35739=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,0.)); #35740=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-70.6819215938077)); #35741=CARTESIAN_POINT('',(38.0235272033349,49.1758027868795,-0.999999999999997)); #35742=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.999999999999997)); #35743=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-70.6819215938077)); #35744=CARTESIAN_POINT('',(32.3144490193928,51.0286437574758,-0.999999999999997)); #35745=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.999999999999997)); #35746=CARTESIAN_POINT('',(32.3144490193928,51.0286437574758,-0.3)); #35747=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.3)); #35748=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.15)); #35749=CARTESIAN_POINT('',(32.6664490193928,51.0286437574758,0.)); #35750=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,0.)); #35751=CARTESIAN_POINT('',(32.6664490193928,51.0286437574758,-0.3)); #35752=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.3)); #35753=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.3)); #35754=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.3)); #35755=CARTESIAN_POINT('',(35.5212897540401,50.1032007518809,-0.3)); #35756=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.3)); #35757=CARTESIAN_POINT('',(35.1692897540401,50.1032007518809,-0.3)); #35758=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.3)); #35759=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.15)); #35760=CARTESIAN_POINT('',(35.5212897540401,50.1032007518809,0.)); #35761=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,0.)); #35762=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-70.6819215938077)); #35763=CARTESIAN_POINT('',(35.1692897540401,50.1032007518809,-0.999999999999997)); #35764=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.999999999999997)); #35765=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-70.6819215938077)); #35766=CARTESIAN_POINT('',(40.8777646526297,48.248404821878,-0.999999999999997)); #35767=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.999999999999997)); #35768=CARTESIAN_POINT('',(40.8777646526297,48.248404821878,-0.3)); #35769=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.3)); #35770=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.15)); #35771=CARTESIAN_POINT('',(41.2297646526297,48.248404821878,0.)); #35772=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,0.)); #35773=CARTESIAN_POINT('',(41.2297646526297,48.248404821878,-0.3)); #35774=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.3)); #35775=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.3)); #35776=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.3)); #35777=CARTESIAN_POINT('',(46.9382395512193,46.3936088918751,-0.3)); #35778=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.3)); #35779=CARTESIAN_POINT('',(46.5862395512193,46.3936088918751,-0.3)); #35780=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.3)); #35781=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.15)); #35782=CARTESIAN_POINT('',(46.9382395512193,46.3936088918751,0.)); #35783=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,0.)); #35784=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-70.6819215938077)); #35785=CARTESIAN_POINT('',(46.5862395512193,46.3936088918751,-0.999999999999997)); #35786=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.999999999999997)); #35787=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-70.6819215938077)); #35788=CARTESIAN_POINT('',(52.2947144498089,44.5388129618721,-0.999999999999997)); #35789=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.999999999999997)); #35790=CARTESIAN_POINT('',(52.2947144498089,44.5388129618721,-0.3)); #35791=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.3)); #35792=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.15)); #35793=CARTESIAN_POINT('',(52.6467144498089,44.5388129618721,0.)); #35794=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,0.)); #35795=CARTESIAN_POINT('',(52.6467144498089,44.5388129618721,-0.3)); #35796=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.3)); #35797=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.3)); #35798=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.3)); #35799=CARTESIAN_POINT('',(58.3551893483985,42.6840170318692,-0.3)); #35800=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.3)); #35801=CARTESIAN_POINT('',(58.0031893483985,42.6840170318692,-0.3)); #35802=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.3)); #35803=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.15)); #35804=CARTESIAN_POINT('',(58.3551893483985,42.6840170318692,0.)); #35805=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,0.)); #35806=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-70.6819215938077)); #35807=CARTESIAN_POINT('',(58.0031893483985,42.6840170318692,-0.999999999999997)); #35808=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.999999999999997)); #35809=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-70.6819215938077)); #35810=CARTESIAN_POINT('',(64.5640812022108,36.2615239632805,-0.999999999999997)); #35811=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.999999999999997)); #35812=CARTESIAN_POINT('',(64.5640812022108,36.2615239632805,-0.3)); #35813=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.3)); #35814=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.15)); #35815=CARTESIAN_POINT('',(64.9160812022108,36.2615239632805,0.)); #35816=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,0.)); #35817=CARTESIAN_POINT('',(64.9160812022108,36.2615239632805,-0.3)); #35818=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.3)); #35819=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.3)); #35820=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.3)); #35821=CARTESIAN_POINT('',(64.2330323641191,21.4724415093914,-0.3)); #35822=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.3)); #35823=CARTESIAN_POINT('',(63.8810323641191,21.4724415093914,-0.3)); #35824=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.3)); #35825=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.15)); #35826=CARTESIAN_POINT('',(64.2330323641191,21.4724415093914,0.)); #35827=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,0.)); #35828=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-70.6819215938077)); #35829=CARTESIAN_POINT('',(63.8810323641191,21.4724415093914,-0.999999999999997)); #35830=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.999999999999997)); #35831=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.3)); #35832=CARTESIAN_POINT('',(64.9160812022108,25.806273297201,-0.3)); #35833=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.3)); #35834=CARTESIAN_POINT('',(64.5640812022108,25.806273297201,-0.3)); #35835=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.3)); #35836=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.15)); #35837=CARTESIAN_POINT('',(64.9160812022108,25.806273297201,0.)); #35838=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,0.)); #35839=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-70.6819215938077)); #35840=CARTESIAN_POINT('',(64.5640812022108,25.806273297201,-0.999999999999997)); #35841=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.999999999999997)); #35842=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.3)); #35843=CARTESIAN_POINT('',(58.3551893483985,19.3837802286123,-0.3)); #35844=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.3)); #35845=CARTESIAN_POINT('',(58.0031893483985,19.3837802286123,-0.3)); #35846=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.3)); #35847=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.15)); #35848=CARTESIAN_POINT('',(58.3551893483985,19.3837802286123,0.)); #35849=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,0.)); #35850=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-70.6819215938077)); #35851=CARTESIAN_POINT('',(58.0031893483985,19.3837802286123,-0.999999999999997)); #35852=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.999999999999997)); #35853=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.3)); #35854=CARTESIAN_POINT('',(52.6467144498089,17.5289842986094,-0.3)); #35855=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.3)); #35856=CARTESIAN_POINT('',(52.2947144498089,17.5289842986094,-0.3)); #35857=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.3)); #35858=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.15)); #35859=CARTESIAN_POINT('',(52.6467144498089,17.5289842986094,0.)); #35860=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,0.)); #35861=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-70.6819215938077)); #35862=CARTESIAN_POINT('',(52.2947144498089,17.5289842986094,-0.999999999999997)); #35863=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.999999999999997)); #35864=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.3)); #35865=CARTESIAN_POINT('',(46.9382395512193,15.6741883686065,-0.3)); #35866=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.3)); #35867=CARTESIAN_POINT('',(46.5862395512193,15.6741883686065,-0.3)); #35868=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.3)); #35869=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.15)); #35870=CARTESIAN_POINT('',(46.9382395512193,15.6741883686065,0.)); #35871=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,0.)); #35872=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-70.6819215938077)); #35873=CARTESIAN_POINT('',(46.5862395512193,15.6741883686065,-0.999999999999997)); #35874=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.999999999999997)); #35875=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.3)); #35876=CARTESIAN_POINT('',(41.2297646526297,13.8193924386035,-0.3)); #35877=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.3)); #35878=CARTESIAN_POINT('',(40.8777646526297,13.8193924386035,-0.3)); #35879=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.3)); #35880=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.15)); #35881=CARTESIAN_POINT('',(41.2297646526297,13.8193924386035,0.)); #35882=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,0.)); #35883=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-70.6819215938077)); #35884=CARTESIAN_POINT('',(40.8777646526297,13.8193924386035,-0.999999999999997)); #35885=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.999999999999997)); #35886=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.3)); #35887=CARTESIAN_POINT('',(35.5212897540401,11.9645965086006,-0.3)); #35888=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.3)); #35889=CARTESIAN_POINT('',(35.1692897540401,11.9645965086006,-0.3)); #35890=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.3)); #35891=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.15)); #35892=CARTESIAN_POINT('',(35.5212897540401,11.9645965086006,0.)); #35893=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,0.)); #35894=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-70.6819215938077)); #35895=CARTESIAN_POINT('',(35.1692897540401,11.9645965086006,-0.999999999999997)); #35896=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.999999999999997)); #35897=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.3)); #35898=CARTESIAN_POINT('',(32.6664490193928,11.0391535030057,-0.3)); #35899=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.3)); #35900=CARTESIAN_POINT('',(32.3144490193928,11.0391535030057,-0.3)); #35901=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.3)); #35902=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.15)); #35903=CARTESIAN_POINT('',(32.6664490193928,11.0391535030057,0.)); #35904=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,0.)); #35905=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-70.6819215938077)); #35906=CARTESIAN_POINT('',(32.3144490193928,11.0391535030057,-0.999999999999997)); #35907=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.999999999999997)); #35908=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.3)); #35909=CARTESIAN_POINT('',(38.3755272033349,12.8919944736021,-0.3)); #35910=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.3)); #35911=CARTESIAN_POINT('',(38.0235272033349,12.8919944736021,-0.3)); #35912=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.3)); #35913=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.15)); #35914=CARTESIAN_POINT('',(38.3755272033349,12.8919944736021,0.)); #35915=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,0.)); #35916=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-70.6819215938077)); #35917=CARTESIAN_POINT('',(38.0235272033349,12.8919944736021,-0.999999999999997)); #35918=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.999999999999997)); #35919=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.3)); #35920=CARTESIAN_POINT('',(44.0840021019245,14.746790403605,-0.3)); #35921=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.3)); #35922=CARTESIAN_POINT('',(43.7320021019245,14.746790403605,-0.3)); #35923=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.3)); #35924=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.15)); #35925=CARTESIAN_POINT('',(44.0840021019245,14.746790403605,0.)); #35926=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,0.)); #35927=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-70.6819215938077)); #35928=CARTESIAN_POINT('',(43.7320021019245,14.746790403605,-0.999999999999997)); #35929=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.999999999999997)); #35930=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.3)); #35931=CARTESIAN_POINT('',(49.7924770005141,16.6015863336079,-0.3)); #35932=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.3)); #35933=CARTESIAN_POINT('',(49.4404770005141,16.6015863336079,-0.3)); #35934=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.3)); #35935=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.15)); #35936=CARTESIAN_POINT('',(49.7924770005141,16.6015863336079,0.)); #35937=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,0.)); #35938=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-70.6819215938077)); #35939=CARTESIAN_POINT('',(49.4404770005141,16.6015863336079,-0.999999999999997)); #35940=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.999999999999997)); #35941=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.3)); #35942=CARTESIAN_POINT('',(55.5009518991037,18.4563822636109,-0.3)); #35943=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.3)); #35944=CARTESIAN_POINT('',(55.1489518991037,18.4563822636109,-0.3)); #35945=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.3)); #35946=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.15)); #35947=CARTESIAN_POINT('',(55.5009518991037,18.4563822636109,0.)); #35948=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,0.)); #35949=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-70.6819215938077)); #35950=CARTESIAN_POINT('',(55.1489518991037,18.4563822636109,-0.999999999999997)); #35951=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.999999999999997)); #35952=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.3)); #35953=CARTESIAN_POINT('',(61.2094267976933,20.3111781936138,-0.3)); #35954=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.3)); #35955=CARTESIAN_POINT('',(60.8574267976933,20.3111781936138,-0.3)); #35956=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.3)); #35957=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.15)); #35958=CARTESIAN_POINT('',(61.2094267976933,20.3111781936138,0.)); #35959=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,0.)); #35960=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-70.6819215938077)); #35961=CARTESIAN_POINT('',(60.8574267976933,20.3111781936138,-0.999999999999997)); #35962=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.999999999999997)); #35963=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.3)); #35964=CARTESIAN_POINT('',(64.558975230487,28.7848394368844,-0.3)); #35965=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.3)); #35966=CARTESIAN_POINT('',(64.206975230487,28.7848394368844,-0.3)); #35967=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.3)); #35968=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.15)); #35969=CARTESIAN_POINT('',(64.558975230487,28.7848394368844,0.)); #35970=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,0.)); #35971=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-70.6819215938077)); #35972=CARTESIAN_POINT('',(64.206975230487,28.7848394368844,-0.999999999999997)); #35973=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.999999999999997)); #35974=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.3)); #35975=CARTESIAN_POINT('',(65.5060386949023,23.0522362772115,-0.3)); #35976=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.3)); #35977=CARTESIAN_POINT('',(65.1540386949023,23.0522362772115,-0.3)); #35978=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.3)); #35979=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.15)); #35980=CARTESIAN_POINT('',(65.5060386949023,23.0522362772115,0.)); #35981=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,0.)); #35982=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-70.6819215938077)); #35983=CARTESIAN_POINT('',(65.1540386949023,23.0522362772115,-0.999999999999997)); #35984=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.999999999999997)); #35985=CARTESIAN_POINT('Origin',(65.4851005071646,22.3288611888075,-70.6819215938077)); #35986=CARTESIAN_POINT('',(65.3191005071646,22.3288611888075,-0.999999999999997)); #35987=CARTESIAN_POINT('Origin',(65.4851005071646,22.3288611888075,-0.999999999999997)); #35988=CARTESIAN_POINT('',(65.6511005071646,22.3288611888075,0.)); #35989=CARTESIAN_POINT('Origin',(65.4851005071646,22.3288611888075,0.)); #35990=CARTESIAN_POINT('Origin',(65.4851005071646,39.738936071674,-70.6819215938077)); #35991=CARTESIAN_POINT('',(65.3191005071646,39.738936071674,-0.999999999999997)); #35992=CARTESIAN_POINT('Origin',(65.4851005071646,39.738936071674,-0.999999999999997)); #35993=CARTESIAN_POINT('',(65.6511005071646,39.738936071674,0.)); #35994=CARTESIAN_POINT('Origin',(65.4851005071646,39.738936071674,0.)); #35995=CARTESIAN_POINT('Origin',(20.7105975434505,54.6010923034282,-0.804540039521265)); #35996=CARTESIAN_POINT('',(20.7874316896276,54.584760701498,-0.689385143794044)); #35997=CARTESIAN_POINT('Origin',(20.6859198241507,54.6063377145924,-0.773361471847356)); #35998=CARTESIAN_POINT('Origin',(20.4296514492872,54.6608092392182,-0.449584352443013)); #35999=CARTESIAN_POINT('',(20.2748949399007,54.6937037507495,-0.0418309049853574)); #36000=CARTESIAN_POINT('Origin',(20.1733830744237,54.715280763844,-0.125807233038667)); #36001=CARTESIAN_POINT('Origin',(17.5919221811841,39.9288782924211,-0.804540039521268)); #36002=CARTESIAN_POINT('',(17.6687563273612,39.9125466904909,-0.689385143794047)); #36003=CARTESIAN_POINT('Origin',(17.5672444618843,39.9341237035854,-0.773361471847359)); #36004=CARTESIAN_POINT('Origin',(17.3109760870208,39.9885952282111,-0.449584352443016)); #36005=CARTESIAN_POINT('',(17.1562195776343,40.0214897397424,-0.0418309049853605)); #36006=CARTESIAN_POINT('Origin',(17.0547077121573,40.0430667528369,-0.125807233038671)); #36007=CARTESIAN_POINT('Origin',(20.1908183164061,52.1557233015937,-0.804540039521266)); #36008=CARTESIAN_POINT('',(20.2676524625832,52.1393916996635,-0.689385143794044)); #36009=CARTESIAN_POINT('Origin',(20.1661405971063,52.1609687127579,-0.773361471847357)); #36010=CARTESIAN_POINT('Origin',(19.9098722222428,52.2154402373837,-0.449584352443014)); #36011=CARTESIAN_POINT('',(19.7551157128563,52.248334748915,-0.0418309049853579)); #36012=CARTESIAN_POINT('Origin',(19.6536038473793,52.2699117620094,-0.125807233038666)); #36013=CARTESIAN_POINT('Origin',(18.1117014082285,42.3742472942557,-0.804540039521267)); #36014=CARTESIAN_POINT('',(18.1885355544056,42.3579156923254,-0.689385143794046)); #36015=CARTESIAN_POINT('Origin',(18.0870236889287,42.3794927054199,-0.773361471847359)); #36016=CARTESIAN_POINT('Origin',(17.8307553140652,42.4339642300456,-0.449584352443016)); #36017=CARTESIAN_POINT('',(17.6759988046787,42.4668587415769,-0.04183090498536)); #36018=CARTESIAN_POINT('Origin',(17.5744869392017,42.4884357546714,-0.125807233038669)); #36019=CARTESIAN_POINT('Origin',(19.6710390893617,49.7103542997592,-0.804540039521266)); #36020=CARTESIAN_POINT('',(19.7478732355388,49.6940226978289,-0.689385143794045)); #36021=CARTESIAN_POINT('Origin',(19.6463613700619,49.7155997109234,-0.773361471847357)); #36022=CARTESIAN_POINT('Origin',(19.3900929951984,49.7700712355492,-0.449584352443014)); #36023=CARTESIAN_POINT('',(19.2353364858119,49.8029657470804,-0.0418309049853584)); #36024=CARTESIAN_POINT('Origin',(19.1338246203349,49.8245427601749,-0.125807233038668)); #36025=CARTESIAN_POINT('Origin',(18.6314806352729,44.8196162960902,-0.804540039521267)); #36026=CARTESIAN_POINT('',(18.70831478145,44.8032846941599,-0.689385143794046)); #36027=CARTESIAN_POINT('Origin',(18.6068029159731,44.8248617072544,-0.773361471847358)); #36028=CARTESIAN_POINT('Origin',(18.3505345411096,44.8793332318802,-0.449584352443015)); #36029=CARTESIAN_POINT('',(18.1957780317231,44.9122277434114,-0.0418309049853594)); #36030=CARTESIAN_POINT('Origin',(18.0942661662461,44.9338047565059,-0.125807233038669)); #36031=CARTESIAN_POINT('Origin',(17.4453039105795,38.5338986302407,-0.804540039521265)); #36032=CARTESIAN_POINT('',(17.5238545772881,38.5338986302407,-0.689385143794044)); #36033=CARTESIAN_POINT('Origin',(17.4200748763506,38.5338986302407,-0.773361471847356)); #36034=CARTESIAN_POINT('Origin',(17.1580813135476,38.5338986302407,-0.449584352443013)); #36035=CARTESIAN_POINT('',(16.9998674516821,38.5338986302407,-0.0418309049853574)); #36036=CARTESIAN_POINT('Origin',(16.8960877507446,38.5338986302407,-0.125807233038682)); #36037=CARTESIAN_POINT('Origin',(17.4453039105795,23.5338986302407,-0.804540039521268)); #36038=CARTESIAN_POINT('',(17.5238545772881,23.5338986302407,-0.689385143794047)); #36039=CARTESIAN_POINT('Origin',(17.4200748763506,23.5338986302407,-0.773361471847359)); #36040=CARTESIAN_POINT('Origin',(17.1580813135476,23.5338986302407,-0.449584352443016)); #36041=CARTESIAN_POINT('',(16.9998674516821,23.5338986302407,-0.0418309049853605)); #36042=CARTESIAN_POINT('Origin',(16.8960877507445,23.5338986302407,-0.125807233038688)); #36043=CARTESIAN_POINT('Origin',(17.4453039105795,36.0338986302407,-0.804540039521266)); #36044=CARTESIAN_POINT('',(17.5238545772881,36.0338986302407,-0.689385143794044)); #36045=CARTESIAN_POINT('Origin',(17.4200748763506,36.0338986302407,-0.773361471847357)); #36046=CARTESIAN_POINT('Origin',(17.1580813135476,36.0338986302407,-0.449584352443014)); #36047=CARTESIAN_POINT('',(16.9998674516821,36.0338986302407,-0.0418309049853579)); #36048=CARTESIAN_POINT('Origin',(16.8960877507445,36.0338986302407,-0.125807233038684)); #36049=CARTESIAN_POINT('Origin',(17.4453039105795,26.0338986302407,-0.804540039521268)); #36050=CARTESIAN_POINT('',(17.5238545772881,26.0338986302407,-0.689385143794047)); #36051=CARTESIAN_POINT('Origin',(17.4200748763506,26.0338986302407,-0.773361471847359)); #36052=CARTESIAN_POINT('Origin',(17.1580813135476,26.0338986302407,-0.449584352443016)); #36053=CARTESIAN_POINT('',(16.9998674516821,26.0338986302407,-0.04183090498536)); #36054=CARTESIAN_POINT('Origin',(16.8960877507445,26.0338986302407,-0.125807233038686)); #36055=CARTESIAN_POINT('Origin',(17.4453039105795,33.5338986302407,-0.804540039521266)); #36056=CARTESIAN_POINT('',(17.5238545772881,33.5338986302407,-0.689385143794045)); #36057=CARTESIAN_POINT('Origin',(17.4200748763506,33.5338986302407,-0.773361471847357)); #36058=CARTESIAN_POINT('Origin',(17.1580813135476,33.5338986302407,-0.449584352443014)); #36059=CARTESIAN_POINT('',(16.9998674516821,33.5338986302407,-0.0418309049853584)); #36060=CARTESIAN_POINT('Origin',(16.8960877507445,33.5338986302407,-0.125807233038685)); #36061=CARTESIAN_POINT('Origin',(17.4453039105795,28.5338986302407,-0.804540039521267)); #36062=CARTESIAN_POINT('',(17.5238545772881,28.5338986302407,-0.689385143794046)); #36063=CARTESIAN_POINT('Origin',(17.4200748763506,28.5338986302407,-0.773361471847358)); #36064=CARTESIAN_POINT('Origin',(17.1580813135476,28.5338986302407,-0.449584352443015)); #36065=CARTESIAN_POINT('',(16.9998674516821,28.5338986302407,-0.0418309049853594)); #36066=CARTESIAN_POINT('Origin',(16.8960877507445,28.5338986302407,-0.125807233038685)); #36067=CARTESIAN_POINT('Origin',(19.1512598623173,47.2649852979247,-0.804540039521266)); #36068=CARTESIAN_POINT('',(19.2280940084944,47.2486536959944,-0.689385143794045)); #36069=CARTESIAN_POINT('Origin',(19.1265821430175,47.2702307090889,-0.773361471847358)); #36070=CARTESIAN_POINT('Origin',(18.870313768154,47.3247022337147,-0.449584352443015)); #36071=CARTESIAN_POINT('',(18.7155572587675,47.3575967452459,-0.0418309049853589)); #36072=CARTESIAN_POINT('Origin',(18.6140453932905,47.3791737583404,-0.125807233038671)); #36073=CARTESIAN_POINT('Origin',(17.4453039105795,31.0338986302407,-0.804540039521266)); #36074=CARTESIAN_POINT('',(17.5238545772881,31.0338986302407,-0.689385143794045)); #36075=CARTESIAN_POINT('Origin',(17.4200748763506,31.0338986302407,-0.773361471847358)); #36076=CARTESIAN_POINT('Origin',(17.1580813135476,31.0338986302407,-0.449584352443015)); #36077=CARTESIAN_POINT('',(16.9998674516821,31.0338986302407,-0.0418309049853589)); #36078=CARTESIAN_POINT('Origin',(16.8960877507445,31.0338986302407,-0.125807233038685)); #36079=CARTESIAN_POINT('Origin',(17.5919221811841,22.1389189680603,-0.804540039521265)); #36080=CARTESIAN_POINT('',(17.6687563273612,22.1552505699905,-0.689385143794044)); #36081=CARTESIAN_POINT('Origin',(17.5672444618843,22.133673556896,-0.773361471847356)); #36082=CARTESIAN_POINT('Origin',(17.3109760870208,22.0792020322703,-0.449584352443013)); #36083=CARTESIAN_POINT('',(17.1562195776343,22.046307520739,-0.0418309049853574)); #36084=CARTESIAN_POINT('Origin',(17.0547077121574,22.0247305076445,-0.125807233038671)); #36085=CARTESIAN_POINT('Origin',(20.7105975434505,7.46670495705318,-0.804540039521268)); #36086=CARTESIAN_POINT('',(20.7874316896276,7.48303655898343,-0.689385143794047)); #36087=CARTESIAN_POINT('Origin',(20.6859198241507,7.46145954588895,-0.773361471847359)); #36088=CARTESIAN_POINT('Origin',(20.4296514492872,7.4069880212632,-0.449584352443016)); #36089=CARTESIAN_POINT('',(20.2748949399007,7.37409350973194,-0.0418309049853605)); #36090=CARTESIAN_POINT('Origin',(20.1733830744237,7.35251649663746,-0.125807233038674)); #36091=CARTESIAN_POINT('Origin',(18.1117014082285,19.6935499662258,-0.804540039521266)); #36092=CARTESIAN_POINT('',(18.1885355544056,19.709881568156,-0.689385143794044)); #36093=CARTESIAN_POINT('Origin',(18.0870236889287,19.6883045550615,-0.773361471847357)); #36094=CARTESIAN_POINT('Origin',(17.8307553140652,19.6338330304358,-0.449584352443014)); #36095=CARTESIAN_POINT('',(17.6759988046787,19.6009385189045,-0.0418309049853579)); #36096=CARTESIAN_POINT('Origin',(17.5744869392018,19.57936150581,-0.125807233038672)); #36097=CARTESIAN_POINT('Origin',(20.1908183164061,9.91207395888769,-0.804540039521267)); #36098=CARTESIAN_POINT('',(20.2676524625832,9.92840556081795,-0.689385143794046)); #36099=CARTESIAN_POINT('Origin',(20.1661405971063,9.90682854772346,-0.773361471847359)); #36100=CARTESIAN_POINT('Origin',(19.9098722222428,9.85235702309772,-0.449584352443016)); #36101=CARTESIAN_POINT('',(19.7551157128563,9.81946251156645,-0.0418309049853599)); #36102=CARTESIAN_POINT('Origin',(19.6536038473793,9.79788549847197,-0.125807233038672)); #36103=CARTESIAN_POINT('Origin',(18.6314806352729,17.2481809643912,-0.804540039521266)); #36104=CARTESIAN_POINT('',(18.70831478145,17.2645125663215,-0.689385143794045)); #36105=CARTESIAN_POINT('Origin',(18.6068029159731,17.242935553227,-0.773361471847357)); #36106=CARTESIAN_POINT('Origin',(18.3505345411096,17.1884640286013,-0.449584352443014)); #36107=CARTESIAN_POINT('',(18.1957780317231,17.15556951707,-0.0418309049853584)); #36108=CARTESIAN_POINT('Origin',(18.0942661662462,17.1339925039755,-0.125807233038671)); #36109=CARTESIAN_POINT('Origin',(19.6710390893617,12.3574429607222,-0.804540039521267)); #36110=CARTESIAN_POINT('',(19.7478732355388,12.3737745626525,-0.689385143794046)); #36111=CARTESIAN_POINT('Origin',(19.6463613700619,12.352197549558,-0.773361471847358)); #36112=CARTESIAN_POINT('Origin',(19.3900929951984,12.2977260249322,-0.449584352443015)); #36113=CARTESIAN_POINT('',(19.2353364858119,12.264831513401,-0.0418309049853594)); #36114=CARTESIAN_POINT('Origin',(19.1338246203349,12.2432545003065,-0.125807233038672)); #36115=CARTESIAN_POINT('Origin',(19.1512598623173,14.8028119625567,-0.804540039521266)); #36116=CARTESIAN_POINT('',(19.2280940084944,14.819143564487,-0.689385143794045)); #36117=CARTESIAN_POINT('Origin',(19.1265821430175,14.7975665513925,-0.773361471847358)); #36118=CARTESIAN_POINT('Origin',(18.870313768154,14.7430950267667,-0.449584352443015)); #36119=CARTESIAN_POINT('',(18.7155572587675,14.7102005152355,-0.0418309049853589)); #36120=CARTESIAN_POINT('Origin',(18.6140453932906,14.688623502141,-0.125807233038672)); #36121=CARTESIAN_POINT('Origin',(23.877962196121,53.7797249337995,-70.6819215938077)); #36122=CARTESIAN_POINT('',(23.711962196121,53.7797249337995,-0.999999999999997)); #36123=CARTESIAN_POINT('Origin',(23.877962196121,53.7797249337995,-0.999999999999997)); #36124=CARTESIAN_POINT('',(24.043962196121,53.7797249337995,0.)); #36125=CARTESIAN_POINT('Origin',(23.877962196121,53.7797249337995,0.)); #36126=CARTESIAN_POINT('Origin',(21.9736411838554,54.3909216960976,-70.6819215938077)); #36127=CARTESIAN_POINT('',(21.8076411838554,54.3909216960976,-0.999999999999997)); #36128=CARTESIAN_POINT('Origin',(21.9736411838554,54.3909216960976,-0.999999999999997)); #36129=CARTESIAN_POINT('',(22.1396411838554,54.3909216960976,0.)); #36130=CARTESIAN_POINT('Origin',(21.9736411838554,54.3909216960976,0.)); #36131=CARTESIAN_POINT('Origin',(25.7822832083866,53.1685281715014,-70.6819215938077)); #36132=CARTESIAN_POINT('',(25.6162832083866,53.1685281715014,-0.999999999999997)); #36133=CARTESIAN_POINT('Origin',(25.7822832083866,53.1685281715014,-0.999999999999997)); #36134=CARTESIAN_POINT('',(25.9482832083866,53.1685281715014,0.)); #36135=CARTESIAN_POINT('Origin',(25.7822832083866,53.1685281715014,0.)); #36136=CARTESIAN_POINT('Origin',(29.5909252329178,51.9461346469051,-70.6819215938077)); #36137=CARTESIAN_POINT('',(29.4249252329178,51.9461346469051,-0.999999999999997)); #36138=CARTESIAN_POINT('Origin',(29.5909252329178,51.9461346469051,-0.999999999999997)); #36139=CARTESIAN_POINT('',(29.7569252329178,51.9461346469051,0.)); #36140=CARTESIAN_POINT('Origin',(29.5909252329178,51.9461346469051,0.)); #36141=CARTESIAN_POINT('Origin',(27.6866042206522,52.5573314092032,-70.6819215938077)); #36142=CARTESIAN_POINT('',(27.5206042206522,52.5573314092032,-0.999999999999997)); #36143=CARTESIAN_POINT('Origin',(27.6866042206522,52.5573314092032,-0.999999999999997)); #36144=CARTESIAN_POINT('',(27.8526042206522,52.5573314092032,0.)); #36145=CARTESIAN_POINT('Origin',(27.6866042206522,52.5573314092032,0.)); #36146=CARTESIAN_POINT('Origin',(23.877962196121,8.28807232668206,-70.6819215938077)); #36147=CARTESIAN_POINT('',(23.711962196121,8.28807232668206,-0.999999999999997)); #36148=CARTESIAN_POINT('Origin',(23.877962196121,8.28807232668206,-0.999999999999997)); #36149=CARTESIAN_POINT('',(24.043962196121,8.28807232668206,0.)); #36150=CARTESIAN_POINT('Origin',(23.877962196121,8.28807232668206,0.)); #36151=CARTESIAN_POINT('Origin',(27.6866042206522,9.51046585127836,-70.6819215938077)); #36152=CARTESIAN_POINT('',(27.5206042206522,9.51046585127836,-0.999999999999997)); #36153=CARTESIAN_POINT('Origin',(27.6866042206522,9.51046585127836,-0.999999999999997)); #36154=CARTESIAN_POINT('',(27.8526042206522,9.51046585127836,0.)); #36155=CARTESIAN_POINT('Origin',(27.6866042206522,9.51046585127836,0.)); #36156=CARTESIAN_POINT('Origin',(29.5909252329178,10.1216626135765,-70.6819215938077)); #36157=CARTESIAN_POINT('',(29.4249252329178,10.1216626135765,-0.999999999999997)); #36158=CARTESIAN_POINT('Origin',(29.5909252329178,10.1216626135765,-0.999999999999997)); #36159=CARTESIAN_POINT('',(29.7569252329178,10.1216626135765,0.)); #36160=CARTESIAN_POINT('Origin',(29.5909252329178,10.1216626135765,0.)); #36161=CARTESIAN_POINT('Origin',(25.7822832083866,8.89926908898021,-70.6819215938077)); #36162=CARTESIAN_POINT('',(25.6162832083866,8.89926908898021,-0.999999999999997)); #36163=CARTESIAN_POINT('Origin',(25.7822832083866,8.89926908898021,-0.999999999999997)); #36164=CARTESIAN_POINT('',(25.9482832083866,8.89926908898021,0.)); #36165=CARTESIAN_POINT('Origin',(25.7822832083866,8.89926908898021,0.)); #36166=CARTESIAN_POINT('Origin',(21.9736411838554,7.67687556438392,-70.6819215938077)); #36167=CARTESIAN_POINT('',(21.8076411838554,7.67687556438392,-0.999999999999997)); #36168=CARTESIAN_POINT('Origin',(21.9736411838554,7.67687556438392,-0.999999999999997)); #36169=CARTESIAN_POINT('',(22.1396411838554,7.67687556438392,0.)); #36170=CARTESIAN_POINT('Origin',(21.9736411838554,7.67687556438392,0.)); #36171=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-0.125)); #36172=CARTESIAN_POINT('',(27.9497449396166,48.0678618393216,0.)); #36173=CARTESIAN_POINT('',(28.0709520344421,48.0373030408495,-0.125)); #36174=CARTESIAN_POINT('Origin',(27.9497449396166,48.0678618393216,-0.125)); #36175=CARTESIAN_POINT('',(31.8686130807799,50.1358638425509,-0.125)); #36176=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-0.125)); #36177=CARTESIAN_POINT('',(31.9072402050768,50.2547459070878,0.)); #36178=CARTESIAN_POINT('Origin',(31.9072402050768,50.2547459070878,-0.125)); #36179=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #36180=CARTESIAN_POINT('Origin',(29.3049901184075,51.1002682147703,-0.125)); #36181=CARTESIAN_POINT('',(61.7903124242498,40.4137143811,-0.125)); #36182=CARTESIAN_POINT('',(61.8289395485467,40.5325964456369,0.)); #36183=CARTESIAN_POINT('Origin',(61.8289395485467,40.5325964456369,-0.125)); #36184=CARTESIAN_POINT('',(29.3049901184075,51.1002682147703,0.)); #36185=CARTESIAN_POINT('',(29.2663629941106,50.9813861502334,-0.125)); #36186=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,-0.125)); #36187=CARTESIAN_POINT('',(63.7907399292068,37.0368427043309,-0.125)); #36188=CARTESIAN_POINT('',(63.9135601303113,37.0136005400476,0.)); #36189=CARTESIAN_POINT('Origin',(63.9135601303113,37.0136005400476,-0.125)); #36190=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,0.)); #36191=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,-0.125)); #36192=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-0.125)); #36193=CARTESIAN_POINT('',(63.7907399292069,25.0309545561503,-0.125)); #36194=CARTESIAN_POINT('',(63.9135601303117,25.0541967204337,0.)); #36195=CARTESIAN_POINT('Origin',(63.9135601303117,25.0541967204337,-0.125)); #36196=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36197=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-0.125)); #36198=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,-0.125)); #36199=CARTESIAN_POINT('',(61.7903124242503,21.6540828793813,-0.125)); #36200=CARTESIAN_POINT('',(61.8289395485472,21.5352008148444,0.)); #36201=CARTESIAN_POINT('Origin',(61.8289395485472,21.5352008148444,-0.125)); #36202=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #36203=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,-0.125)); #36204=CARTESIAN_POINT('Origin',(44.2658397901436,15.8286037764356,-0.125)); #36205=CARTESIAN_POINT('',(31.868613080781,11.9319334179288,-0.125)); #36206=CARTESIAN_POINT('',(31.9072402050779,11.8130513533919,0.)); #36207=CARTESIAN_POINT('Origin',(31.9072402050779,11.8130513533919,-0.125)); #36208=CARTESIAN_POINT('',(44.2658397901436,15.8286037764356,0.)); #36209=CARTESIAN_POINT('',(44.2272126658467,15.9474858409725,-0.125)); #36210=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-0.125)); #36211=CARTESIAN_POINT('',(28.0709520344426,14.03049421963,-0.125)); #36212=CARTESIAN_POINT('',(27.9497449396175,13.9999354211579,0.)); #36213=CARTESIAN_POINT('Origin',(27.9497449396175,13.9999354211579,-0.125)); #36214=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #36215=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-0.125)); #36216=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-0.125)); #36217=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36218=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-0.125)); #36219=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36220=CARTESIAN_POINT('',(63.7907399292068,37.0368427043309,-1.)); #36221=CARTESIAN_POINT('',(63.7907399292068,37.0368427043309,0.)); #36222=CARTESIAN_POINT('',(63.7907399292069,25.0309545561503,-1.)); #36223=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-1.)); #36224=CARTESIAN_POINT('',(63.7907399292069,25.0309545561503,0.)); #36225=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,0.)); #36226=CARTESIAN_POINT('',(61.7903124242498,40.4137143811,-1.)); #36227=CARTESIAN_POINT('',(61.7903124242498,40.4137143811,0.)); #36228=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,-1.)); #36229=CARTESIAN_POINT('Origin',(31.8686130807799,50.1358638425509,0.)); #36230=CARTESIAN_POINT('',(31.8686130807799,50.1358638425509,-1.)); #36231=CARTESIAN_POINT('',(31.8686130807799,50.1358638425509,0.)); #36232=CARTESIAN_POINT('',(40.5697664225772,47.3086877418587,-1.)); #36233=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #36234=CARTESIAN_POINT('',(28.0709520344421,48.0373030408495,-1.)); #36235=CARTESIAN_POINT('',(28.0709520344421,48.0373030408495,0.)); #36236=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-1.)); #36237=CARTESIAN_POINT('Origin',(19.9080544704664,31.0338986302407,0.)); #36238=CARTESIAN_POINT('',(64.2623695014494,36.9475927934828,0.)); #36239=CARTESIAN_POINT('',(61.9386405815497,40.8702215089212,0.)); #36240=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,0.)); #36241=CARTESIAN_POINT('',(32.0169412380798,50.5923709703721,0.)); #36242=CARTESIAN_POINT('',(29.4146911514104,51.4378932780546,-1.09273919746571E-17)); #36243=CARTESIAN_POINT('',(27.6055167903136,48.1546488269821,0.)); #36244=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #36245=CARTESIAN_POINT('',(27.6055167903141,13.9131484334974,0.)); #36246=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302407,0.)); #36247=CARTESIAN_POINT('',(32.016941238081,11.475426290107,0.)); #36248=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #36249=CARTESIAN_POINT('',(61.9386405815503,21.1975757515596,0.)); #36250=CARTESIAN_POINT('',(44.3755408231467,15.4909787131507,0.)); #36251=CARTESIAN_POINT('',(64.2623695014494,25.1202044669984,0.)); #36252=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #36253=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36254=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #36255=CARTESIAN_POINT('',(61.7903124242503,21.6540828793813,-1.)); #36256=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,-1.)); #36257=CARTESIAN_POINT('',(61.7903124242503,21.6540828793813,0.)); #36258=CARTESIAN_POINT('Origin',(61.7903124242503,21.6540828793813,0.)); #36259=CARTESIAN_POINT('',(31.868613080781,11.9319334179288,-1.)); #36260=CARTESIAN_POINT('',(55.5306160943126,19.6201842493477,-1.)); #36261=CARTESIAN_POINT('',(31.868613080781,11.9319334179288,0.)); #36262=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #36263=CARTESIAN_POINT('',(28.0709520344426,14.03049421963,-1.)); #36264=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-1.)); #36265=CARTESIAN_POINT('',(28.0709520344426,14.03049421963,0.)); #36266=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36267=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-1.)); #36268=CARTESIAN_POINT('Origin',(64.8233288904968,25.0669753050474,-70.6819215938077)); #36269=CARTESIAN_POINT('',(64.6573288904968,25.0669753050474,-0.999999999999997)); #36270=CARTESIAN_POINT('Origin',(64.8233288904968,25.0669753050474,-0.999999999999997)); #36271=CARTESIAN_POINT('',(64.9893288904968,25.0669753050474,0.)); #36272=CARTESIAN_POINT('Origin',(64.8233288904968,25.0669753050474,0.)); #36273=CARTESIAN_POINT('Origin',(64.2937599531836,29.5339136062158,-70.6819215938077)); #36274=CARTESIAN_POINT('',(64.1277599531836,29.5339136062158,-0.999999999999997)); #36275=CARTESIAN_POINT('Origin',(64.2937599531836,29.5339136062158,-0.999999999999997)); #36276=CARTESIAN_POINT('',(64.4597599531836,29.5339136062158,0.)); #36277=CARTESIAN_POINT('Origin',(64.2937599531836,29.5339136062158,0.)); #36278=CARTESIAN_POINT('Origin',(64.2937599531836,32.5338836542649,-70.6819215938077)); #36279=CARTESIAN_POINT('',(64.1277599531836,32.5338836542649,-0.999999999999997)); #36280=CARTESIAN_POINT('Origin',(64.2937599531836,32.5338836542649,-0.999999999999997)); #36281=CARTESIAN_POINT('',(64.4597599531835,32.5338836542649,0.)); #36282=CARTESIAN_POINT('Origin',(64.2937599531836,32.5338836542649,0.)); #36283=CARTESIAN_POINT('Origin',(64.5813784156377,35.5200343385484,-70.6819215938077)); #36284=CARTESIAN_POINT('',(64.4153784156377,35.5200343385484,-0.999999999999997)); #36285=CARTESIAN_POINT('Origin',(64.5813784156377,35.5200343385484,-0.999999999999997)); #36286=CARTESIAN_POINT('',(64.7473784156377,35.5200343385484,0.)); #36287=CARTESIAN_POINT('Origin',(64.5813784156377,35.5200343385484,0.)); #36288=CARTESIAN_POINT('Origin',(31.733286371716,51.2585382893176,-70.6819215938077)); #36289=CARTESIAN_POINT('',(31.567286371716,51.2585382893176,-0.999999999999997)); #36290=CARTESIAN_POINT('Origin',(31.733286371716,51.2585382893176,-0.999999999999997)); #36291=CARTESIAN_POINT('',(31.899286371716,51.2585382893176,0.)); #36292=CARTESIAN_POINT('Origin',(31.733286371716,51.2585382893176,0.)); #36293=CARTESIAN_POINT('Origin',(55.9955112614271,43.3795655056192,-70.6819215938077)); #36294=CARTESIAN_POINT('',(55.8295112614271,43.3795655056192,-0.999999999999997)); #36295=CARTESIAN_POINT('Origin',(55.9955112614271,43.3795655056192,-0.999999999999997)); #36296=CARTESIAN_POINT('',(56.1615112614271,43.3795655056192,0.)); #36297=CARTESIAN_POINT('Origin',(55.9955112614271,43.3795655056192,0.)); #36298=CARTESIAN_POINT('Origin',(54.5683925367797,43.8432644881199,-70.6819215938077)); #36299=CARTESIAN_POINT('',(54.4023925367797,43.8432644881199,-0.999999999999997)); #36300=CARTESIAN_POINT('Origin',(54.5683925367797,43.8432644881199,-0.999999999999997)); #36301=CARTESIAN_POINT('',(54.7343925367797,43.8432644881199,0.)); #36302=CARTESIAN_POINT('Origin',(54.5683925367797,43.8432644881199,0.)); #36303=CARTESIAN_POINT('Origin',(48.85991763819,45.6980604181226,-70.6819215938077)); #36304=CARTESIAN_POINT('',(48.69391763819,45.6980604181226,-0.999999999999997)); #36305=CARTESIAN_POINT('Origin',(48.85991763819,45.6980604181226,-0.999999999999997)); #36306=CARTESIAN_POINT('',(49.02591763819,45.6980604181226,0.)); #36307=CARTESIAN_POINT('Origin',(48.85991763819,45.6980604181226,0.)); #36308=CARTESIAN_POINT('Origin',(51.7141550874849,44.7706624531212,-70.6819215938077)); #36309=CARTESIAN_POINT('',(51.5481550874849,44.7706624531212,-0.999999999999997)); #36310=CARTESIAN_POINT('Origin',(51.7141550874849,44.7706624531212,-0.999999999999997)); #36311=CARTESIAN_POINT('',(51.8801550874849,44.7706624531212,0.)); #36312=CARTESIAN_POINT('Origin',(51.7141550874849,44.7706624531212,0.)); #36313=CARTESIAN_POINT('Origin',(61.7039861600168,41.5247695756165,-70.6819215938077)); #36314=CARTESIAN_POINT('',(61.5379861600168,41.5247695756165,-0.999999999999997)); #36315=CARTESIAN_POINT('Origin',(61.7039861600168,41.5247695756165,-0.999999999999997)); #36316=CARTESIAN_POINT('',(61.8699861600168,41.5247695756165,0.)); #36317=CARTESIAN_POINT('Origin',(61.7039861600168,41.5247695756165,0.)); #36318=CARTESIAN_POINT('Origin',(38.8700865656581,48.9439532956273,-70.6819215938077)); #36319=CARTESIAN_POINT('',(38.7040865656581,48.9439532956273,-0.999999999999997)); #36320=CARTESIAN_POINT('Origin',(38.8700865656581,48.9439532956273,-0.999999999999997)); #36321=CARTESIAN_POINT('',(39.0360865656581,48.9439532956273,0.)); #36322=CARTESIAN_POINT('Origin',(38.8700865656581,48.9439532956273,0.)); #36323=CARTESIAN_POINT('Origin',(41.7243240149529,48.016555330626,-70.6819215938077)); #36324=CARTESIAN_POINT('',(41.5583240149529,48.016555330626,-0.999999999999997)); #36325=CARTESIAN_POINT('Origin',(41.7243240149529,48.016555330626,-0.999999999999997)); #36326=CARTESIAN_POINT('',(41.8903240149529,48.016555330626,0.)); #36327=CARTESIAN_POINT('Origin',(41.7243240149529,48.016555330626,0.)); #36328=CARTESIAN_POINT('Origin',(44.5785614642477,47.0891573656246,-70.6819215938077)); #36329=CARTESIAN_POINT('',(44.4125614642477,47.0891573656246,-0.999999999999997)); #36330=CARTESIAN_POINT('Origin',(44.5785614642477,47.0891573656246,-0.999999999999997)); #36331=CARTESIAN_POINT('',(44.7445614642477,47.0891573656246,0.)); #36332=CARTESIAN_POINT('Origin',(44.5785614642477,47.0891573656246,0.)); #36333=CARTESIAN_POINT('Origin',(47.4327989135426,46.1617594006233,-70.6819215938077)); #36334=CARTESIAN_POINT('',(47.2667989135426,46.1617594006233,-0.999999999999997)); #36335=CARTESIAN_POINT('Origin',(47.4327989135426,46.1617594006233,-0.999999999999997)); #36336=CARTESIAN_POINT('',(47.5987989135426,46.1617594006233,0.)); #36337=CARTESIAN_POINT('Origin',(47.4327989135426,46.1617594006233,0.)); #36338=CARTESIAN_POINT('Origin',(34.5887303917158,50.3350502431293,-70.6819215938077)); #36339=CARTESIAN_POINT('',(34.4227303917158,50.3350502431293,-0.999999999999997)); #36340=CARTESIAN_POINT('Origin',(34.5887303917158,50.3350502431293,-0.999999999999997)); #36341=CARTESIAN_POINT('',(34.7547303917158,50.3350502431293,0.)); #36342=CARTESIAN_POINT('Origin',(34.5887303917158,50.3350502431293,0.)); #36343=CARTESIAN_POINT('Origin',(37.4429678410106,49.407652278128,-70.6819215938077)); #36344=CARTESIAN_POINT('',(37.2769678410106,49.407652278128,-0.999999999999997)); #36345=CARTESIAN_POINT('Origin',(37.4429678410106,49.407652278128,-0.999999999999997)); #36346=CARTESIAN_POINT('',(37.6089678410106,49.407652278128,0.)); #36347=CARTESIAN_POINT('Origin',(37.4429678410106,49.407652278128,0.)); #36348=CARTESIAN_POINT('Origin',(33.161611667069,11.2690480348495,-70.6819215938077)); #36349=CARTESIAN_POINT('',(32.995611667069,11.2690480348495,-0.999999999999997)); #36350=CARTESIAN_POINT('Origin',(33.161611667069,11.2690480348495,-0.999999999999997)); #36351=CARTESIAN_POINT('',(33.327611667069,11.2690480348495,0.)); #36352=CARTESIAN_POINT('Origin',(33.161611667069,11.2690480348495,0.)); #36353=CARTESIAN_POINT('Origin',(36.0158491163638,12.196445999851,-70.6819215938077)); #36354=CARTESIAN_POINT('',(35.8498491163638,12.196445999851,-0.999999999999997)); #36355=CARTESIAN_POINT('Origin',(36.0158491163638,12.196445999851,-0.999999999999997)); #36356=CARTESIAN_POINT('',(36.1818491163638,12.196445999851,0.)); #36357=CARTESIAN_POINT('Origin',(36.0158491163638,12.196445999851,0.)); #36358=CARTESIAN_POINT('Origin',(38.8700865656586,13.1238439648524,-70.6819215938077)); #36359=CARTESIAN_POINT('',(38.7040865656586,13.1238439648524,-0.999999999999997)); #36360=CARTESIAN_POINT('Origin',(38.8700865656586,13.1238439648524,-0.999999999999997)); #36361=CARTESIAN_POINT('',(39.0360865656586,13.1238439648524,0.)); #36362=CARTESIAN_POINT('Origin',(38.8700865656586,13.1238439648524,0.)); #36363=CARTESIAN_POINT('Origin',(41.7243240149534,14.0512419298539,-70.6819215938077)); #36364=CARTESIAN_POINT('',(41.5583240149534,14.0512419298539,-0.999999999999997)); #36365=CARTESIAN_POINT('Origin',(41.7243240149534,14.0512419298539,-0.999999999999997)); #36366=CARTESIAN_POINT('',(41.8903240149534,14.0512419298539,0.)); #36367=CARTESIAN_POINT('Origin',(41.7243240149534,14.0512419298539,0.)); #36368=CARTESIAN_POINT('Origin',(61.703986160017,20.5430276848642,-70.6819215938077)); #36369=CARTESIAN_POINT('',(61.537986160017,20.5430276848642,-0.999999999999997)); #36370=CARTESIAN_POINT('Origin',(61.703986160017,20.5430276848642,-0.999999999999997)); #36371=CARTESIAN_POINT('',(61.869986160017,20.5430276848642,0.)); #36372=CARTESIAN_POINT('Origin',(61.703986160017,20.5430276848642,0.)); #36373=CARTESIAN_POINT('Origin',(43.1514427396008,14.5149409123546,-70.6819215938077)); #36374=CARTESIAN_POINT('',(42.9854427396008,14.5149409123546,-0.999999999999997)); #36375=CARTESIAN_POINT('Origin',(43.1514427396008,14.5149409123546,-0.999999999999997)); #36376=CARTESIAN_POINT('',(43.3174427396008,14.5149409123546,0.)); #36377=CARTESIAN_POINT('Origin',(43.1514427396008,14.5149409123546,0.)); #36378=CARTESIAN_POINT('Origin',(46.0056801888956,15.4423388773561,-70.6819215938077)); #36379=CARTESIAN_POINT('',(45.8396801888956,15.4423388773561,-0.999999999999997)); #36380=CARTESIAN_POINT('Origin',(46.0056801888956,15.4423388773561,-0.999999999999997)); #36381=CARTESIAN_POINT('',(46.1716801888956,15.4423388773561,0.)); #36382=CARTESIAN_POINT('Origin',(46.0056801888956,15.4423388773561,0.)); #36383=CARTESIAN_POINT('Origin',(48.8599176381904,16.3697368423576,-70.6819215938077)); #36384=CARTESIAN_POINT('',(48.6939176381904,16.3697368423576,-0.999999999999997)); #36385=CARTESIAN_POINT('Origin',(48.8599176381904,16.3697368423576,-0.999999999999997)); #36386=CARTESIAN_POINT('',(49.0259176381904,16.3697368423576,0.)); #36387=CARTESIAN_POINT('Origin',(48.8599176381904,16.3697368423576,0.)); #36388=CARTESIAN_POINT('Origin',(51.7141550874852,17.297134807359,-70.6819215938077)); #36389=CARTESIAN_POINT('',(51.5481550874852,17.297134807359,-0.999999999999997)); #36390=CARTESIAN_POINT('Origin',(51.7141550874852,17.297134807359,-0.999999999999997)); #36391=CARTESIAN_POINT('',(51.8801550874852,17.297134807359,0.)); #36392=CARTESIAN_POINT('Origin',(51.7141550874852,17.297134807359,0.)); #36393=CARTESIAN_POINT('Origin',(54.56839253678,18.2245327723605,-70.6819215938077)); #36394=CARTESIAN_POINT('',(54.40239253678,18.2245327723605,-0.999999999999997)); #36395=CARTESIAN_POINT('Origin',(54.56839253678,18.2245327723605,-0.999999999999997)); #36396=CARTESIAN_POINT('',(54.73439253678,18.2245327723605,0.)); #36397=CARTESIAN_POINT('Origin',(54.56839253678,18.2245327723605,0.)); #36398=CARTESIAN_POINT('Origin',(57.4226299860748,19.151930737362,-70.6819215938077)); #36399=CARTESIAN_POINT('',(57.2566299860748,19.151930737362,-0.999999999999997)); #36400=CARTESIAN_POINT('Origin',(57.4226299860748,19.151930737362,-0.999999999999997)); #36401=CARTESIAN_POINT('',(57.5886299860748,19.151930737362,0.)); #36402=CARTESIAN_POINT('Origin',(57.4226299860748,19.151930737362,0.)); #36403=CARTESIAN_POINT('Origin',(58.8497487107222,19.6156297198627,-70.6819215938077)); #36404=CARTESIAN_POINT('',(58.6837487107222,19.6156297198627,-0.999999999999997)); #36405=CARTESIAN_POINT('Origin',(58.8497487107222,19.6156297198627,-0.999999999999997)); #36406=CARTESIAN_POINT('',(59.0157487107222,19.6156297198627,0.)); #36407=CARTESIAN_POINT('Origin',(58.8497487107222,19.6156297198627,0.)); #36408=CARTESIAN_POINT('Origin',(55.9955112614274,18.6882317548612,-70.6819215938077)); #36409=CARTESIAN_POINT('',(55.8295112614274,18.6882317548612,-0.999999999999997)); #36410=CARTESIAN_POINT('Origin',(55.9955112614274,18.6882317548612,-0.999999999999997)); #36411=CARTESIAN_POINT('',(56.1615112614274,18.6882317548612,0.)); #36412=CARTESIAN_POINT('Origin',(55.9955112614274,18.6882317548612,0.)); #36413=CARTESIAN_POINT('Origin',(53.1412738121326,17.7608337898598,-70.6819215938077)); #36414=CARTESIAN_POINT('',(52.9752738121326,17.7608337898598,-0.999999999999997)); #36415=CARTESIAN_POINT('Origin',(53.1412738121326,17.7608337898598,-0.999999999999997)); #36416=CARTESIAN_POINT('',(53.3072738121326,17.7608337898598,0.)); #36417=CARTESIAN_POINT('Origin',(53.1412738121326,17.7608337898598,0.)); #36418=CARTESIAN_POINT('Origin',(50.2870363628378,16.8334358248583,-70.6819215938077)); #36419=CARTESIAN_POINT('',(50.1210363628378,16.8334358248583,-0.999999999999997)); #36420=CARTESIAN_POINT('Origin',(50.2870363628378,16.8334358248583,-0.999999999999997)); #36421=CARTESIAN_POINT('',(50.4530363628378,16.8334358248583,0.)); #36422=CARTESIAN_POINT('Origin',(50.2870363628378,16.8334358248583,0.)); #36423=CARTESIAN_POINT('Origin',(47.432798913543,15.9060378598568,-70.6819215938077)); #36424=CARTESIAN_POINT('',(47.266798913543,15.9060378598568,-0.999999999999997)); #36425=CARTESIAN_POINT('Origin',(47.432798913543,15.9060378598568,-0.999999999999997)); #36426=CARTESIAN_POINT('',(47.598798913543,15.9060378598568,0.)); #36427=CARTESIAN_POINT('Origin',(47.432798913543,15.9060378598568,0.)); #36428=CARTESIAN_POINT('Origin',(44.5785614642482,14.9786398948554,-70.6819215938077)); #36429=CARTESIAN_POINT('',(44.4125614642482,14.9786398948554,-0.999999999999997)); #36430=CARTESIAN_POINT('Origin',(44.5785614642482,14.9786398948554,-0.999999999999997)); #36431=CARTESIAN_POINT('',(44.7445614642482,14.9786398948554,0.)); #36432=CARTESIAN_POINT('Origin',(44.5785614642482,14.9786398948554,0.)); #36433=CARTESIAN_POINT('Origin',(60.2768674353696,20.0793287023635,-70.6819215938077)); #36434=CARTESIAN_POINT('',(60.1108674353696,20.0793287023635,-0.999999999999997)); #36435=CARTESIAN_POINT('Origin',(60.2768674353696,20.0793287023635,-0.999999999999997)); #36436=CARTESIAN_POINT('',(60.4428674353696,20.0793287023635,0.)); #36437=CARTESIAN_POINT('Origin',(60.2768674353696,20.0793287023635,0.)); #36438=CARTESIAN_POINT('Origin',(63.0629758478239,21.1634245150165,-70.6819215938077)); #36439=CARTESIAN_POINT('',(62.896975847824,21.1634245150165,-0.999999999999997)); #36440=CARTESIAN_POINT('Origin',(63.0629758478239,21.1634245150165,-0.999999999999997)); #36441=CARTESIAN_POINT('',(63.228975847824,21.1634245150165,0.)); #36442=CARTESIAN_POINT('Origin',(63.0629758478239,21.1634245150165,0.)); #36443=CARTESIAN_POINT('Origin',(40.297205290306,13.5875429473532,-70.6819215938077)); #36444=CARTESIAN_POINT('',(40.131205290306,13.5875429473532,-0.999999999999997)); #36445=CARTESIAN_POINT('Origin',(40.297205290306,13.5875429473532,-0.999999999999997)); #36446=CARTESIAN_POINT('',(40.463205290306,13.5875429473532,0.)); #36447=CARTESIAN_POINT('Origin',(40.297205290306,13.5875429473532,0.)); #36448=CARTESIAN_POINT('Origin',(37.4429678410112,12.6601449823517,-70.6819215938077)); #36449=CARTESIAN_POINT('',(37.2769678410112,12.6601449823517,-0.999999999999997)); #36450=CARTESIAN_POINT('Origin',(37.4429678410112,12.6601449823517,-0.999999999999997)); #36451=CARTESIAN_POINT('',(37.6089678410112,12.6601449823517,0.)); #36452=CARTESIAN_POINT('Origin',(37.4429678410112,12.6601449823517,0.)); #36453=CARTESIAN_POINT('Origin',(34.5887303917164,11.7327470173502,-70.6819215938077)); #36454=CARTESIAN_POINT('',(34.4227303917164,11.7327470173502,-0.999999999999997)); #36455=CARTESIAN_POINT('Origin',(34.5887303917164,11.7327470173502,-0.999999999999997)); #36456=CARTESIAN_POINT('',(34.7547303917164,11.7327470173502,0.)); #36457=CARTESIAN_POINT('Origin',(34.5887303917164,11.7327470173502,0.)); #36458=CARTESIAN_POINT('Origin',(31.7332863717166,10.8092589711619,-70.6819215938077)); #36459=CARTESIAN_POINT('',(31.5672863717166,10.8092589711619,-0.999999999999997)); #36460=CARTESIAN_POINT('Origin',(31.7332863717166,10.8092589711619,-0.999999999999997)); #36461=CARTESIAN_POINT('',(31.8992863717166,10.8092589711619,0.)); #36462=CARTESIAN_POINT('Origin',(31.7332863717166,10.8092589711619,0.)); #36463=CARTESIAN_POINT('Origin',(36.0158491163632,49.8713512606287,-70.6819215938077)); #36464=CARTESIAN_POINT('',(35.8498491163632,49.8713512606287,-0.999999999999997)); #36465=CARTESIAN_POINT('Origin',(36.0158491163632,49.8713512606287,-0.999999999999997)); #36466=CARTESIAN_POINT('',(36.1818491163632,49.8713512606287,0.)); #36467=CARTESIAN_POINT('Origin',(36.0158491163632,49.8713512606287,0.)); #36468=CARTESIAN_POINT('Origin',(33.1616116670684,50.79874922563,-70.6819215938077)); #36469=CARTESIAN_POINT('',(32.9956116670684,50.79874922563,-0.999999999999997)); #36470=CARTESIAN_POINT('Origin',(33.1616116670684,50.79874922563,-0.999999999999997)); #36471=CARTESIAN_POINT('',(33.3276116670684,50.79874922563,0.)); #36472=CARTESIAN_POINT('Origin',(33.1616116670684,50.79874922563,0.)); #36473=CARTESIAN_POINT('Origin',(46.0056801888952,46.6254583831239,-70.6819215938077)); #36474=CARTESIAN_POINT('',(45.8396801888952,46.6254583831239,-0.999999999999997)); #36475=CARTESIAN_POINT('Origin',(46.0056801888952,46.6254583831239,-0.999999999999997)); #36476=CARTESIAN_POINT('',(46.1716801888952,46.6254583831239,0.)); #36477=CARTESIAN_POINT('Origin',(46.0056801888952,46.6254583831239,0.)); #36478=CARTESIAN_POINT('Origin',(43.1514427396003,47.5528563481253,-70.6819215938077)); #36479=CARTESIAN_POINT('',(42.9854427396003,47.5528563481253,-0.999999999999997)); #36480=CARTESIAN_POINT('Origin',(43.1514427396003,47.5528563481253,-0.999999999999997)); #36481=CARTESIAN_POINT('',(43.3174427396003,47.5528563481253,0.)); #36482=CARTESIAN_POINT('Origin',(43.1514427396003,47.5528563481253,0.)); #36483=CARTESIAN_POINT('Origin',(40.2972052903055,48.4802543131266,-70.6819215938077)); #36484=CARTESIAN_POINT('',(40.1312052903055,48.4802543131266,-0.999999999999997)); #36485=CARTESIAN_POINT('Origin',(40.2972052903055,48.4802543131266,-0.999999999999997)); #36486=CARTESIAN_POINT('',(40.4632052903055,48.4802543131266,0.)); #36487=CARTESIAN_POINT('Origin',(40.2972052903055,48.4802543131266,0.)); #36488=CARTESIAN_POINT('Origin',(63.0629758478237,40.9043727454642,-70.6819215938077)); #36489=CARTESIAN_POINT('',(62.8969758478237,40.9043727454642,-0.999999999999997)); #36490=CARTESIAN_POINT('Origin',(63.0629758478237,40.9043727454642,-0.999999999999997)); #36491=CARTESIAN_POINT('',(63.2289758478237,40.9043727454642,0.)); #36492=CARTESIAN_POINT('Origin',(63.0629758478237,40.9043727454642,0.)); #36493=CARTESIAN_POINT('Origin',(58.849748710722,42.4521675406179,-70.6819215938077)); #36494=CARTESIAN_POINT('',(58.683748710722,42.4521675406179,-0.999999999999997)); #36495=CARTESIAN_POINT('Origin',(58.849748710722,42.4521675406179,-0.999999999999997)); #36496=CARTESIAN_POINT('',(59.015748710722,42.4521675406179,0.)); #36497=CARTESIAN_POINT('Origin',(58.849748710722,42.4521675406179,0.)); #36498=CARTESIAN_POINT('Origin',(50.2870363628375,45.2343614356219,-70.6819215938077)); #36499=CARTESIAN_POINT('',(50.1210363628375,45.2343614356219,-0.999999999999997)); #36500=CARTESIAN_POINT('Origin',(50.2870363628375,45.2343614356219,-0.999999999999997)); #36501=CARTESIAN_POINT('',(50.4530363628375,45.2343614356219,0.)); #36502=CARTESIAN_POINT('Origin',(50.2870363628375,45.2343614356219,0.)); #36503=CARTESIAN_POINT('Origin',(60.2768674353694,41.9884685581172,-70.6819215938077)); #36504=CARTESIAN_POINT('',(60.1108674353694,41.9884685581172,-0.999999999999997)); #36505=CARTESIAN_POINT('Origin',(60.2768674353694,41.9884685581172,-0.999999999999997)); #36506=CARTESIAN_POINT('',(60.4428674353694,41.9884685581172,0.)); #36507=CARTESIAN_POINT('Origin',(60.2768674353694,41.9884685581172,0.)); #36508=CARTESIAN_POINT('Origin',(53.1412738121323,44.3069634706206,-70.6819215938077)); #36509=CARTESIAN_POINT('',(52.9752738121323,44.3069634706206,-0.999999999999997)); #36510=CARTESIAN_POINT('Origin',(53.1412738121323,44.3069634706206,-0.999999999999997)); #36511=CARTESIAN_POINT('',(53.3072738121323,44.3069634706206,0.)); #36512=CARTESIAN_POINT('Origin',(53.1412738121323,44.3069634706206,0.)); #36513=CARTESIAN_POINT('Origin',(57.4226299860746,42.9158665231185,-70.6819215938077)); #36514=CARTESIAN_POINT('',(57.2566299860746,42.9158665231185,-0.999999999999997)); #36515=CARTESIAN_POINT('Origin',(57.4226299860746,42.9158665231185,-0.999999999999997)); #36516=CARTESIAN_POINT('',(57.5886299860746,42.9158665231185,0.)); #36517=CARTESIAN_POINT('Origin',(57.4226299860746,42.9158665231185,0.)); #36518=CARTESIAN_POINT('Origin',(64.8233288904966,37.0008219554334,-70.6819215938077)); #36519=CARTESIAN_POINT('',(64.6573288904966,37.0008219554334,-0.999999999999997)); #36520=CARTESIAN_POINT('Origin',(64.8233288904966,37.0008219554334,-0.999999999999997)); #36521=CARTESIAN_POINT('',(64.9893288904966,37.0008219554334,0.)); #36522=CARTESIAN_POINT('Origin',(64.8233288904966,37.0008219554334,0.)); #36523=CARTESIAN_POINT('Origin',(64.4017205527525,34.0304118457129,-70.6819215938077)); #36524=CARTESIAN_POINT('',(64.2357205527525,34.0304118457129,-0.999999999999997)); #36525=CARTESIAN_POINT('Origin',(64.4017205527525,34.0304118457129,-0.999999999999997)); #36526=CARTESIAN_POINT('',(64.5677205527525,34.0304118457129,0.)); #36527=CARTESIAN_POINT('Origin',(64.4017205527525,34.0304118457129,0.)); #36528=CARTESIAN_POINT('Origin',(64.25774542056,31.0338986302403,-70.6819215938077)); #36529=CARTESIAN_POINT('',(64.09174542056,31.0338986302403,-0.999999999999997)); #36530=CARTESIAN_POINT('Origin',(64.25774542056,31.0338986302403,-0.999999999999997)); #36531=CARTESIAN_POINT('',(64.42374542056,31.0338986302403,0.)); #36532=CARTESIAN_POINT('Origin',(64.25774542056,31.0338986302403,0.)); #36533=CARTESIAN_POINT('Origin',(64.4017205527526,28.0373854147678,-70.6819215938077)); #36534=CARTESIAN_POINT('',(64.2357205527526,28.0373854147678,-0.999999999999997)); #36535=CARTESIAN_POINT('Origin',(64.4017205527526,28.0373854147678,-0.999999999999997)); #36536=CARTESIAN_POINT('',(64.5677205527526,28.0373854147678,0.)); #36537=CARTESIAN_POINT('Origin',(64.4017205527526,28.0373854147678,0.)); #36538=CARTESIAN_POINT('Origin',(64.5813784156378,26.5477629219323,-70.6819215938077)); #36539=CARTESIAN_POINT('',(64.4153784156378,26.5477629219323,-0.999999999999997)); #36540=CARTESIAN_POINT('Origin',(64.5813784156378,26.5477629219323,-0.999999999999997)); #36541=CARTESIAN_POINT('',(64.7473784156378,26.5477629219323,0.)); #36542=CARTESIAN_POINT('Origin',(64.5813784156378,26.5477629219323,0.)); #36543=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #36544=CARTESIAN_POINT('',(32.0663839571809,11.3232572474998,0.)); #36545=CARTESIAN_POINT('',(27.450371708938,13.8740331714532,0.)); #36546=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #36547=CARTESIAN_POINT('',(32.066383957181,11.3232572474998,-0.104)); #36548=CARTESIAN_POINT('',(32.0663839571809,11.3232572474998,0.104)); #36549=CARTESIAN_POINT('',(27.450371708938,13.8740331714532,-0.104)); #36550=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-0.104)); #36551=CARTESIAN_POINT('',(27.450371708938,13.8740331714532,0.104)); #36552=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302407,0.)); #36553=CARTESIAN_POINT('',(27.4503717089375,48.1937640890263,0.)); #36554=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302407,0.)); #36555=CARTESIAN_POINT('',(27.4503717089375,48.1937640890263,-0.104)); #36556=CARTESIAN_POINT('Origin',(95.5125189086574,31.0338986302407,-0.104)); #36557=CARTESIAN_POINT('',(27.4503717089375,48.1937640890263,0.104)); #36558=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #36559=CARTESIAN_POINT('',(32.0663839571798,50.7445400129793,0.)); #36560=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #36561=CARTESIAN_POINT('',(32.0663839571798,50.7445400129793,-0.104)); #36562=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-0.104)); #36563=CARTESIAN_POINT('',(32.0663839571798,50.7445400129793,0.104)); #36564=CARTESIAN_POINT('Origin',(32.0663839571798,50.7445400129793,-0.104)); #36565=CARTESIAN_POINT('',(61.9880833006497,41.0223905515284,0.)); #36566=CARTESIAN_POINT('',(29.4641338705104,51.5900623206619,1.09273919746571E-17)); #36567=CARTESIAN_POINT('',(61.9880833006497,41.0223905515284,-0.104)); #36568=CARTESIAN_POINT('',(32.0663839571798,50.7445400129793,-0.104)); #36569=CARTESIAN_POINT('',(61.9880833006497,41.0223905515284,0.104)); #36570=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #36571=CARTESIAN_POINT('',(27.6055167903141,13.9131484334974,-0.104)); #36572=CARTESIAN_POINT('',(27.6055167903141,13.9131484334974,-0.104)); #36573=CARTESIAN_POINT('',(32.016941238081,11.475426290107,-0.104)); #36574=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-0.104)); #36575=CARTESIAN_POINT('',(32.016941238081,11.475426290107,-0.104)); #36576=CARTESIAN_POINT('Origin',(61.9386405815503,21.1975757515596,0.104)); #36577=CARTESIAN_POINT('',(61.9386405815503,21.1975757515595,-0.104)); #36578=CARTESIAN_POINT('',(61.9386405815503,21.1975757515595,-0.104)); #36579=CARTESIAN_POINT('',(61.9386405815503,21.1975757515596,-0.104)); #36580=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #36581=CARTESIAN_POINT('',(64.2623695014495,25.1202044669984,-0.104)); #36582=CARTESIAN_POINT('Origin',(60.8725319509567,24.4787207327778,-0.104)); #36583=CARTESIAN_POINT('',(64.2623695014494,25.1202044669984,-0.104)); #36584=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36585=CARTESIAN_POINT('',(64.2623695014494,36.9475927934828,-0.104)); #36586=CARTESIAN_POINT('Origin',(95.5125189086572,31.0338986302408,-0.104000000000001)); #36587=CARTESIAN_POINT('',(64.2623695014494,36.9475927934828,-0.104)); #36588=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,0.)); #36589=CARTESIAN_POINT('',(64.4195793588635,36.9178428232001,0.)); #36590=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,0.)); #36591=CARTESIAN_POINT('',(64.4195793588635,36.9178428232001,-0.104)); #36592=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,-0.104)); #36593=CARTESIAN_POINT('',(64.4195793588635,36.9178428232001,0.104)); #36594=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,0.)); #36595=CARTESIAN_POINT('',(61.9386405815497,40.8702215089212,-0.104)); #36596=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,-0.104)); #36597=CARTESIAN_POINT('',(61.9386405815497,40.8702215089212,-0.104)); #36598=CARTESIAN_POINT('Origin',(32.0169412380798,50.5923709703721,0.104)); #36599=CARTESIAN_POINT('',(32.0169412380798,50.5923709703721,-0.104)); #36600=CARTESIAN_POINT('',(32.0169412380798,50.5923709703721,-0.104)); #36601=CARTESIAN_POINT('',(32.0169412380798,50.5923709703721,-0.104)); #36602=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #36603=CARTESIAN_POINT('',(27.6055167903136,48.1546488269821,-0.104)); #36604=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-0.104)); #36605=CARTESIAN_POINT('',(27.6055167903136,48.1546488269821,-0.104)); #36606=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302407,0.)); #36607=CARTESIAN_POINT('Origin',(95.5125189086573,31.0338986302408,-0.104)); #36608=CARTESIAN_POINT('Origin',(61.9880833006503,21.0454067089523,-0.104)); #36609=CARTESIAN_POINT('',(61.9880833006503,21.0454067089523,0.)); #36610=CARTESIAN_POINT('',(44.4249835422467,15.3388096705435,0.)); #36611=CARTESIAN_POINT('',(61.9880833006503,21.0454067089523,-0.104)); #36612=CARTESIAN_POINT('',(61.9880833006503,21.0454067089523,0.104)); #36613=CARTESIAN_POINT('',(61.9880833006503,21.0454067089523,-0.104)); #36614=CARTESIAN_POINT('Origin',(61.9386405815503,21.1975757515596,-0.104)); #36615=CARTESIAN_POINT('',(64.4195793588636,25.1499544372811,-0.104)); #36616=CARTESIAN_POINT('Origin',(60.8725319509567,24.4787207327778,-0.104)); #36617=CARTESIAN_POINT('Origin',(95.5125189086572,31.0338986302408,-0.104000000000001)); #36618=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #36619=CARTESIAN_POINT('',(64.4195793588636,25.1499544372811,0.)); #36620=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #36621=CARTESIAN_POINT('',(64.4195793588636,25.1499544372811,0.104)); #36622=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36623=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36624=CARTESIAN_POINT('Origin',(24.5163725729401,32.5343483886105,-0.837854218998736)); #36625=CARTESIAN_POINT('',(24.6423725729401,32.5343483886105,-0.8)); #36626=CARTESIAN_POINT('Origin',(24.5163725729401,32.5343483886105,-0.8)); #36627=CARTESIAN_POINT('Origin',(24.5163725729401,32.5343483886105,-0.4)); #36628=CARTESIAN_POINT('',(24.6423725729401,32.5343483886105,0.)); #36629=CARTESIAN_POINT('Origin',(24.5163725729401,32.5343483886105,0.)); #36630=CARTESIAN_POINT('Origin',(24.5639264870216,34.0341281862214,-0.837854218998736)); #36631=CARTESIAN_POINT('',(24.6899264870216,34.0341281862214,-0.8)); #36632=CARTESIAN_POINT('Origin',(24.5639264870216,34.0341281862214,-0.8)); #36633=CARTESIAN_POINT('Origin',(24.5639264870216,34.0341281862214,-0.4)); #36634=CARTESIAN_POINT('',(24.6899264870216,34.0341281862214,0.)); #36635=CARTESIAN_POINT('Origin',(24.5639264870216,34.0341281862214,0.)); #36636=CARTESIAN_POINT('Origin',(24.6431594177643,35.5325683614544,-0.837854218998736)); #36637=CARTESIAN_POINT('',(24.7691594177643,35.5325683614544,-0.8)); #36638=CARTESIAN_POINT('Origin',(24.6431594177643,35.5325683614544,-0.8)); #36639=CARTESIAN_POINT('Origin',(24.6431594177643,35.5325683614544,-0.4)); #36640=CARTESIAN_POINT('',(24.7691594177643,35.5325683614544,0.)); #36641=CARTESIAN_POINT('Origin',(24.6431594177643,35.5325683614544,0.)); #36642=CARTESIAN_POINT('Origin',(24.7540359871396,37.0289998508416,-0.837854218998736)); #36643=CARTESIAN_POINT('',(24.8800359871396,37.0289998508416,-0.8)); #36644=CARTESIAN_POINT('Origin',(24.7540359871396,37.0289998508416,-0.8)); #36645=CARTESIAN_POINT('Origin',(24.7540359871396,37.0289998508416,-0.4)); #36646=CARTESIAN_POINT('',(24.8800359871396,37.0289998508416,0.)); #36647=CARTESIAN_POINT('Origin',(24.7540359871396,37.0289998508416,0.)); #36648=CARTESIAN_POINT('Origin',(24.8965066880245,38.522754487807,-0.837854218998736)); #36649=CARTESIAN_POINT('',(25.0225066880245,38.522754487807,-0.8)); #36650=CARTESIAN_POINT('Origin',(24.8965066880245,38.522754487807,-0.8)); #36651=CARTESIAN_POINT('Origin',(24.8965066880245,38.522754487807,-0.4)); #36652=CARTESIAN_POINT('',(25.0225066880245,38.522754487807,0.)); #36653=CARTESIAN_POINT('Origin',(24.8965066880245,38.522754487807,0.)); #36654=CARTESIAN_POINT('Origin',(25.070507906307,40.0131653010067,-0.837854218998736)); #36655=CARTESIAN_POINT('',(25.196507906307,40.0131653010067,-0.8)); #36656=CARTESIAN_POINT('Origin',(25.070507906307,40.0131653010067,-0.8)); #36657=CARTESIAN_POINT('Origin',(25.070507906307,40.0131653010067,-0.4)); #36658=CARTESIAN_POINT('',(25.196507906307,40.0131653010067,0.)); #36659=CARTESIAN_POINT('Origin',(25.070507906307,40.0131653010067,0.)); #36660=CARTESIAN_POINT('Origin',(25.2759619492901,41.4995668121363,-0.837854218998736)); #36661=CARTESIAN_POINT('',(25.4019619492901,41.4995668121363,-0.8)); #36662=CARTESIAN_POINT('Origin',(25.2759619492901,41.4995668121363,-0.8)); #36663=CARTESIAN_POINT('Origin',(25.2759619492901,41.4995668121363,-0.4)); #36664=CARTESIAN_POINT('',(25.4019619492901,41.4995668121363,0.)); #36665=CARTESIAN_POINT('Origin',(25.2759619492901,41.4995668121363,0.)); #36666=CARTESIAN_POINT('Origin',(25.5127770803822,42.9812953330712,-0.837854218998736)); #36667=CARTESIAN_POINT('',(25.6387770803822,42.9812953330712,-0.8)); #36668=CARTESIAN_POINT('Origin',(25.5127770803822,42.9812953330712,-0.8)); #36669=CARTESIAN_POINT('Origin',(25.5127770803822,42.9812953330712,-0.4)); #36670=CARTESIAN_POINT('',(25.6387770803822,42.9812953330712,0.)); #36671=CARTESIAN_POINT('Origin',(25.5127770803822,42.9812953330712,0.)); #36672=CARTESIAN_POINT('Origin',(25.780847560058,44.4576892622082,-0.837854218998736)); #36673=CARTESIAN_POINT('',(25.906847560058,44.4576892622082,-0.8)); #36674=CARTESIAN_POINT('Origin',(25.780847560058,44.4576892622082,-0.8)); #36675=CARTESIAN_POINT('Origin',(25.780847560058,44.4576892622082,-0.4)); #36676=CARTESIAN_POINT('',(25.906847560058,44.4576892622082,0.)); #36677=CARTESIAN_POINT('Origin',(25.780847560058,44.4576892622082,0.)); #36678=CARTESIAN_POINT('Origin',(26.0800536930718,45.9280893798746,-0.837854218998736)); #36679=CARTESIAN_POINT('',(26.2060536930718,45.9280893798746,-0.8)); #36680=CARTESIAN_POINT('Origin',(26.0800536930718,45.9280893798746,-0.8)); #36681=CARTESIAN_POINT('Origin',(26.0800536930718,45.9280893798746,-0.4)); #36682=CARTESIAN_POINT('',(26.2060536930718,45.9280893798746,0.)); #36683=CARTESIAN_POINT('Origin',(26.0800536930718,45.9280893798746,0.)); #36684=CARTESIAN_POINT('Origin',(26.4102618819027,47.391839142674,-0.837854218998736)); #36685=CARTESIAN_POINT('',(26.5362618819027,47.391839142674,-0.8)); #36686=CARTESIAN_POINT('Origin',(26.4102618819027,47.391839142674,-0.8)); #36687=CARTESIAN_POINT('Origin',(26.4102618819027,47.391839142674,-0.4)); #36688=CARTESIAN_POINT('',(26.5362618819027,47.391839142674,0.)); #36689=CARTESIAN_POINT('Origin',(26.4102618819027,47.391839142674,0.)); #36690=CARTESIAN_POINT('Origin',(26.8087103342637,48.8369110945303,-0.837854218998736)); #36691=CARTESIAN_POINT('',(26.9347103342637,48.8369110945303,-0.8)); #36692=CARTESIAN_POINT('Origin',(26.8087103342637,48.8369110945303,-0.8)); #36693=CARTESIAN_POINT('Origin',(26.8087103342637,48.8369110945303,-0.4)); #36694=CARTESIAN_POINT('',(26.9347103342637,48.8369110945303,0.)); #36695=CARTESIAN_POINT('Origin',(26.8087103342637,48.8369110945303,0.)); #36696=CARTESIAN_POINT('Origin',(27.6124785638105,50.0942811804692,-0.837854218998736)); #36697=CARTESIAN_POINT('',(27.7384785638105,50.0942811804692,-0.8)); #36698=CARTESIAN_POINT('Origin',(27.6124785638105,50.0942811804692,-0.8)); #36699=CARTESIAN_POINT('Origin',(27.6124785638105,50.0942811804692,-0.4)); #36700=CARTESIAN_POINT('',(27.7384785638105,50.0942811804692,0.)); #36701=CARTESIAN_POINT('Origin',(27.6124785638105,50.0942811804692,0.)); #36702=CARTESIAN_POINT('Origin',(28.810632745462,50.9839156317098,-0.837854218998736)); #36703=CARTESIAN_POINT('',(28.936632745462,50.9839156317098,-0.8)); #36704=CARTESIAN_POINT('Origin',(28.810632745462,50.9839156317098,-0.8)); #36705=CARTESIAN_POINT('Origin',(28.810632745462,50.9839156317098,-0.4)); #36706=CARTESIAN_POINT('',(28.936632745462,50.9839156317098,0.)); #36707=CARTESIAN_POINT('Origin',(28.810632745462,50.9839156317098,0.)); #36708=CARTESIAN_POINT('Origin',(30.2467366686475,51.3896599128204,-0.837854218998736)); #36709=CARTESIAN_POINT('',(30.3727366686475,51.3896599128204,-0.8)); #36710=CARTESIAN_POINT('Origin',(30.2467366686475,51.3896599128204,-0.8)); #36711=CARTESIAN_POINT('Origin',(30.2467366686475,51.3896599128204,-0.4)); #36712=CARTESIAN_POINT('',(30.3727366686475,51.3896599128204,0.)); #36713=CARTESIAN_POINT('Origin',(30.2467366686475,51.3896599128204,0.)); #36714=CARTESIAN_POINT('Origin',(64.1198802510606,39.8508187685549,-0.837854218998736)); #36715=CARTESIAN_POINT('',(64.2458802510606,39.8508187685549,-0.8)); #36716=CARTESIAN_POINT('Origin',(64.1198802510606,39.8508187685549,-0.8)); #36717=CARTESIAN_POINT('Origin',(64.1198802510606,39.8508187685549,-0.4)); #36718=CARTESIAN_POINT('',(64.2458802510606,39.8508187685549,0.)); #36719=CARTESIAN_POINT('Origin',(64.1198802510606,39.8508187685549,0.)); #36720=CARTESIAN_POINT('Origin',(64.7333643125895,38.4904290427436,-0.837854218998736)); #36721=CARTESIAN_POINT('',(64.8593643125895,38.4904290427436,-0.8)); #36722=CARTESIAN_POINT('Origin',(64.7333643125895,38.4904290427436,-0.8)); #36723=CARTESIAN_POINT('Origin',(64.7333643125895,38.4904290427436,-0.4)); #36724=CARTESIAN_POINT('',(64.8593643125895,38.4904290427436,0.)); #36725=CARTESIAN_POINT('Origin',(64.7333643125895,38.4904290427436,0.)); #36726=CARTESIAN_POINT('Origin',(64.7333643125897,23.5773682177371,-0.837854218998736)); #36727=CARTESIAN_POINT('',(64.8593643125897,23.5773682177371,-0.8)); #36728=CARTESIAN_POINT('Origin',(64.7333643125897,23.5773682177371,-0.8)); #36729=CARTESIAN_POINT('Origin',(64.7333643125897,23.5773682177371,-0.4)); #36730=CARTESIAN_POINT('',(64.8593643125897,23.5773682177371,0.)); #36731=CARTESIAN_POINT('Origin',(64.7333643125897,23.5773682177371,0.)); #36732=CARTESIAN_POINT('Origin',(64.1198802510607,22.2169784919258,-0.837854218998736)); #36733=CARTESIAN_POINT('',(64.2458802510608,22.2169784919258,-0.8)); #36734=CARTESIAN_POINT('Origin',(64.1198802510607,22.2169784919258,-0.8)); #36735=CARTESIAN_POINT('Origin',(64.1198802510607,22.2169784919258,-0.4)); #36736=CARTESIAN_POINT('',(64.2458802510608,22.2169784919258,0.)); #36737=CARTESIAN_POINT('Origin',(64.1198802510607,22.2169784919258,0.)); #36738=CARTESIAN_POINT('Origin',(30.2467366686481,10.678137347659,-0.837854218998736)); #36739=CARTESIAN_POINT('',(30.3727366686481,10.678137347659,-0.8)); #36740=CARTESIAN_POINT('Origin',(30.2467366686481,10.678137347659,-0.8)); #36741=CARTESIAN_POINT('Origin',(30.2467366686481,10.678137347659,-0.4)); #36742=CARTESIAN_POINT('',(30.3727366686481,10.678137347659,0.)); #36743=CARTESIAN_POINT('Origin',(30.2467366686481,10.678137347659,0.)); #36744=CARTESIAN_POINT('Origin',(28.8106327454626,11.0838816287696,-0.837854218998736)); #36745=CARTESIAN_POINT('',(28.9366327454626,11.0838816287696,-0.8)); #36746=CARTESIAN_POINT('Origin',(28.8106327454626,11.0838816287696,-0.8)); #36747=CARTESIAN_POINT('Origin',(28.8106327454626,11.0838816287696,-0.4)); #36748=CARTESIAN_POINT('',(28.9366327454626,11.0838816287696,0.)); #36749=CARTESIAN_POINT('Origin',(28.8106327454626,11.0838816287696,0.)); #36750=CARTESIAN_POINT('Origin',(27.6124785638111,11.9735160800102,-0.837854218998736)); #36751=CARTESIAN_POINT('',(27.7384785638111,11.9735160800102,-0.8)); #36752=CARTESIAN_POINT('Origin',(27.6124785638111,11.9735160800102,-0.8)); #36753=CARTESIAN_POINT('Origin',(27.6124785638111,11.9735160800102,-0.4)); #36754=CARTESIAN_POINT('',(27.7384785638111,11.9735160800102,0.)); #36755=CARTESIAN_POINT('Origin',(27.6124785638111,11.9735160800102,0.)); #36756=CARTESIAN_POINT('Origin',(26.8087103342643,13.2308861659491,-0.837854218998736)); #36757=CARTESIAN_POINT('',(26.9347103342643,13.2308861659491,-0.8)); #36758=CARTESIAN_POINT('Origin',(26.8087103342643,13.2308861659491,-0.8)); #36759=CARTESIAN_POINT('Origin',(26.8087103342643,13.2308861659491,-0.4)); #36760=CARTESIAN_POINT('',(26.9347103342643,13.2308861659491,0.)); #36761=CARTESIAN_POINT('Origin',(26.8087103342643,13.2308861659491,0.)); #36762=CARTESIAN_POINT('Origin',(26.4102618819032,14.6759581178054,-0.837854218998736)); #36763=CARTESIAN_POINT('',(26.5362618819032,14.6759581178054,-0.8)); #36764=CARTESIAN_POINT('Origin',(26.4102618819032,14.6759581178054,-0.8)); #36765=CARTESIAN_POINT('Origin',(26.4102618819032,14.6759581178054,-0.4)); #36766=CARTESIAN_POINT('',(26.5362618819032,14.6759581178054,0.)); #36767=CARTESIAN_POINT('Origin',(26.4102618819032,14.6759581178054,0.)); #36768=CARTESIAN_POINT('Origin',(26.0800536930723,16.1397078806048,-0.837854218998736)); #36769=CARTESIAN_POINT('',(26.2060536930723,16.1397078806048,-0.8)); #36770=CARTESIAN_POINT('Origin',(26.0800536930723,16.1397078806048,-0.8)); #36771=CARTESIAN_POINT('Origin',(26.0800536930723,16.1397078806048,-0.4)); #36772=CARTESIAN_POINT('',(26.2060536930723,16.1397078806048,0.)); #36773=CARTESIAN_POINT('Origin',(26.0800536930723,16.1397078806048,0.)); #36774=CARTESIAN_POINT('Origin',(25.7808475600584,17.6101079982711,-0.837854218998736)); #36775=CARTESIAN_POINT('',(25.9068475600584,17.6101079982711,-0.8)); #36776=CARTESIAN_POINT('Origin',(25.7808475600584,17.6101079982711,-0.8)); #36777=CARTESIAN_POINT('Origin',(25.7808475600584,17.6101079982711,-0.4)); #36778=CARTESIAN_POINT('',(25.9068475600584,17.6101079982711,0.)); #36779=CARTESIAN_POINT('Origin',(25.7808475600584,17.6101079982711,0.)); #36780=CARTESIAN_POINT('Origin',(25.5127770803826,19.0865019274081,-0.837854218998736)); #36781=CARTESIAN_POINT('',(25.6387770803826,19.0865019274081,-0.8)); #36782=CARTESIAN_POINT('Origin',(25.5127770803826,19.0865019274081,-0.8)); #36783=CARTESIAN_POINT('Origin',(25.5127770803826,19.0865019274081,-0.4)); #36784=CARTESIAN_POINT('',(25.6387770803826,19.0865019274081,0.)); #36785=CARTESIAN_POINT('Origin',(25.5127770803826,19.0865019274081,0.)); #36786=CARTESIAN_POINT('Origin',(25.2759619492905,20.5682304483431,-0.837854218998736)); #36787=CARTESIAN_POINT('',(25.4019619492905,20.5682304483431,-0.8)); #36788=CARTESIAN_POINT('Origin',(25.2759619492905,20.5682304483431,-0.8)); #36789=CARTESIAN_POINT('Origin',(25.2759619492905,20.5682304483431,-0.4)); #36790=CARTESIAN_POINT('',(25.4019619492905,20.5682304483431,0.)); #36791=CARTESIAN_POINT('Origin',(25.2759619492905,20.5682304483431,0.)); #36792=CARTESIAN_POINT('Origin',(25.0705079063073,22.0546319594726,-0.837854218998736)); #36793=CARTESIAN_POINT('',(25.1965079063073,22.0546319594726,-0.8)); #36794=CARTESIAN_POINT('Origin',(25.0705079063073,22.0546319594726,-0.8)); #36795=CARTESIAN_POINT('Origin',(25.0705079063073,22.0546319594726,-0.4)); #36796=CARTESIAN_POINT('',(25.1965079063073,22.0546319594726,0.)); #36797=CARTESIAN_POINT('Origin',(25.0705079063073,22.0546319594726,0.)); #36798=CARTESIAN_POINT('Origin',(24.8965066880247,23.5450427726723,-0.837854218998736)); #36799=CARTESIAN_POINT('',(25.0225066880247,23.5450427726723,-0.8)); #36800=CARTESIAN_POINT('Origin',(24.8965066880247,23.5450427726723,-0.8)); #36801=CARTESIAN_POINT('Origin',(24.8965066880247,23.5450427726723,-0.4)); #36802=CARTESIAN_POINT('',(25.0225066880247,23.5450427726723,0.)); #36803=CARTESIAN_POINT('Origin',(24.8965066880247,23.5450427726723,0.)); #36804=CARTESIAN_POINT('Origin',(24.7540359871398,25.0387974096377,-0.837854218998736)); #36805=CARTESIAN_POINT('',(24.8800359871398,25.0387974096377,-0.8)); #36806=CARTESIAN_POINT('Origin',(24.7540359871398,25.0387974096377,-0.8)); #36807=CARTESIAN_POINT('Origin',(24.7540359871398,25.0387974096377,-0.4)); #36808=CARTESIAN_POINT('',(24.8800359871398,25.0387974096377,0.)); #36809=CARTESIAN_POINT('Origin',(24.7540359871398,25.0387974096377,0.)); #36810=CARTESIAN_POINT('Origin',(24.6431594177644,26.535228899025,-0.837854218998736)); #36811=CARTESIAN_POINT('',(24.7691594177644,26.535228899025,-0.8)); #36812=CARTESIAN_POINT('Origin',(24.6431594177644,26.535228899025,-0.8)); #36813=CARTESIAN_POINT('Origin',(24.6431594177644,26.535228899025,-0.4)); #36814=CARTESIAN_POINT('',(24.7691594177644,26.535228899025,0.)); #36815=CARTESIAN_POINT('Origin',(24.6431594177644,26.535228899025,0.)); #36816=CARTESIAN_POINT('Origin',(24.5639264870217,28.0336690742579,-0.837854218998736)); #36817=CARTESIAN_POINT('',(24.6899264870217,28.0336690742579,-0.8)); #36818=CARTESIAN_POINT('Origin',(24.5639264870217,28.0336690742579,-0.8)); #36819=CARTESIAN_POINT('Origin',(24.5639264870217,28.0336690742579,-0.4)); #36820=CARTESIAN_POINT('',(24.6899264870217,28.0336690742579,0.)); #36821=CARTESIAN_POINT('Origin',(24.5639264870217,28.0336690742579,0.)); #36822=CARTESIAN_POINT('Origin',(24.5163725729401,29.5334488718688,-0.837854218998736)); #36823=CARTESIAN_POINT('',(24.6423725729401,29.5334488718688,-0.8)); #36824=CARTESIAN_POINT('Origin',(24.5163725729401,29.5334488718688,-0.8)); #36825=CARTESIAN_POINT('Origin',(24.5163725729401,29.5334488718688,-0.4)); #36826=CARTESIAN_POINT('',(24.6423725729401,29.5334488718688,0.)); #36827=CARTESIAN_POINT('Origin',(24.5163725729401,29.5334488718688,0.)); #36828=CARTESIAN_POINT('Origin',(24.5005189086575,31.0338986302396,-0.837854218998736)); #36829=CARTESIAN_POINT('',(24.6265189086575,31.0338986302396,-0.8)); #36830=CARTESIAN_POINT('Origin',(24.5005189086575,31.0338986302396,-0.8)); #36831=CARTESIAN_POINT('Origin',(24.5005189086575,31.0338986302396,-0.4)); #36832=CARTESIAN_POINT('',(24.6265189086575,31.0338986302396,0.)); #36833=CARTESIAN_POINT('Origin',(24.5005189086575,31.0338986302396,0.)); #36834=CARTESIAN_POINT('Origin',(8.28911353341125,1.76190547195434,-10.4727136295812)); #36835=CARTESIAN_POINT('',(17.0515629581416,22.7873198568477,6.99353086378051E-16)); #36836=CARTESIAN_POINT('',(20.4806832306175,6.65457737393461,0.)); #36837=CARTESIAN_POINT('',(18.2233189107766,17.2746415211204,0.)); #36838=CARTESIAN_POINT('',(15.8157420626192,22.6574298466985,-1.)); #36839=CARTESIAN_POINT('',(5.37764850553986,21.5603420057501,-9.44628343386855)); #36840=CARTESIAN_POINT('',(19.298873638356,6.27058416021188,-1.)); #36841=CARTESIAN_POINT('',(17.0145036668847,17.0176999091844,-1.)); #36842=CARTESIAN_POINT('',(14.9769708707902,4.86631282582623,-4.65702122902524)); #36843=CARTESIAN_POINT('Origin',(19.9080544704664,31.0338986302407,-1.)); #36844=CARTESIAN_POINT('',(15.8157420626192,39.410367413783,-1.)); #36845=CARTESIAN_POINT('',(15.8157420626192,15.5169493151204,-1.)); #36846=CARTESIAN_POINT('',(19.298873638356,55.7972131002696,-1.)); #36847=CARTESIAN_POINT('',(10.7031917916753,15.357709472878,-1.)); #36848=CARTESIAN_POINT('',(66.2344594801321,40.5469168060462,-1.)); #36849=CARTESIAN_POINT('',(22.8253563910837,54.6513893954827,-1.)); #36850=CARTESIAN_POINT('',(66.2344594801321,21.5208804544353,-1.)); #36851=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-1.)); #36852=CARTESIAN_POINT('',(21.8742998747886,7.1073908706238,-1.)); #36853=CARTESIAN_POINT('Origin',(6.74707321734794,0.,-8.33817406561806)); #36854=CARTESIAN_POINT('',(17.0515629581416,39.2804774036337,6.99353086378051E-16)); #36855=CARTESIAN_POINT('',(17.0515629581416,15.5169493151204,6.99353086378051E-16)); #36856=CARTESIAN_POINT('',(9.29379764894776,40.0958513938272,-6.27741878884042)); #36857=CARTESIAN_POINT('Origin',(3.29451175712971,-0.700270091476954,-4.16238455929422)); #36858=CARTESIAN_POINT('',(20.4806832306175,55.4132198865469,0.)); #36859=CARTESIAN_POINT('',(11.9120070355672,15.100767860942,0.)); #36860=CARTESIAN_POINT('',(20.2240097689019,55.4966181497585,-0.217186815368807)); #36861=CARTESIAN_POINT('Origin',(21.8742998747886,54.9604063898577,0.)); #36862=CARTESIAN_POINT('',(66.2344594801321,40.5469168060462,0.)); #36863=CARTESIAN_POINT('',(66.2344594801321,40.5469168060462,0.)); #36864=CARTESIAN_POINT('',(66.2344594801321,40.5469168060462,0.)); #36865=CARTESIAN_POINT('Origin',(19.9080544704664,31.0338986302407,0.)); #36866=CARTESIAN_POINT('',(66.2344594801321,21.5208804544353,0.)); #36867=CARTESIAN_POINT('',(21.8742998747886,7.1073908706238,0.)); #36868=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36869=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #36870=CARTESIAN_POINT('',(66.2344594801321,21.5208804544353,0.)); #36871=CARTESIAN_POINT('Origin',(22.8253563910837,7.41640786499873,0.)); #36872=CARTESIAN_POINT('',(0.,0.,0.)); #36873=CARTESIAN_POINT('Origin',(-2.24905919335553,-17.1936907741377,0.179006498854576)); #36874=CARTESIAN_POINT('',(-2.15105919335553,-17.2229258337615,0.182492568657483)); #36875=CARTESIAN_POINT('Origin',(-2.24905919335553,-17.2229258337615,0.182492568657483)); #36876=CARTESIAN_POINT('Origin',(-2.24905919335553,-17.5952878993014,0.226894056772421)); #36877=CARTESIAN_POINT('',(-2.15105919335553,-17.9676499648414,0.271295544887358)); #36878=CARTESIAN_POINT('Origin',(-2.24905919335553,-17.9676499648414,0.271295544887359)); #36879=CARTESIAN_POINT('Origin',(2.24905919335712,-17.1936907741377,0.179006498854453)); #36880=CARTESIAN_POINT('',(2.34705919335712,-17.2229258337615,0.182492568657359)); #36881=CARTESIAN_POINT('Origin',(2.24905919335712,-17.2229258337615,0.182492568657359)); #36882=CARTESIAN_POINT('Origin',(2.24905919335712,-17.5952878993014,0.226894056772297)); #36883=CARTESIAN_POINT('',(2.34705919335712,-17.9676499648414,0.271295544887235)); #36884=CARTESIAN_POINT('Origin',(2.24905919335712,-17.9676499648414,0.271295544887235)); #36885=CARTESIAN_POINT('Origin',(1.4999850240249,-17.094914423893,0.213770813604754)); #36886=CARTESIAN_POINT('',(1.6334850240249,-17.1347397347071,0.218519694407692)); #36887=CARTESIAN_POINT('Origin',(1.4999850240249,-17.1347397347071,0.218519694407692)); #36888=CARTESIAN_POINT('Origin',(1.4999850240249,-17.5484588136596,0.267852707802596)); #36889=CARTESIAN_POINT('',(1.6334850240249,-17.9621778926122,0.3171857211975)); #36890=CARTESIAN_POINT('Origin',(1.4999850240249,-17.9621778926122,0.3171857211975)); #36891=CARTESIAN_POINT('Origin',(-1.49998502402413,-17.094914423893,0.213770813604798)); #36892=CARTESIAN_POINT('',(-1.36648502402413,-17.1347397347071,0.218519694407737)); #36893=CARTESIAN_POINT('Origin',(-1.49998502402413,-17.1347397347071,0.218519694407737)); #36894=CARTESIAN_POINT('Origin',(-1.49998502402413,-17.5484588136596,0.26785270780264)); #36895=CARTESIAN_POINT('',(-1.36648502402413,-17.9621778926122,0.317185721197544)); #36896=CARTESIAN_POINT('Origin',(-1.49998502402413,-17.9621778926122,0.317185721197544)); #36897=CARTESIAN_POINT('Origin',(-2.99651321547215,-17.1076973873027,0.106569661998342)); #36898=CARTESIAN_POINT('',(-2.86301321547215,-17.1475226981168,0.111318542801281)); #36899=CARTESIAN_POINT('Origin',(-2.99651321547215,-17.1475226981168,0.111318542801281)); #36900=CARTESIAN_POINT('Origin',(-2.99651321547215,-17.5612417770694,0.160651556196185)); #36901=CARTESIAN_POINT('',(-2.86301321547215,-17.9749608560219,0.209984569591088)); #36902=CARTESIAN_POINT('Origin',(-2.99651321547215,-17.9749608560219,0.209984569591088)); #36903=CARTESIAN_POINT('Origin',(3.74448908029458E-13,-17.0906501603136, 0.249532002290552)); #36904=CARTESIAN_POINT('',(0.133500000000374,-17.1304754711277,0.25428088309349)); #36905=CARTESIAN_POINT('Origin',(3.74467475876978E-13,-17.1304754711277, 0.25428088309349)); #36906=CARTESIAN_POINT('Origin',(3.74660365087807E-13,-17.5441945500803, 0.303613896488394)); #36907=CARTESIAN_POINT('',(0.133500000000375,-17.9579136290329,0.352946909883298)); #36908=CARTESIAN_POINT('Origin',(3.74853254298636E-13,-17.9579136290329, 0.352946909883297)); #36909=CARTESIAN_POINT('Origin',(2.99651321547292,-17.1076973873027,0.106569661998253)); #36910=CARTESIAN_POINT('',(3.13001321547292,-17.1475226981168,0.111318542801191)); #36911=CARTESIAN_POINT('Origin',(2.99651321547292,-17.1475226981168,0.111318542801191)); #36912=CARTESIAN_POINT('Origin',(2.99651321547292,-17.5612417770694,0.160651556196095)); #36913=CARTESIAN_POINT('',(3.13001321547292,-17.974960856022,0.209984569590999)); #36914=CARTESIAN_POINT('Origin',(2.99651321547292,-17.974960856022,0.209984569590998)); #36915=CARTESIAN_POINT('Origin',(-2.00328960538227,4.10600087881187,-28.2171755699631)); #36916=CARTESIAN_POINT('',(4.14147002115023,4.02953082896549,0.247345085616457)); #36917=CARTESIAN_POINT('Origin',(4.01085880239225,4.03115625404572,0.274918941492343)); #36918=CARTESIAN_POINT('',(4.01036132278161,4.03116244505935,0.918908073757561)); #36919=CARTESIAN_POINT('Origin',(4.14097254153959,4.02953701997913,0.891334217881674)); #36920=CARTESIAN_POINT('Origin',(-1.95973632294954,7.60572988426206,-28.2171755699631)); #36921=CARTESIAN_POINT('',(4.18502330358296,7.52925983441567,0.247345085616457)); #36922=CARTESIAN_POINT('Origin',(4.05441208482498,7.5308852594959,0.274918941492343)); #36923=CARTESIAN_POINT('',(4.05391460521434,7.53089145050953,0.91890807375756)); #36924=CARTESIAN_POINT('Origin',(4.18452582397232,7.52926602542931,0.891334217881674)); #36925=CARTESIAN_POINT('Origin',(-2.046842887815,0.606271873361689,-28.2171755699631)); #36926=CARTESIAN_POINT('',(4.0979167387175,0.529801823515308,0.247345085616457)); #36927=CARTESIAN_POINT('Origin',(3.96730551995952,0.531427248595533,0.274918941492343)); #36928=CARTESIAN_POINT('',(3.96680804034888,0.531433439609166,0.918908073757561)); #36929=CARTESIAN_POINT('Origin',(4.09741925910686,0.529808014528941,0.891334217881674)); #36930=CARTESIAN_POINT('Origin',(-2.13394945268046,-6.39318613753868,-28.2171755699631)); #36931=CARTESIAN_POINT('',(4.01081017385204,-6.46965618738506,0.247345085616457)); #36932=CARTESIAN_POINT('Origin',(3.88019895509406,-6.46803076230484,0.274918941492343)); #36933=CARTESIAN_POINT('',(3.87970147548342,-6.4680245712912,0.918908073757562)); #36934=CARTESIAN_POINT('Origin',(4.0103126942414,-6.46964999637143,0.891334217881674)); #36935=CARTESIAN_POINT('Origin',(-2.22105601754592,-13.392644148439,-28.2171755699631)); #36936=CARTESIAN_POINT('',(3.92370360898658,-13.4691141982854,0.247345085616457)); #36937=CARTESIAN_POINT('Origin',(3.7930923902286,-13.4674887732052,0.274918941492343)); #36938=CARTESIAN_POINT('',(3.79259491061796,-13.4674825821916,0.918908073757562)); #36939=CARTESIAN_POINT('Origin',(3.92320612937594,-13.4691080072718,0.89133421788168)); #36940=CARTESIAN_POINT('Origin',(-2.26460929997865,-16.8923731538892,-28.2171755699631)); #36941=CARTESIAN_POINT('',(3.88015032655385,-16.9688432037356,0.247345085616457)); #36942=CARTESIAN_POINT('Origin',(3.74953910779587,-16.9672177786554,0.274918941492343)); #36943=CARTESIAN_POINT('',(3.74904162818523,-16.9672115876418,0.918908073757563)); #36944=CARTESIAN_POINT('Origin',(3.87965284694321,-16.968837012722,0.89133421788168)); #36945=CARTESIAN_POINT('Origin',(-2.17750273511319,-9.89291514298887,-28.2171755699631)); #36946=CARTESIAN_POINT('',(3.96725689141931,-9.96938519283525,0.247345085616457)); #36947=CARTESIAN_POINT('Origin',(3.83664567266133,-9.96775976775502,0.274918941492343)); #36948=CARTESIAN_POINT('',(3.83614819305069,-9.96775357674139,0.918908073757562)); #36949=CARTESIAN_POINT('Origin',(3.96675941180867,-9.96937900182161,0.891334217881674)); #36950=CARTESIAN_POINT('Origin',(-2.09039617024773,-2.8934571320885,-28.2171755699631)); #36951=CARTESIAN_POINT('',(4.05436345628477,-2.96992718193488,0.247345085616457)); #36952=CARTESIAN_POINT('Origin',(3.92375223752679,-2.96830175685465,0.274918941492343)); #36953=CARTESIAN_POINT('',(3.92325475791615,-2.96829556584102,0.918908073757561)); #36954=CARTESIAN_POINT('Origin',(4.05386597667413,-2.96992099092124,0.891334217881674)); #36955=CARTESIAN_POINT('Origin',(2.00328960538227,4.10600087881187,-28.2171755699631)); #36956=CARTESIAN_POINT('',(-4.14147002115023,4.02953082896549,0.247345085616457)); #36957=CARTESIAN_POINT('Origin',(-4.01085880239225,4.03115625404572,0.274918941492343)); #36958=CARTESIAN_POINT('',(-4.01036132278161,4.03116244505935,0.918908073757561)); #36959=CARTESIAN_POINT('Origin',(-4.14097254153959,4.02953701997913,0.891334217881674)); #36960=CARTESIAN_POINT('Origin',(2.09039617024773,-2.8934571320885,-28.2171755699631)); #36961=CARTESIAN_POINT('',(-4.05436345628477,-2.96992718193488,0.247345085616457)); #36962=CARTESIAN_POINT('Origin',(-3.92375223752679,-2.96830175685465,0.274918941492343)); #36963=CARTESIAN_POINT('',(-3.92325475791615,-2.96829556584102,0.918908073757561)); #36964=CARTESIAN_POINT('Origin',(-4.05386597667413,-2.96992099092124,0.891334217881674)); #36965=CARTESIAN_POINT('Origin',(2.17750273511319,-9.89291514298887,-28.2171755699631)); #36966=CARTESIAN_POINT('',(-3.96725689141931,-9.96938519283525,0.247345085616457)); #36967=CARTESIAN_POINT('Origin',(-3.83664567266133,-9.96775976775502,0.274918941492343)); #36968=CARTESIAN_POINT('',(-3.83614819305069,-9.96775357674139,0.918908073757562)); #36969=CARTESIAN_POINT('Origin',(-3.96675941180867,-9.96937900182161,0.891334217881674)); #36970=CARTESIAN_POINT('Origin',(2.26460929997865,-16.8923731538892,-28.2171755699631)); #36971=CARTESIAN_POINT('',(-3.88015032655385,-16.9688432037356,0.247345085616457)); #36972=CARTESIAN_POINT('Origin',(-3.74953910779587,-16.9672177786554,0.274918941492343)); #36973=CARTESIAN_POINT('',(-3.74904162818523,-16.9672115876418,0.918908073757563)); #36974=CARTESIAN_POINT('Origin',(-3.87965284694321,-16.968837012722,0.89133421788168)); #36975=CARTESIAN_POINT('Origin',(2.22105601754592,-13.392644148439,-28.2171755699631)); #36976=CARTESIAN_POINT('',(-3.92370360898658,-13.4691141982854,0.247345085616457)); #36977=CARTESIAN_POINT('Origin',(-3.7930923902286,-13.4674887732052,0.274918941492343)); #36978=CARTESIAN_POINT('',(-3.79259491061796,-13.4674825821916,0.918908073757562)); #36979=CARTESIAN_POINT('Origin',(-3.92320612937594,-13.4691080072718,0.89133421788168)); #36980=CARTESIAN_POINT('Origin',(2.13394945268046,-6.39318613753868,-28.2171755699631)); #36981=CARTESIAN_POINT('',(-4.01081017385204,-6.46965618738506,0.247345085616457)); #36982=CARTESIAN_POINT('Origin',(-3.88019895509406,-6.46803076230484,0.274918941492343)); #36983=CARTESIAN_POINT('',(-3.87970147548342,-6.4680245712912,0.918908073757562)); #36984=CARTESIAN_POINT('Origin',(-4.0103126942414,-6.46964999637143,0.891334217881674)); #36985=CARTESIAN_POINT('Origin',(2.046842887815,0.606271873361689,-28.2171755699631)); #36986=CARTESIAN_POINT('',(-4.0979167387175,0.529801823515308,0.247345085616457)); #36987=CARTESIAN_POINT('Origin',(-3.96730551995952,0.531427248595533,0.274918941492343)); #36988=CARTESIAN_POINT('',(-3.96680804034888,0.531433439609166,0.918908073757561)); #36989=CARTESIAN_POINT('Origin',(-4.09741925910686,0.529808014528941,0.891334217881674)); #36990=CARTESIAN_POINT('Origin',(1.95973632294954,7.60572988426206,-28.2171755699631)); #36991=CARTESIAN_POINT('',(-4.18502330358296,7.52925983441567,0.247345085616457)); #36992=CARTESIAN_POINT('Origin',(-4.05441208482498,7.5308852594959,0.274918941492343)); #36993=CARTESIAN_POINT('',(-4.05391460521434,7.53089145050953,0.91890807375756)); #36994=CARTESIAN_POINT('Origin',(-4.18452582397232,7.52926602542931,0.891334217881674)); #36995=CARTESIAN_POINT('Origin',(-3.36778716824836,-4.0574863224902,0.)); #36996=CARTESIAN_POINT('',(-3.27353222955035,-17.9555464229739,0.372798896271996)); #36997=CARTESIAN_POINT('',(-3.14112646700938,-18.0298106951701,-0.25)); #36998=CARTESIAN_POINT('',(-3.51201453072673,-17.8217855187,1.49455153832262)); #36999=CARTESIAN_POINT('',(-3.61807835192086,9.73049675960323,0.37279889627204)); #37000=CARTESIAN_POINT('',(-3.4464780446784,-4.05846561144677,0.372798896272016)); #37001=CARTESIAN_POINT('',(-3.4809094937183,9.2734933891927,-0.249999999999996)); #37002=CARTESIAN_POINT('Origin',(-13.4338799074332,-59.8774538105716,50.97131943587)); #37003=CARTESIAN_POINT('',(-3.2245693394613,-11.3247491083853,-0.249999999999999)); #37004=CARTESIAN_POINT('Origin',(0.,-18.2265066108073,-0.25)); #37005=CARTESIAN_POINT('',(3.14112646700938,-18.0298106951701,-0.25)); #37006=CARTESIAN_POINT('',(5.33039150054998E-15,-18.0298106951701,-0.25)); #37007=CARTESIAN_POINT('',(3.4809094937183,9.2734933891927,-0.249999999999996)); #37008=CARTESIAN_POINT('',(0.,9.2734933891927,-0.249999999999996)); #37009=CARTESIAN_POINT('',(3.2245693394613,-11.3247491083853,-0.249999999999999)); #37010=CARTESIAN_POINT('Origin',(-4.37374917014569,-4.07000527670551,0.177038986712644)); #37011=CARTESIAN_POINT('',(-4.54371695894583,9.58777588766212,0.177038986712658)); #37012=CARTESIAN_POINT('Origin',(236.959225730749,-59.8774538105716,50.97131943587)); #37013=CARTESIAN_POINT('',(-4.20065646591485,-17.9788893789355,0.177038986712624)); #37014=CARTESIAN_POINT('',(-3.88410776007484,-17.9709193764156,0.243877435753032)); #37015=CARTESIAN_POINT('',(-4.37374917014569,-4.07000527670551,0.177038986712644)); #37016=CARTESIAN_POINT('Origin',(0.,-59.8774538105716,50.97131943587)); #37017=CARTESIAN_POINT('',(-4.67940823128921,10.0343399733068,0.79345426310198)); #37018=CARTESIAN_POINT('Origin',(-14.4026222973562,-59.8774538105716,50.97131943587)); #37019=CARTESIAN_POINT('',(-3.70273464490277,10.1821229595907,0.999999999999997)); #37020=CARTESIAN_POINT('Origin',(233.908817660035,-59.8774538105716,50.97131943587)); #37021=CARTESIAN_POINT('',(3.7027346449025,10.1821229595907,0.999999999999997)); #37022=CARTESIAN_POINT('',(0.,10.1821229595907,0.999999999999997)); #37023=CARTESIAN_POINT('',(4.67940823128921,10.0343399733068,0.79345426310198)); #37024=CARTESIAN_POINT('Origin',(-233.908817660035,-59.8774538105716,50.97131943587)); #37025=CARTESIAN_POINT('',(4.54371695894583,9.58777588766212,0.177038986712658)); #37026=CARTESIAN_POINT('Origin',(14.4026222973543,-59.8774538105716,50.97131943587)); #37027=CARTESIAN_POINT('',(3.61807835192086,9.73049675960323,0.37279889627204)); #37028=CARTESIAN_POINT('Origin',(-236.959225730749,-59.8774538105716,50.97131943587)); #37029=CARTESIAN_POINT('Origin',(13.4338799074332,-59.8774538105716,50.97131943587)); #37030=CARTESIAN_POINT('Origin',(4.37374917014569,-4.07000527670551,0.177038986712644)); #37031=CARTESIAN_POINT('',(4.20065646591485,-17.9788893789355,0.177038986712624)); #37032=CARTESIAN_POINT('',(4.37374917014569,-4.07000527670551,0.177038986712644)); #37033=CARTESIAN_POINT('',(3.27353222955035,-17.9555464229739,0.372798896271996)); #37034=CARTESIAN_POINT('',(3.88410776007484,-17.9709193764156,0.243877435753032)); #37035=CARTESIAN_POINT('',(3.4464780446784,-4.05846561144677,0.372798896272016)); #37036=CARTESIAN_POINT('Origin',(3.36778716824836,-4.0574863224902,0.)); #37037=CARTESIAN_POINT('',(3.51201453072673,-17.8217855187,1.49455153832262)); #37038=CARTESIAN_POINT('Origin',(8.27452777702884E-15,-17.7476490052069, 2.11627901792331)); #37039=CARTESIAN_POINT('',(4.33170508401739,-17.9053863073249,0.793454263101977)); #37040=CARTESIAN_POINT('',(4.39092547767098,-17.8721705367311,1.0720100834564)); #37041=CARTESIAN_POINT('',(3.35349887710321,-17.8807572193194,1.)); #37042=CARTESIAN_POINT('',(1.78078727593918,-17.841159788677,1.33207402927379)); #37043=CARTESIAN_POINT('',(-3.35349887710345,-17.8807572193194,1.)); #37044=CARTESIAN_POINT('',(8.33658713005648E-15,-17.8807572193194,1.)); #37045=CARTESIAN_POINT('',(-4.33170508401739,-17.9053863073249,0.793454263101977)); #37046=CARTESIAN_POINT('',(-1.78078727593917,-17.841159788677,1.3320740292738)); #37047=CARTESIAN_POINT('',(-4.33143452599284,-17.9055380589888,0.792181635416926)); #37048=CARTESIAN_POINT('Origin',(4.28847423795471,-21.3792059012762,0.793454263101977)); #37049=CARTESIAN_POINT('',(4.28847423795471,-21.3792059012762,0.793454263101977)); #37050=CARTESIAN_POINT('Origin',(4.04425023746538,-1.68960295063812,0.896727131550988)); #37051=CARTESIAN_POINT('',(3.57546684347766,-0.044495821539417,1.)); #37052=CARTESIAN_POINT('Origin',(0.,0.,1.)); #37053=CARTESIAN_POINT('',(-3.57546684347792,-0.0444958215394236,1.)); #37054=CARTESIAN_POINT('Origin',(-4.04425023746538,-1.68960295063812,0.896727131550988)); #37055=CARTESIAN_POINT('',(-4.28847423795471,-21.3792059012762,0.793454263101977)); #37056=CARTESIAN_POINT('Origin',(-4.28847423795471,-21.3792059012762,0.793454263101977)); #37057=CARTESIAN_POINT('',(0.,0.,0.)); #37058=CARTESIAN_POINT('Origin',(1.29350057978943,-17.1870143056702,0.23499704544629)); #37059=CARTESIAN_POINT('',(1.39150057978943,-17.2162493652941,0.238483115249196)); #37060=CARTESIAN_POINT('Origin',(1.29350057978943,-17.2162493652941,0.238483115249196)); #37061=CARTESIAN_POINT('Origin',(1.29350057978943,-17.588611430834,0.282884603364134)); #37062=CARTESIAN_POINT('',(1.39150057978943,-17.960973496374,0.327286091479072)); #37063=CARTESIAN_POINT('Origin',(1.29350057978943,-17.960973496374,0.327286091479072)); #37064=CARTESIAN_POINT('Origin',(-1.52301318346155,-17.1875434544977,0.230559470068898)); #37065=CARTESIAN_POINT('',(-1.42501318346155,-17.2167785141215,0.234045539871804)); #37066=CARTESIAN_POINT('Origin',(-1.52301318346155,-17.2167785141215,0.234045539871804)); #37067=CARTESIAN_POINT('Origin',(-1.52301318346155,-17.5891405796615,0.278447027986742)); #37068=CARTESIAN_POINT('',(-1.42501318346155,-17.9615026452014,0.32284851610168)); #37069=CARTESIAN_POINT('Origin',(-1.52301318346155,-17.9615026452014,0.322848516101681)); #37070=CARTESIAN_POINT('Origin',(0.544109652203619,-17.090131880788,0.253878424964427)); #37071=CARTESIAN_POINT('',(0.677609652203619,-17.1299571916021,0.258627305767366)); #37072=CARTESIAN_POINT('Origin',(0.544109652203619,-17.1299571916021,0.258627305767366)); #37073=CARTESIAN_POINT('Origin',(0.544109652203619,-17.5436762705547,0.307960319162269)); #37074=CARTESIAN_POINT('',(0.677609652203619,-17.9573953495073,0.357293332557173)); #37075=CARTESIAN_POINT('Origin',(0.544109652203619,-17.9573953495073,0.357293332557173)); #37076=CARTESIAN_POINT('Origin',(-2.27176015688834,-17.0993702774212,0.176402903339881)); #37077=CARTESIAN_POINT('',(-2.13826015688834,-17.1391955882353,0.18115178414282)); #37078=CARTESIAN_POINT('Origin',(-2.27176015688834,-17.1391955882353,0.18115178414282)); #37079=CARTESIAN_POINT('Origin',(-2.27176015688834,-17.5529146671879,0.230484797537724)); #37080=CARTESIAN_POINT('',(-2.13826015688834,-17.9666337461404,0.279817810932628)); #37081=CARTESIAN_POINT('Origin',(-2.27176015688834,-17.9666337461404,0.279817810932628)); #37082=CARTESIAN_POINT('Origin',(2.04284283917803,-17.0985634014038,0.183169568900481)); #37083=CARTESIAN_POINT('',(2.17634283917803,-17.1383887122179,0.18791844970342)); #37084=CARTESIAN_POINT('Origin',(2.04284283917803,-17.1383887122179,0.18791844970342)); #37085=CARTESIAN_POINT('Origin',(2.04284283917803,-17.5521077911705,0.237251463098324)); #37086=CARTESIAN_POINT('',(2.17634283917803,-17.9658268701231,0.286584476493227)); #37087=CARTESIAN_POINT('Origin',(2.04284283917803,-17.9658268701231,0.286584476493228)); #37088=CARTESIAN_POINT('Origin',(-3.1262281252443,4.11761681338151,28.807866718931)); #37089=CARTESIAN_POINT('',(-2.9602281252443,4.11761681338151,1.)); #37090=CARTESIAN_POINT('Origin',(-3.1262281252443,4.11761681338151,1.)); #37091=CARTESIAN_POINT('',(-3.2922281252443,4.11761681338151,-0.249999999999999)); #37092=CARTESIAN_POINT('Origin',(-3.1262281252443,4.11761681338151,-0.249999999999996)); #37093=CARTESIAN_POINT('Origin',(-3.05156535535963,-1.88191862453309,28.807866718931)); #37094=CARTESIAN_POINT('',(-2.88556535535963,-1.88191862453309,1.)); #37095=CARTESIAN_POINT('Origin',(-3.05156535535963,-1.88191862453309,1.)); #37096=CARTESIAN_POINT('',(-3.21756535535963,-1.88191862453309,-0.25)); #37097=CARTESIAN_POINT('Origin',(-3.05156535535963,-1.88191862453309,-0.249999999999996)); #37098=CARTESIAN_POINT('Origin',(-2.97690258547495,-7.88145406244769,28.807866718931)); #37099=CARTESIAN_POINT('',(-2.81090258547495,-7.88145406244769,1.)); #37100=CARTESIAN_POINT('Origin',(-2.97690258547495,-7.88145406244769,1.)); #37101=CARTESIAN_POINT('',(-3.14290258547495,-7.88145406244769,-0.250000000000001)); #37102=CARTESIAN_POINT('Origin',(-2.97690258547495,-7.88145406244769,-0.250000000000002)); #37103=CARTESIAN_POINT('Origin',(-2.90223981559027,-13.8809895003623,28.807866718931)); #37104=CARTESIAN_POINT('',(-2.73623981559027,-13.8809895003623,1.)); #37105=CARTESIAN_POINT('Origin',(-2.90223981559027,-13.8809895003623,1.)); #37106=CARTESIAN_POINT('',(-3.06823981559027,-13.8809895003623,-0.250000000000002)); #37107=CARTESIAN_POINT('Origin',(-2.90223981559027,-13.8809895003623,-0.250000000000002)); #37108=CARTESIAN_POINT('Origin',(-2.86490843064794,-16.8807572193196,28.807866718931)); #37109=CARTESIAN_POINT('',(-2.69890843064794,-16.8807572193196,0.999999999999997)); #37110=CARTESIAN_POINT('Origin',(-2.86490843064794,-16.8807572193196,0.999999999999997)); #37111=CARTESIAN_POINT('',(-3.03090843064794,-16.8807572193196,-0.250000000000003)); #37112=CARTESIAN_POINT('Origin',(-2.86490843064794,-16.8807572193196,-0.250000000000002)); #37113=CARTESIAN_POINT('Origin',(-2.93957120053261,-10.881221781405,28.807866718931)); #37114=CARTESIAN_POINT('',(-2.77357120053261,-10.881221781405,1.)); #37115=CARTESIAN_POINT('Origin',(-2.93957120053261,-10.881221781405,1.)); #37116=CARTESIAN_POINT('',(-3.10557120053261,-10.881221781405,-0.250000000000002)); #37117=CARTESIAN_POINT('Origin',(-2.93957120053261,-10.881221781405,-0.250000000000002)); #37118=CARTESIAN_POINT('Origin',(-3.01423397041729,-4.88168634349039,28.807866718931)); #37119=CARTESIAN_POINT('',(-2.84823397041729,-4.88168634349039,1.)); #37120=CARTESIAN_POINT('Origin',(-3.01423397041729,-4.88168634349039,1.)); #37121=CARTESIAN_POINT('',(-3.18023397041729,-4.88168634349039,-0.250000000000001)); #37122=CARTESIAN_POINT('Origin',(-3.01423397041729,-4.88168634349039,-0.249999999999996)); #37123=CARTESIAN_POINT('Origin',(-3.08889674030197,1.11784909442421,28.807866718931)); #37124=CARTESIAN_POINT('',(-2.92289674030197,1.11784909442421,1.)); #37125=CARTESIAN_POINT('Origin',(-3.08889674030197,1.11784909442421,1.)); #37126=CARTESIAN_POINT('',(-3.25489674030197,1.11784909442421,-0.25)); #37127=CARTESIAN_POINT('Origin',(-3.08889674030197,1.11784909442421,-0.249999999999996)); #37128=CARTESIAN_POINT('Origin',(-3.16355951018664,7.11738453233881,28.807866718931)); #37129=CARTESIAN_POINT('',(-2.99755951018664,7.11738453233881,1.)); #37130=CARTESIAN_POINT('Origin',(-3.16355951018664,7.11738453233881,1.)); #37131=CARTESIAN_POINT('',(-3.32955951018664,7.11738453233881,-0.249999999999999)); #37132=CARTESIAN_POINT('Origin',(-3.16355951018664,7.11738453233881,-0.249999999999996)); #37133=CARTESIAN_POINT('Origin',(3.10022953139538,4.04248881544388,28.807866718931)); #37134=CARTESIAN_POINT('',(3.26622953139538,4.04248881544389,0.370000000000002)); #37135=CARTESIAN_POINT('Origin',(3.10022953139538,4.04248881544389,0.370000000000002)); #37136=CARTESIAN_POINT('',(2.93422953139538,4.04248881544389,-0.249999999999999)); #37137=CARTESIAN_POINT('Origin',(3.10022953139538,4.04248881544389,-0.249999999999996)); #37138=CARTESIAN_POINT('Origin',(3.01312296652993,-2.95696919545649,28.807866718931)); #37139=CARTESIAN_POINT('',(3.17912296652993,-2.95696919545648,0.370000000000002)); #37140=CARTESIAN_POINT('Origin',(3.01312296652993,-2.95696919545648,0.370000000000002)); #37141=CARTESIAN_POINT('',(2.84712296652993,-2.95696919545648,-0.25)); #37142=CARTESIAN_POINT('Origin',(3.01312296652993,-2.95696919545648,-0.249999999999996)); #37143=CARTESIAN_POINT('Origin',(2.92601640166448,-9.95642720635686,28.807866718931)); #37144=CARTESIAN_POINT('',(3.09201640166448,-9.95642720635685,0.370000000000002)); #37145=CARTESIAN_POINT('Origin',(2.92601640166448,-9.95642720635685,0.370000000000002)); #37146=CARTESIAN_POINT('',(2.76001640166448,-9.95642720635685,-0.250000000000001)); #37147=CARTESIAN_POINT('Origin',(2.92601640166448,-9.95642720635685,-0.250000000000002)); #37148=CARTESIAN_POINT('Origin',(2.83890983679903,-16.9558852172572,28.807866718931)); #37149=CARTESIAN_POINT('',(3.00490983679903,-16.9558852172572,0.370000000000002)); #37150=CARTESIAN_POINT('Origin',(2.83890983679903,-16.9558852172572,0.370000000000002)); #37151=CARTESIAN_POINT('',(2.67290983679903,-16.9558852172572,-0.250000000000003)); #37152=CARTESIAN_POINT('Origin',(2.83890983679903,-16.9558852172572,-0.250000000000002)); #37153=CARTESIAN_POINT('Origin',(2.88246311923175,-13.456156211807,28.807866718931)); #37154=CARTESIAN_POINT('',(3.04846311923175,-13.456156211807,0.370000000000002)); #37155=CARTESIAN_POINT('Origin',(2.88246311923175,-13.456156211807,0.370000000000002)); #37156=CARTESIAN_POINT('',(2.71646311923175,-13.456156211807,-0.250000000000002)); #37157=CARTESIAN_POINT('Origin',(2.88246311923175,-13.456156211807,-0.250000000000002)); #37158=CARTESIAN_POINT('Origin',(2.9695696840972,-6.45669820090667,28.807866718931)); #37159=CARTESIAN_POINT('',(3.1355696840972,-6.45669820090667,0.370000000000002)); #37160=CARTESIAN_POINT('Origin',(2.9695696840972,-6.45669820090667,0.370000000000002)); #37161=CARTESIAN_POINT('',(2.8035696840972,-6.45669820090667,-0.250000000000001)); #37162=CARTESIAN_POINT('Origin',(2.9695696840972,-6.45669820090667,-0.249999999999996)); #37163=CARTESIAN_POINT('Origin',(3.05667624896265,0.5427598099937,28.807866718931)); #37164=CARTESIAN_POINT('',(3.22267624896265,0.542759809993705,0.370000000000002)); #37165=CARTESIAN_POINT('Origin',(3.05667624896265,0.542759809993705,0.370000000000002)); #37166=CARTESIAN_POINT('',(2.89067624896265,0.542759809993705,-0.25)); #37167=CARTESIAN_POINT('Origin',(3.05667624896265,0.542759809993705,-0.249999999999996)); #37168=CARTESIAN_POINT('Origin',(3.1437828138281,7.54221782089406,28.807866718931)); #37169=CARTESIAN_POINT('',(3.3097828138281,7.54221782089407,0.370000000000002)); #37170=CARTESIAN_POINT('Origin',(3.1437828138281,7.54221782089407,0.370000000000002)); #37171=CARTESIAN_POINT('',(2.9777828138281,7.54221782089407,-0.249999999999998)); #37172=CARTESIAN_POINT('Origin',(3.1437828138281,7.54221782089407,-0.249999999999996)); #37173=CARTESIAN_POINT('Origin',(3.47222362822747,-4.12998434592517,0.37)); #37174=CARTESIAN_POINT('',(3.64470949111353,9.73013695044041,0.369999999999995)); #37175=CARTESIAN_POINT('',(3.63915126769661,9.2835052702451,-0.25)); #37176=CARTESIAN_POINT('Origin',(2.78010916406596,-59.7449214992645,52.0827687877782)); #37177=CARTESIAN_POINT('',(3.30016369306574,-17.9558801711482,0.37)); #37178=CARTESIAN_POINT('',(3.47222362822747,-4.12998434592517,0.37)); #37179=CARTESIAN_POINT('',(3.29924364554546,-18.0298106951701,-0.25)); #37180=CARTESIAN_POINT('',(3.30113995974862,-17.8774321498673,1.02788487012054)); #37181=CARTESIAN_POINT('',(3.47222362822747,-4.12998434592517,-0.25)); #37182=CARTESIAN_POINT('Origin',(3.46722401536254,-4.12992212695026,0.37)); #37183=CARTESIAN_POINT('',(2.69554758244033,9.61082786945738,0.370000000000002)); #37184=CARTESIAN_POINT('Origin',(247.793100274558,-65.9112958462097,0.369999999999957)); #37185=CARTESIAN_POINT('',(2.35248655709715,-17.9558801711482,0.37)); #37186=CARTESIAN_POINT('',(2.5246932381998,-4.11819255901075,0.37)); #37187=CARTESIAN_POINT('',(3.38221374554282,-17.9558801711482,0.370000000000001)); #37188=CARTESIAN_POINT('Origin',(-3.43372369959153,-0.042731862338876,-0.358360163147752)); #37189=CARTESIAN_POINT('',(-3.69115171846324,9.25137557697886,1.)); #37190=CARTESIAN_POINT('',(-3.54933231895663,8.33827637939249,-0.249999999999994)); #37191=CARTESIAN_POINT('Origin',(-8.41383590648553,-59.4631974347106,54.4453777687668)); #37192=CARTESIAN_POINT('',(-3.22118784211834,-18.0298106951701,-0.250000000000001)); #37193=CARTESIAN_POINT('',(-3.47627358826658,2.46763369494598,-0.249999999999999)); #37194=CARTESIAN_POINT('',(-3.35349887710346,-17.8807572193194,1.)); #37195=CARTESIAN_POINT('',(-3.43372369959153,-17.7903808675458,1.75791885477556)); #37196=CARTESIAN_POINT('',(-3.57546684347792,-0.0444958215394236,1.)); #37197=CARTESIAN_POINT('Origin',(0.,8.99618402187886,-0.249999999999998)); #37198=CARTESIAN_POINT('Origin',(250.730635008271,-65.985226370233,-0.25000000000868)); #37199=CARTESIAN_POINT('',(3.38471355197524,-18.0298106951701,-0.250000000000003)); #37200=CARTESIAN_POINT('Origin',(8.27452777702884E-15,-17.7476490052069, 2.11627901792331)); #37201=CARTESIAN_POINT('',(2.35342144409357,-17.8807572193194,1.)); #37202=CARTESIAN_POINT('',(2.35407647491847,-17.8281221422528,1.44141101680318)); #37203=CARTESIAN_POINT('',(8.33658713005648E-15,-17.8807572193194,1.)); #37204=CARTESIAN_POINT('Origin',(15.9163949712015,-60.0755295027345,49.310206779553)); #37205=CARTESIAN_POINT('',(2.70112519177871,10.059017307596,1.)); #37206=CARTESIAN_POINT('Origin',(244.808185948407,-65.8361728943809,1.00000000000001)); #37207=CARTESIAN_POINT('Origin',(1.83272875139716,-59.7210782644752,52.2827241616233)); #37208=CARTESIAN_POINT('Origin',(2.32907874793383,-19.8368173613465,1.)); #37209=CARTESIAN_POINT('',(2.45231150921286,-9.93443469395259,1.)); #37210=CARTESIAN_POINT('Origin',(0.,0.,1.)); #37211=CARTESIAN_POINT('',(0.,0.,0.)); #37212=CARTESIAN_POINT('Origin',(-1.29350057978781,-17.1870143056702,0.234997045446363)); #37213=CARTESIAN_POINT('',(-1.19550057978781,-17.216249365294,0.23848311524927)); #37214=CARTESIAN_POINT('Origin',(-1.29350057978781,-17.2162493652941,0.23848311524927)); #37215=CARTESIAN_POINT('Origin',(-1.29350057978781,-17.588611430834,0.282884603364207)); #37216=CARTESIAN_POINT('',(-1.19550057978781,-17.9609734963739,0.327286091479145)); #37217=CARTESIAN_POINT('Origin',(-1.29350057978781,-17.960973496374,0.327286091479146)); #37218=CARTESIAN_POINT('Origin',(1.52301318346318,-17.1875434544977,0.230559470068815)); #37219=CARTESIAN_POINT('',(1.62101318346318,-17.2167785141215,0.234045539871721)); #37220=CARTESIAN_POINT('Origin',(1.52301318346318,-17.2167785141215,0.234045539871721)); #37221=CARTESIAN_POINT('Origin',(1.52301318346318,-17.5891405796615,0.278447027986659)); #37222=CARTESIAN_POINT('',(1.62101318346318,-17.9615026452014,0.322848516101597)); #37223=CARTESIAN_POINT('Origin',(1.52301318346318,-17.9615026452014,0.322848516101597)); #37224=CARTESIAN_POINT('Origin',(-0.544109652202796,-17.090131880788,0.25387842496399)); #37225=CARTESIAN_POINT('',(-0.410609652202796,-17.1299571916021,0.258627305766929)); #37226=CARTESIAN_POINT('Origin',(-0.544109652202796,-17.1299571916021,0.258627305766929)); #37227=CARTESIAN_POINT('Origin',(-0.544109652202796,-17.5436762705547,0.307960319161833)); #37228=CARTESIAN_POINT('',(-0.410609652202795,-17.9573953495073,0.357293332556736)); #37229=CARTESIAN_POINT('Origin',(-0.544109652202796,-17.9573953495073,0.357293332556736)); #37230=CARTESIAN_POINT('Origin',(-2.04284283917723,-17.0985634014039,0.183169568900084)); #37231=CARTESIAN_POINT('',(-1.90934283917723,-17.1383887122179,0.187918449703023)); #37232=CARTESIAN_POINT('Origin',(-2.04284283917723,-17.1383887122179,0.187918449703023)); #37233=CARTESIAN_POINT('Origin',(-2.04284283917723,-17.5521077911705,0.237251463097926)); #37234=CARTESIAN_POINT('',(-1.90934283917723,-17.9658268701231,0.28658447649283)); #37235=CARTESIAN_POINT('Origin',(-2.04284283917723,-17.9658268701231,0.28658447649283)); #37236=CARTESIAN_POINT('Origin',(2.27176015688997,-17.0993702774213,0.176402903339283)); #37237=CARTESIAN_POINT('',(2.40526015688997,-17.1391955882353,0.181151784142222)); #37238=CARTESIAN_POINT('Origin',(2.27176015688997,-17.1391955882353,0.181151784142222)); #37239=CARTESIAN_POINT('Origin',(2.27176015688997,-17.5529146671879,0.230484797537125)); #37240=CARTESIAN_POINT('',(2.40526015688997,-17.9666337461405,0.279817810932029)); #37241=CARTESIAN_POINT('Origin',(2.27176015688997,-17.9666337461405,0.279817810932029)); #37242=CARTESIAN_POINT('Origin',(3.12451028928129,4.1176623627745,28.8078667183528)); #37243=CARTESIAN_POINT('',(3.29051028928129,4.1176623627745,1.)); #37244=CARTESIAN_POINT('Origin',(3.12451028928129,4.1176623627745,1.)); #37245=CARTESIAN_POINT('',(2.95851028928129,4.1176623627745,-0.25)); #37246=CARTESIAN_POINT('Origin',(3.12451028928129,4.1176623627745,-0.250000000000002)); #37247=CARTESIAN_POINT('Origin',(3.04984751939662,-1.8818730751401,28.8078667183528)); #37248=CARTESIAN_POINT('',(3.21584751939662,-1.8818730751401,1.)); #37249=CARTESIAN_POINT('Origin',(3.04984751939662,-1.8818730751401,1.)); #37250=CARTESIAN_POINT('',(2.88384751939662,-1.8818730751401,-0.25)); #37251=CARTESIAN_POINT('Origin',(3.04984751939662,-1.8818730751401,-0.250000000000002)); #37252=CARTESIAN_POINT('Origin',(2.97518474951195,-7.8814085130547,28.8078667183528)); #37253=CARTESIAN_POINT('',(3.14118474951196,-7.8814085130547,1.)); #37254=CARTESIAN_POINT('Origin',(2.97518474951196,-7.8814085130547,1.)); #37255=CARTESIAN_POINT('',(2.80918474951196,-7.8814085130547,-0.25)); #37256=CARTESIAN_POINT('Origin',(2.97518474951196,-7.8814085130547,-0.250000000000002)); #37257=CARTESIAN_POINT('Origin',(2.90052197962729,-13.8809439509693,28.8078667183528)); #37258=CARTESIAN_POINT('',(3.06652197962729,-13.8809439509693,1.)); #37259=CARTESIAN_POINT('Origin',(2.90052197962729,-13.8809439509693,1.)); #37260=CARTESIAN_POINT('',(2.73452197962729,-13.8809439509693,-0.25)); #37261=CARTESIAN_POINT('Origin',(2.90052197962729,-13.8809439509693,-0.250000000000002)); #37262=CARTESIAN_POINT('Origin',(2.86319059468495,-16.8807116699266,28.8078667183528)); #37263=CARTESIAN_POINT('',(3.02919059468496,-16.8807116699266,1.)); #37264=CARTESIAN_POINT('Origin',(2.86319059468496,-16.8807116699266,1.)); #37265=CARTESIAN_POINT('',(2.69719059468496,-16.8807116699266,-0.25)); #37266=CARTESIAN_POINT('Origin',(2.86319059468496,-16.8807116699266,-0.250000000000002)); #37267=CARTESIAN_POINT('Origin',(2.93785336456962,-10.881176232012,28.8078667183528)); #37268=CARTESIAN_POINT('',(3.10385336456962,-10.881176232012,1.)); #37269=CARTESIAN_POINT('Origin',(2.93785336456962,-10.881176232012,1.)); #37270=CARTESIAN_POINT('',(2.77185336456962,-10.881176232012,-0.25)); #37271=CARTESIAN_POINT('Origin',(2.93785336456962,-10.881176232012,-0.250000000000002)); #37272=CARTESIAN_POINT('Origin',(3.01251613445429,-4.8816407940974,28.8078667183528)); #37273=CARTESIAN_POINT('',(3.17851613445429,-4.8816407940974,1.)); #37274=CARTESIAN_POINT('Origin',(3.01251613445429,-4.8816407940974,1.)); #37275=CARTESIAN_POINT('',(2.84651613445429,-4.8816407940974,-0.25)); #37276=CARTESIAN_POINT('Origin',(3.01251613445429,-4.8816407940974,-0.250000000000002)); #37277=CARTESIAN_POINT('Origin',(3.08717890433895,1.1178946438172,28.8078667183528)); #37278=CARTESIAN_POINT('',(3.25317890433896,1.1178946438172,1.)); #37279=CARTESIAN_POINT('Origin',(3.08717890433896,1.1178946438172,1.)); #37280=CARTESIAN_POINT('',(2.92117890433896,1.1178946438172,-0.25)); #37281=CARTESIAN_POINT('Origin',(3.08717890433896,1.1178946438172,-0.250000000000002)); #37282=CARTESIAN_POINT('Origin',(3.16184167422362,7.1174300817318,28.8078667183528)); #37283=CARTESIAN_POINT('',(3.32784167422363,7.1174300817318,1.)); #37284=CARTESIAN_POINT('Origin',(3.16184167422363,7.1174300817318,1.)); #37285=CARTESIAN_POINT('',(2.99584167422363,7.1174300817318,-0.25)); #37286=CARTESIAN_POINT('Origin',(3.16184167422363,7.1174300817318,-0.250000000000002)); #37287=CARTESIAN_POINT('Origin',(-3.10022953139539,4.04248881544396,28.8078667183528)); #37288=CARTESIAN_POINT('',(-2.93422953139538,4.04248881544396,0.369999999999985)); #37289=CARTESIAN_POINT('Origin',(-3.10022953139538,4.04248881544396,0.369999999999985)); #37290=CARTESIAN_POINT('',(-3.26622953139538,4.04248881544396,-0.25)); #37291=CARTESIAN_POINT('Origin',(-3.10022953139538,4.04248881544396,-0.250000000000002)); #37292=CARTESIAN_POINT('Origin',(-3.01312296652993,-2.95696919545641,28.8078667183528)); #37293=CARTESIAN_POINT('',(-2.84712296652993,-2.95696919545641,0.369999999999985)); #37294=CARTESIAN_POINT('Origin',(-3.01312296652993,-2.95696919545641,0.369999999999985)); #37295=CARTESIAN_POINT('',(-3.17912296652993,-2.95696919545641,-0.25)); #37296=CARTESIAN_POINT('Origin',(-3.01312296652993,-2.95696919545641,-0.250000000000002)); #37297=CARTESIAN_POINT('Origin',(-2.92601640166448,-9.95642720635678,28.8078667183528)); #37298=CARTESIAN_POINT('',(-2.76001640166447,-9.95642720635678,0.369999999999985)); #37299=CARTESIAN_POINT('Origin',(-2.92601640166447,-9.95642720635678,0.369999999999985)); #37300=CARTESIAN_POINT('',(-3.09201640166447,-9.95642720635678,-0.25)); #37301=CARTESIAN_POINT('Origin',(-2.92601640166447,-9.95642720635678,-0.250000000000002)); #37302=CARTESIAN_POINT('Origin',(-2.83890983679902,-16.9558852172571,28.8078667183528)); #37303=CARTESIAN_POINT('',(-2.67290983679902,-16.9558852172571,0.369999999999985)); #37304=CARTESIAN_POINT('Origin',(-2.83890983679902,-16.9558852172571,0.369999999999985)); #37305=CARTESIAN_POINT('',(-3.00490983679902,-16.9558852172571,-0.25)); #37306=CARTESIAN_POINT('Origin',(-2.83890983679902,-16.9558852172571,-0.250000000000002)); #37307=CARTESIAN_POINT('Origin',(-2.88246311923175,-13.456156211807,28.8078667183528)); #37308=CARTESIAN_POINT('',(-2.71646311923175,-13.456156211807,0.369999999999985)); #37309=CARTESIAN_POINT('Origin',(-2.88246311923175,-13.456156211807,0.369999999999985)); #37310=CARTESIAN_POINT('',(-3.04846311923175,-13.456156211807,-0.25)); #37311=CARTESIAN_POINT('Origin',(-2.88246311923175,-13.456156211807,-0.250000000000002)); #37312=CARTESIAN_POINT('Origin',(-2.9695696840972,-6.45669820090659,28.8078667183528)); #37313=CARTESIAN_POINT('',(-2.8035696840972,-6.45669820090659,0.369999999999985)); #37314=CARTESIAN_POINT('Origin',(-2.9695696840972,-6.45669820090659,0.369999999999985)); #37315=CARTESIAN_POINT('',(-3.1355696840972,-6.45669820090659,-0.25)); #37316=CARTESIAN_POINT('Origin',(-2.9695696840972,-6.45669820090659,-0.250000000000002)); #37317=CARTESIAN_POINT('Origin',(-3.05667624896266,0.542759809993778,28.8078667183528)); #37318=CARTESIAN_POINT('',(-2.89067624896266,0.542759809993778,0.369999999999985)); #37319=CARTESIAN_POINT('Origin',(-3.05667624896266,0.542759809993778,0.369999999999985)); #37320=CARTESIAN_POINT('',(-3.22267624896266,0.542759809993778,-0.25)); #37321=CARTESIAN_POINT('Origin',(-3.05667624896266,0.542759809993778,-0.250000000000002)); #37322=CARTESIAN_POINT('Origin',(-3.14378281382812,7.54221782089415,28.8078667183528)); #37323=CARTESIAN_POINT('',(-2.97778281382811,7.54221782089415,0.369999999999985)); #37324=CARTESIAN_POINT('Origin',(-3.14378281382811,7.54221782089415,0.369999999999985)); #37325=CARTESIAN_POINT('',(-3.30978281382811,7.54221782089415,-0.25)); #37326=CARTESIAN_POINT('Origin',(-3.14378281382811,7.54221782089415,-0.250000000000002)); #37327=CARTESIAN_POINT('Origin',(3.39523140139584,-4.04452052658276,-0.25)); #37328=CARTESIAN_POINT('',(3.54933231893837,8.33827637794485,-0.250000000000011)); #37329=CARTESIAN_POINT('',(3.22118784211809,-18.0298106951701,-0.25)); #37330=CARTESIAN_POINT('',(3.42013117263785,-2.04369655242328,-0.25)); #37331=CARTESIAN_POINT('',(-3.2992436455457,-18.0298106951701,-0.25)); #37332=CARTESIAN_POINT('',(1.95227810757903,-18.0298106951701,-0.25)); #37333=CARTESIAN_POINT('',(-3.63915126769119,9.28350526978749,-0.250000000000005)); #37334=CARTESIAN_POINT('',(-3.47222362822407,-4.12998434621945,-0.25)); #37335=CARTESIAN_POINT('Origin',(-250.730634762592,-65.9852263702314,-0.250000000000036)); #37336=CARTESIAN_POINT('Origin',(8.27452777702884E-15,-17.7476490052069, 2.11627901792331)); #37337=CARTESIAN_POINT('',(3.35349887710321,-17.8807572193194,1.)); #37338=CARTESIAN_POINT('',(3.43372369959128,-17.7903808675458,1.75791885477559)); #37339=CARTESIAN_POINT('',(-2.35342144409382,-17.8807572193194,1.)); #37340=CARTESIAN_POINT('',(8.33658713005648E-15,-17.8807572193194,1.)); #37341=CARTESIAN_POINT('',(-2.3524865570974,-17.9558801711482,0.369999999999988)); #37342=CARTESIAN_POINT('',(-2.35504552938353,-17.7502536595815,2.09443573013886)); #37343=CARTESIAN_POINT('',(-3.30016369306598,-17.9558801711482,0.369999999999988)); #37344=CARTESIAN_POINT('',(-1.26234661909819,-17.9558801711482,0.369999999999988)); #37345=CARTESIAN_POINT('',(-3.30099167899132,-17.8893472671478,0.927961694793526)); #37346=CARTESIAN_POINT('Origin',(3.43372369959127,-0.0427318623388696,-0.358360163147725)); #37347=CARTESIAN_POINT('',(3.69115171844502,9.25137557553641,0.999999999999996)); #37348=CARTESIAN_POINT('Origin',(8.41383590706701,-59.4631974340553,54.4453777742621)); #37349=CARTESIAN_POINT('',(3.57546684347766,-0.044495821539417,1.)); #37350=CARTESIAN_POINT('Origin',(-15.9163949871324,-60.0755295031354,49.3102067761912)); #37351=CARTESIAN_POINT('',(-3.64470949110802,9.73013694997561,0.369999999999978)); #37352=CARTESIAN_POINT('Origin',(-2.78010916407045,-59.7449214989187,52.0827687906782)); #37353=CARTESIAN_POINT('',(-2.69554758243329,9.61082786886965,0.369999999999982)); #37354=CARTESIAN_POINT('Origin',(-247.79310003199,-65.9112958462097,0.369999999999963)); #37355=CARTESIAN_POINT('',(-2.70112519177163,10.0590173070042,1.)); #37356=CARTESIAN_POINT('Origin',(-1.832728751402,-59.7210782641044,52.2827241647325)); #37357=CARTESIAN_POINT('Origin',(-244.80818570896,-65.836172894381,1.)); #37358=CARTESIAN_POINT('Origin',(-2.5246932381964,-4.11819255930504,0.369999999999988)); #37359=CARTESIAN_POINT('',(-3.47222362822407,-4.12998434621945,0.369999999999988)); #37360=CARTESIAN_POINT('',(-2.5246932381964,-4.11819255930504,0.369999999999988)); #37361=CARTESIAN_POINT('Origin',(-3.47222362822407,-4.12998434621945,-8.74191357972564E-17)); #37362=CARTESIAN_POINT('Origin',(0.,0.,1.)); #37363=CARTESIAN_POINT('',(-2.69728801943559,9.75068088381732,1.)); #37364=CARTESIAN_POINT('Origin',(-2.81903176837902,19.5334137941933,1.)); #37365=CARTESIAN_POINT('',(0.,0.,0.)); #37366=CARTESIAN_POINT('Origin',(40.2818420751731,-1.77944217033243,-0.470000000001157)); #37367=CARTESIAN_POINT('',(40.3798420751731,-1.75000000000008,-0.470000000001157)); #37368=CARTESIAN_POINT('Origin',(40.2818420751731,-1.75000000000008,-0.470000000001157)); #37369=CARTESIAN_POINT('Origin',(40.2818420751731,-1.37500000000008,-0.470000000001157)); #37370=CARTESIAN_POINT('',(40.3798420751731,-1.00000000000008,-0.470000000001157)); #37371=CARTESIAN_POINT('Origin',(40.2818420751731,-1.00000000000008,-0.470000000001157)); #37372=CARTESIAN_POINT('Origin',(34.2795963612937,-1.77944217033243,-0.470000000001308)); #37373=CARTESIAN_POINT('',(34.3775963612937,-1.75000000000008,-0.470000000001308)); #37374=CARTESIAN_POINT('Origin',(34.2795963612937,-1.75000000000008,-0.470000000001308)); #37375=CARTESIAN_POINT('Origin',(34.2795963612937,-1.37500000000008,-0.470000000001308)); #37376=CARTESIAN_POINT('',(34.3775963612937,-1.00000000000008,-0.470000000001308)); #37377=CARTESIAN_POINT('Origin',(34.2795963612937,-1.00000000000008,-0.470000000001308)); #37378=CARTESIAN_POINT('Origin',(28.2773506474143,-1.77944217033243,-0.470000000001455)); #37379=CARTESIAN_POINT('',(28.3753506474143,-1.75000000000008,-0.470000000001455)); #37380=CARTESIAN_POINT('Origin',(28.2773506474143,-1.75000000000008,-0.470000000001455)); #37381=CARTESIAN_POINT('Origin',(28.2773506474143,-1.37500000000008,-0.470000000001455)); #37382=CARTESIAN_POINT('',(28.3753506474143,-1.00000000000008,-0.470000000001455)); #37383=CARTESIAN_POINT('Origin',(28.2773506474143,-1.00000000000008,-0.470000000001455)); #37384=CARTESIAN_POINT('Origin',(22.275104933535,-1.77944217033243,-0.470000000001603)); #37385=CARTESIAN_POINT('',(22.373104933535,-1.75000000000008,-0.470000000001603)); #37386=CARTESIAN_POINT('Origin',(22.275104933535,-1.75000000000008,-0.470000000001603)); #37387=CARTESIAN_POINT('Origin',(22.275104933535,-1.37500000000008,-0.470000000001603)); #37388=CARTESIAN_POINT('',(22.373104933535,-1.00000000000008,-0.470000000001603)); #37389=CARTESIAN_POINT('Origin',(22.275104933535,-1.00000000000008,-0.470000000001603)); #37390=CARTESIAN_POINT('Origin',(16.2728592196556,-1.77944217033243,-0.470000000001754)); #37391=CARTESIAN_POINT('',(16.3708592196556,-1.75000000000008,-0.470000000001754)); #37392=CARTESIAN_POINT('Origin',(16.2728592196556,-1.75000000000008,-0.470000000001754)); #37393=CARTESIAN_POINT('Origin',(16.2728592196556,-1.37500000000008,-0.470000000001754)); #37394=CARTESIAN_POINT('',(16.3708592196556,-1.00000000000008,-0.470000000001754)); #37395=CARTESIAN_POINT('Origin',(16.2728592196556,-1.00000000000008,-0.470000000001754)); #37396=CARTESIAN_POINT('Origin',(10.2706438629905,-1.77944217033244,-0.472045702311001)); #37397=CARTESIAN_POINT('',(10.3686438629905,-1.75000000000009,-0.472045702311001)); #37398=CARTESIAN_POINT('Origin',(10.2706438629905,-1.75000000000009,-0.472045702311001)); #37399=CARTESIAN_POINT('Origin',(10.2706438629905,-1.37500000000009,-0.472045702311001)); #37400=CARTESIAN_POINT('',(10.3686438629905,-1.00000000000009,-0.472045702311001)); #37401=CARTESIAN_POINT('Origin',(10.2706438629905,-1.00000000000009,-0.472045702311001)); #37402=CARTESIAN_POINT('Origin',(13.2717363627159,-1.77944217033243,-0.47000000000183)); #37403=CARTESIAN_POINT('',(13.3697363627159,-1.75000000000008,-0.47000000000183)); #37404=CARTESIAN_POINT('Origin',(13.2717363627159,-1.75000000000008,-0.47000000000183)); #37405=CARTESIAN_POINT('Origin',(13.2717363627159,-1.37500000000008,-0.47000000000183)); #37406=CARTESIAN_POINT('',(13.3697363627159,-1.00000000000008,-0.47000000000183)); #37407=CARTESIAN_POINT('Origin',(13.2717363627159,-1.00000000000008,-0.47000000000183)); #37408=CARTESIAN_POINT('Origin',(19.2739820765953,-1.77944217033243,-0.470000000001685)); #37409=CARTESIAN_POINT('',(19.3719820765953,-1.75000000000008,-0.470000000001685)); #37410=CARTESIAN_POINT('Origin',(19.2739820765953,-1.75000000000008,-0.470000000001685)); #37411=CARTESIAN_POINT('Origin',(19.2739820765953,-1.37500000000008,-0.470000000001685)); #37412=CARTESIAN_POINT('',(19.3719820765953,-1.00000000000008,-0.470000000001685)); #37413=CARTESIAN_POINT('Origin',(19.2739820765953,-1.00000000000008,-0.470000000001685)); #37414=CARTESIAN_POINT('Origin',(25.2762277904747,-1.77944217033243,-0.470000000001531)); #37415=CARTESIAN_POINT('',(25.3742277904747,-1.75000000000008,-0.470000000001531)); #37416=CARTESIAN_POINT('Origin',(25.2762277904747,-1.75000000000008,-0.470000000001531)); #37417=CARTESIAN_POINT('Origin',(25.2762277904747,-1.37500000000008,-0.470000000001531)); #37418=CARTESIAN_POINT('',(25.3742277904747,-1.00000000000008,-0.470000000001531)); #37419=CARTESIAN_POINT('Origin',(25.2762277904747,-1.00000000000008,-0.470000000001531)); #37420=CARTESIAN_POINT('Origin',(31.278473504354,-1.77944217033243,-0.47000000000138)); #37421=CARTESIAN_POINT('',(31.376473504354,-1.75000000000008,-0.47000000000138)); #37422=CARTESIAN_POINT('Origin',(31.278473504354,-1.75000000000008,-0.47000000000138)); #37423=CARTESIAN_POINT('Origin',(31.278473504354,-1.37500000000008,-0.47000000000138)); #37424=CARTESIAN_POINT('',(31.376473504354,-1.00000000000008,-0.47000000000138)); #37425=CARTESIAN_POINT('Origin',(31.278473504354,-1.00000000000008,-0.47000000000138)); #37426=CARTESIAN_POINT('Origin',(37.2807192182334,-1.77944217033243,-0.470000000001227)); #37427=CARTESIAN_POINT('',(37.3787192182334,-1.75000000000008,-0.470000000001227)); #37428=CARTESIAN_POINT('Origin',(37.2807192182334,-1.75000000000008,-0.470000000001227)); #37429=CARTESIAN_POINT('Origin',(37.2807192182334,-1.37500000000008,-0.470000000001227)); #37430=CARTESIAN_POINT('',(37.3787192182334,-1.00000000000008,-0.470000000001227)); #37431=CARTESIAN_POINT('Origin',(37.2807192182334,-1.00000000000008,-0.470000000001227)); #37432=CARTESIAN_POINT('Origin',(43.5163119513481,-1.77944217033243,-0.640081539293609)); #37433=CARTESIAN_POINT('',(43.477149750401,-1.78345404113014,-0.65613049532978)); #37434=CARTESIAN_POINT('',(43.4713295315881,-1.76195190988227,-0.576225857830598)); #37435=CARTESIAN_POINT('Ctrl Pts',(43.477149750401,-1.78345404113014,-0.656130495329778)); #37436=CARTESIAN_POINT('Ctrl Pts',(43.4754367535807,-1.78335517701491,-0.652384395989891)); #37437=CARTESIAN_POINT('Ctrl Pts',(43.4739586587539,-1.78302301735936,-0.648621646802842)); #37438=CARTESIAN_POINT('Ctrl Pts',(43.4690035987999,-1.78105411019202,-0.633812764735088)); #37439=CARTESIAN_POINT('Ctrl Pts',(43.4670390026369,-1.77787058646098,-0.621565020814586)); #37440=CARTESIAN_POINT('Ctrl Pts',(43.4670742039628,-1.77037357105064,-0.597899436270342)); #37441=CARTESIAN_POINT('Ctrl Pts',(43.4688239961372,-1.76611831228816,-0.586472638759633)); #37442=CARTESIAN_POINT('Ctrl Pts',(43.4713295315881,-1.76195190988227,-0.576225857830597)); #37443=CARTESIAN_POINT('Ctrl Pts',(43.4713295315881,-1.76195190988227,-0.576225857830597)); #37444=CARTESIAN_POINT('Ctrl Pts',(43.4787750828598,-1.76299520625844,-0.573104820931673)); #37445=CARTESIAN_POINT('Ctrl Pts',(43.4867724602911,-1.76406776172766,-0.571181007429497)); #37446=CARTESIAN_POINT('Ctrl Pts',(43.5042049403657,-1.76615790917231,-0.569348779942479)); #37447=CARTESIAN_POINT('Ctrl Pts',(43.5149219505375,-1.76723320250423,-0.570034488950052)); #37448=CARTESIAN_POINT('Ctrl Pts',(43.5297398011262,-1.7687317410251,-0.574422285699214)); #37449=CARTESIAN_POINT('Ctrl Pts',(43.5344733166597,-1.76922338453423,-0.576430557546667)); #37450=CARTESIAN_POINT('Ctrl Pts',(43.5431113314934,-1.77031453779871,-0.581544097880082)); #37451=CARTESIAN_POINT('Ctrl Pts',(43.547017421786,-1.77091425320319,-0.584646634419469)); #37452=CARTESIAN_POINT('Ctrl Pts',(43.5531967199003,-1.77231546633053,-0.591491469137836)); #37453=CARTESIAN_POINT('Ctrl Pts',(43.5557983053426,-1.77314532866766,-0.595397284081116)); #37454=CARTESIAN_POINT('Ctrl Pts',(43.5593465307656,-1.77516318185081,-0.603809519563544)); #37455=CARTESIAN_POINT('Ctrl Pts',(43.5602904635385,-1.7763604248217,-0.608314859870061)); #37456=CARTESIAN_POINT('Ctrl Pts',(43.5606509347604,-1.77876276603298,-0.616439470024174)); #37457=CARTESIAN_POINT('Ctrl Pts',(43.5601865924653,-1.78013519243823,-0.620682925059407)); #37458=CARTESIAN_POINT('Ctrl Pts',(43.5580124284748,-1.78301390235388,-0.628818785274362)); #37459=CARTESIAN_POINT('Ctrl Pts',(43.5563135145926,-1.78451796719487,-0.632714886177566)); #37460=CARTESIAN_POINT('Ctrl Pts',(43.5520560804144,-1.78752784524967,-0.640130730230475)); #37461=CARTESIAN_POINT('Ctrl Pts',(43.5489498015048,-1.78929543856746,-0.644196393064671)); #37462=CARTESIAN_POINT('Ctrl Pts',(43.5416455466444,-1.79243484182986,-0.651350720986086)); #37463=CARTESIAN_POINT('Ctrl Pts',(43.537442742251,-1.79379179966582,-0.654440965115338)); #37464=CARTESIAN_POINT('Ctrl Pts',(43.5276670535451,-1.79573273726206,-0.659721038598047)); #37465=CARTESIAN_POINT('Ctrl Pts',(43.5204133117903,-1.7960415492292,-0.661943523489952)); #37466=CARTESIAN_POINT('Ctrl Pts',(43.5141648538909,-1.79529236160612,-0.662600262879059)); #37467=CARTESIAN_POINT('Ctrl Pts',(43.5042736373073,-1.79410640866206,-0.663639871633929)); #37468=CARTESIAN_POINT('Ctrl Pts',(43.4943785708094,-1.79077788282776,-0.662041620486799)); #37469=CARTESIAN_POINT('Ctrl Pts',(43.4823240408164,-1.78578116047904,-0.658183538776842)); #37470=CARTESIAN_POINT('Ctrl Pts',(43.4797048141734,-1.78462853985025,-0.657210512979014)); #37471=CARTESIAN_POINT('Ctrl Pts',(43.477149750401,-1.78345404113014,-0.656130495329781)); #37472=CARTESIAN_POINT('',(43.6143119513481,-1.75000000000008,-0.640081539293609)); #37473=CARTESIAN_POINT('Origin',(43.5163119513481,-1.75000000000008,-0.640081539293609)); #37474=CARTESIAN_POINT('Origin',(43.5163119513481,-1.37500000000008,-0.640081539293609)); #37475=CARTESIAN_POINT('',(43.6143119513481,-1.00000000000008,-0.640081539293609)); #37476=CARTESIAN_POINT('Origin',(43.5163119513481,-1.00000000000008,-0.640081539293609)); #37477=CARTESIAN_POINT('Origin',(43.8676573476283,-1.94365025530695,-0.541520332293589)); #37478=CARTESIAN_POINT('Origin',(43.4563527939692,-1.89433500250729,-0.584750182867217)); #37479=CARTESIAN_POINT('',(44.2927197500144,-1.99461506871058,-0.631069435429565)); #37480=CARTESIAN_POINT('Origin',(44.2789619012873,-1.9929655081066,-0.498290481719962)); #37481=CARTESIAN_POINT('Origin',(-8.39036585225265,-36.5639004276945,-0.499999999999969)); #37482=CARTESIAN_POINT('',(-8.25686585225265,-36.6040078740146,-0.499999999999991)); #37483=CARTESIAN_POINT('Origin',(-8.39036585225265,-36.6040078740146,-0.499999999999969)); #37484=CARTESIAN_POINT('Origin',(-8.39036585225265,-37.0205078740146,-0.499999999999969)); #37485=CARTESIAN_POINT('',(-8.25686585225265,-37.4370078740146,-0.499999999999991)); #37486=CARTESIAN_POINT('Origin',(-8.39036585225265,-37.4370078740146,-0.499999999999969)); #37487=CARTESIAN_POINT('Origin',(-14.3903658522527,-36.5639004276945,-0.500000000000011)); #37488=CARTESIAN_POINT('',(-14.2568658522527,-36.6040078740146,-0.500000000000033)); #37489=CARTESIAN_POINT('Origin',(-14.3903658522527,-36.6040078740146,-0.500000000000011)); #37490=CARTESIAN_POINT('Origin',(-14.3903658522527,-37.0205078740146,-0.500000000000011)); #37491=CARTESIAN_POINT('',(-14.2568658522527,-37.4370078740146,-0.500000000000033)); #37492=CARTESIAN_POINT('Origin',(-14.3903658522527,-37.4370078740146,-0.500000000000011)); #37493=CARTESIAN_POINT('Origin',(-11.3903658522527,-36.5639004276945,-0.499999999999994)); #37494=CARTESIAN_POINT('',(-11.2568658522527,-36.6040078740146,-0.500000000000017)); #37495=CARTESIAN_POINT('Origin',(-11.3903658522527,-36.6040078740146,-0.499999999999994)); #37496=CARTESIAN_POINT('Origin',(-11.3903658522527,-37.0205078740146,-0.499999999999994)); #37497=CARTESIAN_POINT('',(-11.2568658522527,-37.4370078740146,-0.500000000000017)); #37498=CARTESIAN_POINT('Origin',(-11.3903658522527,-37.4370078740146,-0.499999999999994)); #37499=CARTESIAN_POINT('Origin',(-5.39036585225266,-36.5639004276945,-0.499999999999952)); #37500=CARTESIAN_POINT('',(-5.25686585225266,-36.6040078740146,-0.499999999999975)); #37501=CARTESIAN_POINT('Origin',(-5.39036585225266,-36.6040078740146,-0.499999999999952)); #37502=CARTESIAN_POINT('Origin',(-5.39036585225266,-37.0205078740146,-0.499999999999952)); #37503=CARTESIAN_POINT('',(-5.25686585225266,-37.4370078740146,-0.499999999999975)); #37504=CARTESIAN_POINT('Origin',(-5.39036585225266,-37.4370078740146,-0.499999999999952)); #37505=CARTESIAN_POINT('Origin',(-2.64036585225274,-30.960360235731,-0.75)); #37506=CARTESIAN_POINT('',(-2.64036585225274,-35.6870078740146,-0.5)); #37507=CARTESIAN_POINT('',(-2.64036585225274,-36.1420052695755,-0.5)); #37508=CARTESIAN_POINT('',(-2.64036585225274,-30.960360235731,-0.5)); #37509=CARTESIAN_POINT('',(-2.89036585225274,-36.1420052695755,-0.75)); #37510=CARTESIAN_POINT('Origin',(-2.64036585225274,-36.1420052695755,-0.75)); #37511=CARTESIAN_POINT('',(-2.89036585225274,-35.9370078740146,-0.75)); #37512=CARTESIAN_POINT('',(-2.89036585225274,-30.960360235731,-0.75)); #37513=CARTESIAN_POINT('Origin',(-2.64036585225274,-35.6870078740146,-0.75)); #37514=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-0.75)); #37515=CARTESIAN_POINT('',(-2.12519550744605,-36.6417750772255,-0.5)); #37516=CARTESIAN_POINT('',(-2.1176103350427,-36.8916599810504,-0.75)); #37517=CARTESIAN_POINT('Origin',(-2.12519550744605,-36.6417750772255,-0.75)); #37518=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-0.75)); #37519=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-0.5)); #37520=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-0.75)); #37521=CARTESIAN_POINT('',(39.467769392332,-25.9939983544507,-0.5)); #37522=CARTESIAN_POINT('',(39.5811890810198,-26.2167896788284,-0.75)); #37523=CARTESIAN_POINT('Origin',(39.467769392332,-25.9939983544507,-0.75)); #37524=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-0.75)); #37525=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-0.5)); #37526=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-0.75)); #37527=CARTESIAN_POINT('',(39.7373743278325,-25.6079391818461,-0.5)); #37528=CARTESIAN_POINT('',(39.9855964842705,-25.6377009199215,-0.75)); #37529=CARTESIAN_POINT('Origin',(39.7373743278325,-25.6079391818461,-0.75)); #37530=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-0.75)); #37531=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-0.5)); #37532=CARTESIAN_POINT('Origin',(39.5008158058293,-27.580910864705,-0.75)); #37533=CARTESIAN_POINT('',(40.445664220646,-19.7005810789,-0.5)); #37534=CARTESIAN_POINT('',(40.693886377084,-19.7303428169754,-0.75)); #37535=CARTESIAN_POINT('Origin',(40.445664220646,-19.7005810789,-0.75)); #37536=CARTESIAN_POINT('',(39.7490379622674,-27.6106726027804,-0.75)); #37537=CARTESIAN_POINT('',(39.5008158058293,-27.580910864705,-0.5)); #37538=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-0.75)); #37539=CARTESIAN_POINT('',(39.94921990777,-19.1410576027492,-0.5)); #37540=CARTESIAN_POINT('',(39.94921990777,-18.8910576027492,-0.75)); #37541=CARTESIAN_POINT('Origin',(39.94921990777,-19.1410576027492,-0.75)); #37542=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-0.75)); #37543=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-0.5)); #37544=CARTESIAN_POINT('Origin',(26.8184492773241,-19.1410576027492,-0.75)); #37545=CARTESIAN_POINT('',(-6.14062445282655,-19.1410576027492,-0.5)); #37546=CARTESIAN_POINT('',(-6.14062445282655,-18.8910576027492,-0.75)); #37547=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.1410576027492,-0.75)); #37548=CARTESIAN_POINT('',(26.8184492773241,-18.8910576027492,-0.75)); #37549=CARTESIAN_POINT('',(26.8184492773241,-19.1410576027492,-0.5)); #37550=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-0.75)); #37551=CARTESIAN_POINT('',(-6.61054264049287,-19.4702451415515,-0.5)); #37552=CARTESIAN_POINT('',(-6.84550173432602,-19.3848389109527,-0.75)); #37553=CARTESIAN_POINT('Origin',(-6.61054264049287,-19.4702451415515,-0.75)); #37554=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-0.75)); #37555=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-0.5)); #37556=CARTESIAN_POINT('Origin',(-6.43129186997176,-18.9771125174417,-0.75)); #37557=CARTESIAN_POINT('',(-11.6169970477985,-33.2433853751112,-0.5)); #37558=CARTESIAN_POINT('',(-11.8519561416317,-33.1579791445123,-0.75)); #37559=CARTESIAN_POINT('Origin',(-11.6169970477985,-33.2433853751112,-0.75)); #37560=CARTESIAN_POINT('',(-6.66625096380492,-18.8917062868429,-0.75)); #37561=CARTESIAN_POINT('',(-6.43129186997176,-18.9771125174417,-0.5)); #37562=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-0.75)); #37563=CARTESIAN_POINT('',(-11.6470788601322,-33.4141978363089,-0.5)); #37564=CARTESIAN_POINT('',(-11.8970788601322,-33.4141978363089,-0.75)); #37565=CARTESIAN_POINT('Origin',(-11.6470788601322,-33.4141978363089,-0.75)); #37566=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-0.75)); #37567=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-0.5)); #37568=CARTESIAN_POINT('Origin',(-11.6470788601322,-29.6329139014729,-0.75)); #37569=CARTESIAN_POINT('',(-11.6470788601322,-35.1870078740146,-0.5)); #37570=CARTESIAN_POINT('',(-11.8970788601322,-35.1870078740146,-0.75)); #37571=CARTESIAN_POINT('Origin',(-11.6470788601322,-35.1870078740146,-0.75)); #37572=CARTESIAN_POINT('',(-11.8970788601322,-29.6329139014729,-0.75)); #37573=CARTESIAN_POINT('',(-11.6470788601322,-29.6329139014729,-0.5)); #37574=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-0.75)); #37575=CARTESIAN_POINT('',(-11.1470788601322,-35.6870078740146,-0.5)); #37576=CARTESIAN_POINT('',(-11.1470788601322,-35.9370078740146,-0.75)); #37577=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.6870078740146,-0.75)); #37578=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-0.75)); #37579=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-0.5)); #37580=CARTESIAN_POINT('Origin',(0.472651676615353,-35.6870078740146,-0.75)); #37581=CARTESIAN_POINT('',(0.472651676615353,-35.9370078740146,-0.75)); #37582=CARTESIAN_POINT('',(0.472651676615353,-35.6870078740146,-0.5)); #37583=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-1.)); #37584=CARTESIAN_POINT('',(-6.84550173432602,-19.3848389109527,-1.)); #37585=CARTESIAN_POINT('',(-6.84550173432602,-19.3848389109527,-1.)); #37586=CARTESIAN_POINT('',(-6.14062445282655,-18.8910576027492,-1.)); #37587=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-1.)); #37588=CARTESIAN_POINT('',(-6.14062445282655,-18.8910576027492,-1.)); #37589=CARTESIAN_POINT('Origin',(-6.66601517100207,-18.8910576027492,-1.)); #37590=CARTESIAN_POINT('',(-11.8519561416317,-33.1579791445123,-1.)); #37591=CARTESIAN_POINT('',(-11.8519561416317,-33.1579791445123,-1.)); #37592=CARTESIAN_POINT('',(-5.28011264881803,-15.078333420096,-1.)); #37593=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-1.)); #37594=CARTESIAN_POINT('',(-11.8970788601322,-33.4141978363089,-1.)); #37595=CARTESIAN_POINT('',(-11.8970788601322,-33.4141978363089,-1.)); #37596=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-1.)); #37597=CARTESIAN_POINT('Origin',(-11.8970788601322,-33.282115205498,-1.)); #37598=CARTESIAN_POINT('',(-11.8970788601322,-35.1870078740146,-1.)); #37599=CARTESIAN_POINT('',(-11.8970788601322,-35.1870078740146,-1.)); #37600=CARTESIAN_POINT('',(-11.8970788601323,-25.2800211717562,-1.)); #37601=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-1.)); #37602=CARTESIAN_POINT('',(-11.1470788601322,-35.9370078740146,-1.)); #37603=CARTESIAN_POINT('',(-11.1470788601322,-35.9370078740146,-1.)); #37604=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-1.)); #37605=CARTESIAN_POINT('Origin',(-11.8970788601322,-35.9370078740146,-1.)); #37606=CARTESIAN_POINT('',(-2.89036585225274,-35.9370078740146,-1.)); #37607=CARTESIAN_POINT('',(-2.89036585225274,-35.9370078740146,-1.)); #37608=CARTESIAN_POINT('',(0.374529449724436,-35.9370078740146,-1.)); #37609=CARTESIAN_POINT('Origin',(-2.89036585225274,-35.9370078740146,-1.)); #37610=CARTESIAN_POINT('',(-2.89036585225274,-36.1420052695755,-1.)); #37611=CARTESIAN_POINT('',(-2.89036585225274,-36.1420052695755,-1.)); #37612=CARTESIAN_POINT('',(-2.89036585225274,-26.6074675060144,-1.)); #37613=CARTESIAN_POINT('Origin',(12.8423822133629,-25.9837125974475,-0.5)); #37614=CARTESIAN_POINT('Origin',(40.916759652042,-22.7402472624965,-0.588913061405772)); #37615=CARTESIAN_POINT('',(40.9701246443635,-22.7466457039034,-0.717529136576822)); #37616=CARTESIAN_POINT('Origin',(40.9563667956365,-22.7449961432994,-0.584750182867219)); #37617=CARTESIAN_POINT('Origin',(41.3676713492955,-22.7943113960991,-0.541520332293591)); #37618=CARTESIAN_POINT('',(41.7927337516817,-22.8452762095027,-0.631069435429567)); #37619=CARTESIAN_POINT('Origin',(41.7789759029546,-22.8436266488988,-0.498290481719964)); #37620=CARTESIAN_POINT('Origin',(41.6310413658514,-16.7829155079845,-0.58891306140577)); #37621=CARTESIAN_POINT('',(41.6844063581729,-16.7893139493914,-0.71752913657682)); #37622=CARTESIAN_POINT('Origin',(41.6706485094458,-16.7876643887874,-0.584750182867217)); #37623=CARTESIAN_POINT('Origin',(42.0819530631049,-16.8369796415871,-0.541520332293589)); #37624=CARTESIAN_POINT('',(42.507015465491,-16.8879444549907,-0.631069435429565)); #37625=CARTESIAN_POINT('Origin',(42.493257616764,-16.8862948943867,-0.498290481719962)); #37626=CARTESIAN_POINT('Origin',(42.3453230796607,-10.8255837534724,-0.588913061405771)); #37627=CARTESIAN_POINT('',(42.3986880719822,-10.8319821948793,-0.717529136576821)); #37628=CARTESIAN_POINT('Origin',(42.3849302232552,-10.8303326342754,-0.584750182867218)); #37629=CARTESIAN_POINT('Origin',(42.7962347769142,-10.879647887075,-0.54152033229359)); #37630=CARTESIAN_POINT('',(43.2212971793004,-10.9306127004786,-0.631069435429566)); #37631=CARTESIAN_POINT('Origin',(43.2075393305733,-10.9289631398747,-0.498290481719964)); #37632=CARTESIAN_POINT('Origin',(43.0596047934701,-4.86825199896037,-0.58891306140577)); #37633=CARTESIAN_POINT('',(43.1129697857916,-4.87465044036729,-0.71752913657682)); #37634=CARTESIAN_POINT('Origin',(43.0992119370645,-4.87300087976331,-0.584750182867217)); #37635=CARTESIAN_POINT('Origin',(43.5105164907236,-4.92231613256297,-0.541520332293589)); #37636=CARTESIAN_POINT('',(43.9355788931097,-4.9732809459666,-0.631069435429565)); #37637=CARTESIAN_POINT('Origin',(43.9218210443827,-4.97163138536263,-0.498290481719962)); #37638=CARTESIAN_POINT('Origin',(43.4167456503748,-1.88958612170435,-0.58891306140577)); #37639=CARTESIAN_POINT('Origin',(42.7024639365654,-7.84691787621639,-0.58891306140577)); #37640=CARTESIAN_POINT('',(42.7558289288869,-7.8533163176233,-0.71752913657682)); #37641=CARTESIAN_POINT('Origin',(42.7420710801599,-7.85166675701933,-0.584750182867217)); #37642=CARTESIAN_POINT('Origin',(43.1533756338189,-7.90098200981899,-0.541520332293589)); #37643=CARTESIAN_POINT('',(43.5784380362051,-7.95194682322262,-0.631069435429565)); #37644=CARTESIAN_POINT('Origin',(43.564680187478,-7.95029726261865,-0.498290481719962)); #37645=CARTESIAN_POINT('Origin',(41.988182222756,-13.8042496307284,-0.58891306140577)); #37646=CARTESIAN_POINT('',(42.0415472150776,-13.8106480721354,-0.717529136576821)); #37647=CARTESIAN_POINT('Origin',(42.0277893663505,-13.8089985115314,-0.584750182867217)); #37648=CARTESIAN_POINT('Origin',(42.4390939200096,-13.858313764331,-0.54152033229359)); #37649=CARTESIAN_POINT('',(42.8641563223957,-13.9092785777347,-0.631069435429565)); #37650=CARTESIAN_POINT('Origin',(42.8503984736686,-13.9076290171307,-0.498290481719963)); #37651=CARTESIAN_POINT('Origin',(41.2739005089467,-19.7615813852405,-0.58891306140577)); #37652=CARTESIAN_POINT('',(41.3272655012682,-19.7679798266474,-0.71752913657682)); #37653=CARTESIAN_POINT('Origin',(41.3135076525411,-19.7663302660434,-0.584750182867217)); #37654=CARTESIAN_POINT('Origin',(41.7248122062002,-19.8156455188431,-0.541520332293589)); #37655=CARTESIAN_POINT('',(42.1498746085864,-19.8666103322467,-0.631069435429565)); #37656=CARTESIAN_POINT('Origin',(42.1361167598593,-19.8649607716427,-0.498290481719962)); #37657=CARTESIAN_POINT('Origin',(40.5596187951373,-25.7189131397525,-0.588913061405772)); #37658=CARTESIAN_POINT('',(40.6129837874589,-25.7253115811594,-0.717529136576823)); #37659=CARTESIAN_POINT('Origin',(40.5992259387318,-25.7236620205555,-0.584750182867219)); #37660=CARTESIAN_POINT('Origin',(41.0105304923909,-25.7729772733551,-0.541520332293592)); #37661=CARTESIAN_POINT('',(41.435592894777,-25.8239420867588,-0.631069435429567)); #37662=CARTESIAN_POINT('Origin',(41.4218350460499,-25.8222925261548,-0.498290481719965)); #37663=CARTESIAN_POINT('Origin',(-14.9761027004464,-33.4370078739811,-0.408107636977959)); #37664=CARTESIAN_POINT('',(-15.0299871274725,-33.4370078739811,-0.279548989760494)); #37665=CARTESIAN_POINT('Origin',(-15.0159890576025,-33.4370078739811,-0.412313081441615)); #37666=CARTESIAN_POINT('Origin',(-15.430193133896,-33.4370078739811,-0.455984962347067)); #37667=CARTESIAN_POINT('',(-15.8583952800596,-33.4370078739811,-0.366892751571398)); #37668=CARTESIAN_POINT('Origin',(-15.8443972101896,-33.4370078739811,-0.499656843252519)); #37669=CARTESIAN_POINT('Origin',(-14.9761027004397,-27.4370078739812,-0.408107637041493)); #37670=CARTESIAN_POINT('',(-15.0299871274658,-27.4370078739812,-0.279548989824028)); #37671=CARTESIAN_POINT('Origin',(-15.0159890575958,-27.4370078739812,-0.412313081505149)); #37672=CARTESIAN_POINT('Origin',(-15.4301931338893,-27.4370078739812,-0.455984962410601)); #37673=CARTESIAN_POINT('',(-15.8583952800529,-27.4370078739812,-0.366892751634931)); #37674=CARTESIAN_POINT('Origin',(-15.8443972101829,-27.4370078739812,-0.499656843316052)); #37675=CARTESIAN_POINT('Origin',(-14.976102700433,-21.4370078739813,-0.408107637105026)); #37676=CARTESIAN_POINT('',(-15.0299871274591,-21.4370078739813,-0.279548989887562)); #37677=CARTESIAN_POINT('Origin',(-15.0159890575891,-21.4370078739813,-0.412313081568683)); #37678=CARTESIAN_POINT('Origin',(-15.4301931338826,-21.4370078739813,-0.455984962474134)); #37679=CARTESIAN_POINT('',(-15.8583952800462,-21.4370078739813,-0.366892751698465)); #37680=CARTESIAN_POINT('Origin',(-15.8443972101762,-21.4370078739813,-0.499656843379586)); #37681=CARTESIAN_POINT('Origin',(-14.9761027004263,-15.4370078739814,-0.408107637168554)); #37682=CARTESIAN_POINT('',(-15.0299871274524,-15.4370078739814,-0.279548989951089)); #37683=CARTESIAN_POINT('Origin',(-15.0159890575824,-15.4370078739814,-0.41231308163221)); #37684=CARTESIAN_POINT('Origin',(-15.4301931338759,-15.4370078739814,-0.455984962537662)); #37685=CARTESIAN_POINT('',(-15.8583952800395,-15.4370078739814,-0.366892751761992)); #37686=CARTESIAN_POINT('Origin',(-15.8443972101695,-15.4370078739814,-0.499656843443113)); #37687=CARTESIAN_POINT('Origin',(-14.976102700423,-12.4370078739815,-0.408107637200313)); #37688=CARTESIAN_POINT('',(-15.0299871274491,-12.4370078739815,-0.279548989982848)); #37689=CARTESIAN_POINT('Origin',(-15.015989057579,-12.4370078739815,-0.412313081663969)); #37690=CARTESIAN_POINT('Origin',(-15.4301931338726,-12.4370078739815,-0.45598496256942)); #37691=CARTESIAN_POINT('',(-15.8583952800362,-12.4370078739815,-0.366892751793751)); #37692=CARTESIAN_POINT('Origin',(-15.8443972101661,-12.4370078739815,-0.499656843474872)); #37693=CARTESIAN_POINT('Origin',(-14.9761027004297,-18.4370078739814,-0.408107637136785)); #37694=CARTESIAN_POINT('',(-15.0299871274558,-18.4370078739814,-0.27954898991932)); #37695=CARTESIAN_POINT('Origin',(-15.0159890575857,-18.4370078739814,-0.412313081600441)); #37696=CARTESIAN_POINT('Origin',(-15.4301931338793,-18.4370078739814,-0.455984962505892)); #37697=CARTESIAN_POINT('',(-15.8583952800429,-18.4370078739814,-0.366892751730223)); #37698=CARTESIAN_POINT('Origin',(-15.8443972101728,-18.4370078739814,-0.499656843411344)); #37699=CARTESIAN_POINT('Origin',(-14.9761027004364,-24.4370078739813,-0.408107637073258)); #37700=CARTESIAN_POINT('',(-15.0299871274625,-24.4370078739813,-0.279548989855793)); #37701=CARTESIAN_POINT('Origin',(-15.0159890575924,-24.4370078739813,-0.412313081536914)); #37702=CARTESIAN_POINT('Origin',(-15.430193133886,-24.4370078739813,-0.455984962442365)); #37703=CARTESIAN_POINT('',(-15.8583952800496,-24.4370078739813,-0.366892751666696)); #37704=CARTESIAN_POINT('Origin',(-15.8443972101795,-24.4370078739813,-0.499656843347817)); #37705=CARTESIAN_POINT('Origin',(-14.9761027004431,-30.4370078739812,-0.408107637009729)); #37706=CARTESIAN_POINT('',(-15.0299871274692,-30.4370078739812,-0.279548989792264)); #37707=CARTESIAN_POINT('Origin',(-15.0159890575991,-30.4370078739812,-0.412313081473385)); #37708=CARTESIAN_POINT('Origin',(-15.4301931338927,-30.4370078739812,-0.455984962378837)); #37709=CARTESIAN_POINT('',(-15.8583952800563,-30.4370078739812,-0.366892751603168)); #37710=CARTESIAN_POINT('Origin',(-15.8443972101862,-30.4370078739812,-0.499656843284289)); #37711=CARTESIAN_POINT('Origin',(-14.9761027004498,-36.4370078739811,-0.408107636946246)); #37712=CARTESIAN_POINT('',(-15.0299871274759,-36.4370078739811,-0.279548989728781)); #37713=CARTESIAN_POINT('Origin',(-15.0159890576058,-36.4370078739811,-0.412313081409902)); #37714=CARTESIAN_POINT('Origin',(-15.4301931338994,-36.4370078739811,-0.455984962315354)); #37715=CARTESIAN_POINT('',(-15.858395280063,-36.4370078739811,-0.366892751539685)); #37716=CARTESIAN_POINT('Origin',(-15.8443972101929,-36.4370078739811,-0.499656843220806)); #37717=CARTESIAN_POINT('Origin',(-12.374240865111,-9.13473414234137,-0.442591378018939)); #37718=CARTESIAN_POINT('',(-12.2953269230965,-9.0198581551446,-0.445228530196613)); #37719=CARTESIAN_POINT('Origin',(-12.3993316920506,-9.10355557466746,-0.445228530196613)); #37720=CARTESIAN_POINT('Origin',(-12.6598900267038,-8.77977845526312,-0.472614314702439)); #37721=CARTESIAN_POINT('',(-12.8164435924028,-8.37230391633591,-0.500000099208266)); #37722=CARTESIAN_POINT('Origin',(-12.920448361357,-8.45600133585877,-0.500000099208266)); #37723=CARTESIAN_POINT('Origin',(-8.08940019753835,-5.68652584620917,-0.442591354978125)); #37724=CARTESIAN_POINT('',(-8.01048625552378,-5.57164985901239,-0.445228507155798)); #37725=CARTESIAN_POINT('Origin',(-8.11449102447797,-5.65534727853526,-0.445228507155798)); #37726=CARTESIAN_POINT('Origin',(-8.37504935913113,-5.33157015913091,-0.472614291661625)); #37727=CARTESIAN_POINT('',(-8.5316029248301,-4.92409562020371,-0.500000076167451)); #37728=CARTESIAN_POINT('Origin',(-8.63560769378428,-5.00779303972657,-0.500000076167451)); #37729=CARTESIAN_POINT('Origin',(-3.80455952996563,-2.23831755007692,-0.442591331937305)); #37730=CARTESIAN_POINT('',(-3.72564558795107,-2.12344156288015,-0.445228484114978)); #37731=CARTESIAN_POINT('Origin',(-3.82965035690525,-2.20713898240301,-0.445228484114978)); #37732=CARTESIAN_POINT('Origin',(-4.09020869155841,-1.88336186299867,-0.472614268620805)); #37733=CARTESIAN_POINT('',(-4.24676225725738,-1.47588732407146,-0.500000053126631)); #37734=CARTESIAN_POINT('Origin',(-4.35076702621157,-1.55958474359433,-0.500000053126632)); #37735=CARTESIAN_POINT('Origin',(-5.94697986375198,-3.96242169814303,-0.442591343457713)); #37736=CARTESIAN_POINT('',(-5.86806592173741,-3.84754571094626,-0.445228495635387)); #37737=CARTESIAN_POINT('Origin',(-5.9720706906916,-3.93124313046913,-0.445228495635387)); #37738=CARTESIAN_POINT('Origin',(-6.23262902534476,-3.60746601106478,-0.472614280141213)); #37739=CARTESIAN_POINT('',(-6.38918259104373,-3.19999147213758,-0.50000006464704)); #37740=CARTESIAN_POINT('Origin',(-6.49318735999791,-3.28368889166044,-0.50000006464704)); #37741=CARTESIAN_POINT('Origin',(-10.2318205313247,-7.41062999427526,-0.442591366498534)); #37742=CARTESIAN_POINT('',(-10.1529065893101,-7.29575400707849,-0.445228518676207)); #37743=CARTESIAN_POINT('Origin',(-10.2569113582643,-7.37945142660135,-0.445228518676207)); #37744=CARTESIAN_POINT('Origin',(-10.5174696929175,-7.05567430719701,-0.472614303182034)); #37745=CARTESIAN_POINT('',(-10.6740232586164,-6.6481997682698,-0.50000008768786)); #37746=CARTESIAN_POINT('Origin',(-10.7780280275706,-6.73189718779266,-0.50000008768786)); #37747=CARTESIAN_POINT('Origin',(-14.5166611988974,-10.8588382904075,-0.442591389539348)); #37748=CARTESIAN_POINT('',(-14.4377472568828,-10.7439623032107,-0.445228541717022)); #37749=CARTESIAN_POINT('Origin',(-14.541752025837,-10.8276597227336,-0.445228541717022)); #37750=CARTESIAN_POINT('Origin',(-14.8023103604902,-10.5038826033292,-0.472614326222848)); #37751=CARTESIAN_POINT('',(-14.9588639261891,-10.096408064402,-0.500000110728675)); #37752=CARTESIAN_POINT('Origin',(-15.0628686951433,-10.1801054839249,-0.500000110728675)); #37753=CARTESIAN_POINT('Origin',(41.0321227894076,-1.87310744632017,-0.470000000001271)); #37754=CARTESIAN_POINT('',(41.1656227894076,-1.83300000000008,-0.470000000001271)); #37755=CARTESIAN_POINT('Origin',(41.0321227894076,-1.83300000000008,-0.470000000001271)); #37756=CARTESIAN_POINT('Origin',(41.0321227894076,-1.41650000000008,-0.470000000001271)); #37757=CARTESIAN_POINT('',(41.1656227894076,-1.00000000000008,-0.470000000001271)); #37758=CARTESIAN_POINT('Origin',(41.0321227894076,-1.00000000000008,-0.470000000001271)); #37759=CARTESIAN_POINT('Origin',(38.0309999324679,-1.87310744632017,-0.470000000001352)); #37760=CARTESIAN_POINT('',(38.1644999324679,-1.83300000000008,-0.470000000001352)); #37761=CARTESIAN_POINT('Origin',(38.0309999324679,-1.83300000000008,-0.470000000001352)); #37762=CARTESIAN_POINT('Origin',(38.0309999324679,-1.41650000000008,-0.470000000001352)); #37763=CARTESIAN_POINT('',(38.1644999324679,-1.00000000000008,-0.470000000001352)); #37764=CARTESIAN_POINT('Origin',(38.0309999324679,-1.00000000000008,-0.470000000001352)); #37765=CARTESIAN_POINT('Origin',(35.0298770755282,-1.87310744632017,-0.47000000000144)); #37766=CARTESIAN_POINT('',(35.1633770755282,-1.83300000000008,-0.47000000000144)); #37767=CARTESIAN_POINT('Origin',(35.0298770755282,-1.83300000000008,-0.47000000000144)); #37768=CARTESIAN_POINT('Origin',(35.0298770755282,-1.41650000000008,-0.47000000000144)); #37769=CARTESIAN_POINT('',(35.1633770755282,-1.00000000000008,-0.47000000000144)); #37770=CARTESIAN_POINT('Origin',(35.0298770755282,-1.00000000000008,-0.47000000000144)); #37771=CARTESIAN_POINT('Origin',(32.0287542185886,-1.87310744632017,-0.470000000001519)); #37772=CARTESIAN_POINT('',(32.1622542185886,-1.83300000000008,-0.470000000001519)); #37773=CARTESIAN_POINT('Origin',(32.0287542185886,-1.83300000000008,-0.470000000001519)); #37774=CARTESIAN_POINT('Origin',(32.0287542185886,-1.41650000000008,-0.470000000001519)); #37775=CARTESIAN_POINT('',(32.1622542185886,-1.00000000000008,-0.470000000001519)); #37776=CARTESIAN_POINT('Origin',(32.0287542185886,-1.00000000000008,-0.470000000001519)); #37777=CARTESIAN_POINT('Origin',(29.0276313616489,-1.87310744632017,-0.470000000001603)); #37778=CARTESIAN_POINT('',(29.1611313616489,-1.83300000000008,-0.470000000001603)); #37779=CARTESIAN_POINT('Origin',(29.0276313616489,-1.83300000000008,-0.470000000001603)); #37780=CARTESIAN_POINT('Origin',(29.0276313616489,-1.41650000000008,-0.470000000001603)); #37781=CARTESIAN_POINT('',(29.1611313616489,-1.00000000000008,-0.470000000001603)); #37782=CARTESIAN_POINT('Origin',(29.0276313616489,-1.00000000000008,-0.470000000001603)); #37783=CARTESIAN_POINT('Origin',(26.0265085047092,-1.87310744632017,-0.47000000000169)); #37784=CARTESIAN_POINT('',(26.1600085047092,-1.83300000000008,-0.47000000000169)); #37785=CARTESIAN_POINT('Origin',(26.0265085047092,-1.83300000000008,-0.47000000000169)); #37786=CARTESIAN_POINT('Origin',(26.0265085047092,-1.41650000000008,-0.47000000000169)); #37787=CARTESIAN_POINT('',(26.1600085047092,-1.00000000000008,-0.47000000000169)); #37788=CARTESIAN_POINT('Origin',(26.0265085047092,-1.00000000000008,-0.47000000000169)); #37789=CARTESIAN_POINT('Origin',(23.0253856477695,-1.87310744632017,-0.470000000001774)); #37790=CARTESIAN_POINT('',(23.1588856477695,-1.83300000000008,-0.470000000001774)); #37791=CARTESIAN_POINT('Origin',(23.0253856477695,-1.83300000000008,-0.470000000001774)); #37792=CARTESIAN_POINT('Origin',(23.0253856477695,-1.41650000000008,-0.470000000001774)); #37793=CARTESIAN_POINT('',(23.1588856477695,-1.00000000000008,-0.470000000001774)); #37794=CARTESIAN_POINT('Origin',(23.0253856477695,-1.00000000000008,-0.470000000001774)); #37795=CARTESIAN_POINT('Origin',(20.0242627908298,-1.87310744632017,-0.470000000001864)); #37796=CARTESIAN_POINT('',(20.1577627908298,-1.83300000000008,-0.470000000001864)); #37797=CARTESIAN_POINT('Origin',(20.0242627908298,-1.83300000000008,-0.470000000001864)); #37798=CARTESIAN_POINT('Origin',(20.0242627908298,-1.41650000000008,-0.470000000001864)); #37799=CARTESIAN_POINT('',(20.1577627908298,-1.00000000000008,-0.470000000001864)); #37800=CARTESIAN_POINT('Origin',(20.0242627908298,-1.00000000000008,-0.470000000001864)); #37801=CARTESIAN_POINT('Origin',(17.0231399338901,-1.87310744632017,-0.470000000001947)); #37802=CARTESIAN_POINT('',(17.1566399338902,-1.83300000000008,-0.470000000001947)); #37803=CARTESIAN_POINT('Origin',(17.0231399338901,-1.83300000000008,-0.470000000001947)); #37804=CARTESIAN_POINT('Origin',(17.0231399338901,-1.41650000000008,-0.470000000001947)); #37805=CARTESIAN_POINT('',(17.1566399338902,-1.00000000000008,-0.470000000001947)); #37806=CARTESIAN_POINT('Origin',(17.0231399338901,-1.00000000000008,-0.470000000001947)); #37807=CARTESIAN_POINT('Origin',(14.0220170769505,-1.87310744632017,-0.470000000002034)); #37808=CARTESIAN_POINT('',(14.1555170769505,-1.83300000000008,-0.470000000002034)); #37809=CARTESIAN_POINT('Origin',(14.0220170769505,-1.83300000000008,-0.470000000002034)); #37810=CARTESIAN_POINT('Origin',(14.0220170769505,-1.41650000000008,-0.470000000002034)); #37811=CARTESIAN_POINT('',(14.1555170769505,-1.00000000000008,-0.470000000002034)); #37812=CARTESIAN_POINT('Origin',(14.0220170769505,-1.00000000000008,-0.470000000002034)); #37813=CARTESIAN_POINT('Origin',(11.0208942200108,-1.87310744632017,-0.470000000002113)); #37814=CARTESIAN_POINT('',(11.1543942200108,-1.83300000000009,-0.470000000002113)); #37815=CARTESIAN_POINT('Origin',(11.0208942200108,-1.83300000000009,-0.470000000002113)); #37816=CARTESIAN_POINT('Origin',(11.0208942200108,-1.41650000000009,-0.470000000002113)); #37817=CARTESIAN_POINT('',(11.1543942200108,-1.00000000000009,-0.470000000002113)); #37818=CARTESIAN_POINT('Origin',(11.0208942200108,-1.00000000000009,-0.470000000002113)); #37819=CARTESIAN_POINT('Origin',(7.27040802489318,-1.87310744632018,-0.482174408135295)); #37820=CARTESIAN_POINT('',(7.40390802489318,-1.83300000000009,-0.482174408135295)); #37821=CARTESIAN_POINT('Origin',(7.27040802489318,-1.83300000000009,-0.482174408135295)); #37822=CARTESIAN_POINT('Origin',(7.27040802489318,-1.41650000000009,-0.482174408135295)); #37823=CARTESIAN_POINT('',(7.40390802489318,-1.00000000000009,-0.482174408135295)); #37824=CARTESIAN_POINT('Origin',(7.27040802489318,-1.00000000000009,-0.482174408135295)); #37825=CARTESIAN_POINT('Origin',(3.27043383631308,-1.87310744632018,-0.496544192161861)); #37826=CARTESIAN_POINT('',(3.40393383631308,-1.83300000000009,-0.496544192161861)); #37827=CARTESIAN_POINT('Origin',(3.27043383631308,-1.83300000000009,-0.496544192161861)); #37828=CARTESIAN_POINT('Origin',(3.27043383631308,-1.41650000000009,-0.496544192161861)); #37829=CARTESIAN_POINT('',(3.40393383631308,-1.00000000000009,-0.496544192161861)); #37830=CARTESIAN_POINT('Origin',(3.27043383631308,-1.00000000000009,-0.496544192161861)); #37831=CARTESIAN_POINT('Origin',(-0.729540352267029,-1.87310744632018,-0.510913976188433)); #37832=CARTESIAN_POINT('',(-0.596040352267029,-1.83300000000009,-0.510913976188433)); #37833=CARTESIAN_POINT('Origin',(-0.729540352267029,-1.83300000000009,-0.510913976188433)); #37834=CARTESIAN_POINT('Origin',(-0.729540352267029,-1.41650000000009,-0.510913976188433)); #37835=CARTESIAN_POINT('',(-0.596040352267029,-1.00000000000009,-0.510913976188433)); #37836=CARTESIAN_POINT('Origin',(-0.729540352267029,-1.00000000000009,-0.510913976188433)); #37837=CARTESIAN_POINT('Origin',(1.27044674202303,-1.87310744632018,-0.503729084175149)); #37838=CARTESIAN_POINT('',(1.40394674202303,-1.83300000000009,-0.503729084175149)); #37839=CARTESIAN_POINT('Origin',(1.27044674202303,-1.83300000000009,-0.503729084175149)); #37840=CARTESIAN_POINT('Origin',(1.27044674202303,-1.41650000000009,-0.503729084175149)); #37841=CARTESIAN_POINT('',(1.40394674202303,-1.00000000000009,-0.503729084175149)); #37842=CARTESIAN_POINT('Origin',(1.27044674202303,-1.00000000000009,-0.503729084175149)); #37843=CARTESIAN_POINT('Origin',(5.27042093060312,-1.87310744632018,-0.48935930014858)); #37844=CARTESIAN_POINT('',(5.40392093060312,-1.83300000000009,-0.48935930014858)); #37845=CARTESIAN_POINT('Origin',(5.27042093060312,-1.83300000000009,-0.48935930014858)); #37846=CARTESIAN_POINT('Origin',(5.27042093060312,-1.41650000000009,-0.48935930014858)); #37847=CARTESIAN_POINT('',(5.40392093060312,-1.00000000000009,-0.48935930014858)); #37848=CARTESIAN_POINT('Origin',(5.27042093060312,-1.00000000000009,-0.48935930014858)); #37849=CARTESIAN_POINT('Origin',(9.52039350596947,-1.87310744632018,-0.474091404620347)); #37850=CARTESIAN_POINT('',(9.65389350596947,-1.83300000000009,-0.474091404620347)); #37851=CARTESIAN_POINT('Origin',(9.52039350596947,-1.83300000000009,-0.474091404620347)); #37852=CARTESIAN_POINT('Origin',(9.52039350596947,-1.41650000000009,-0.474091404620347)); #37853=CARTESIAN_POINT('',(9.65389350596947,-1.00000000000009,-0.474091404620347)); #37854=CARTESIAN_POINT('Origin',(9.52039350596947,-1.00000000000009,-0.474091404620347)); #37855=CARTESIAN_POINT('Origin',(12.5214556484806,-1.87310744632017,-0.470000000002076)); #37856=CARTESIAN_POINT('',(12.6549556484806,-1.83300000000008,-0.470000000002076)); #37857=CARTESIAN_POINT('Origin',(12.5214556484806,-1.83300000000008,-0.470000000002076)); #37858=CARTESIAN_POINT('Origin',(12.5214556484806,-1.41650000000008,-0.470000000002076)); #37859=CARTESIAN_POINT('',(12.6549556484806,-1.00000000000008,-0.470000000002076)); #37860=CARTESIAN_POINT('Origin',(12.5214556484806,-1.00000000000008,-0.470000000002076)); #37861=CARTESIAN_POINT('Origin',(15.5225785054203,-1.87310744632017,-0.470000000001984)); #37862=CARTESIAN_POINT('',(15.6560785054203,-1.83300000000008,-0.470000000001984)); #37863=CARTESIAN_POINT('Origin',(15.5225785054203,-1.83300000000008,-0.470000000001984)); #37864=CARTESIAN_POINT('Origin',(15.5225785054203,-1.41650000000008,-0.470000000001984)); #37865=CARTESIAN_POINT('',(15.6560785054203,-1.00000000000008,-0.470000000001984)); #37866=CARTESIAN_POINT('Origin',(15.5225785054203,-1.00000000000008,-0.470000000001984)); #37867=CARTESIAN_POINT('Origin',(18.52370136236,-1.87310744632017,-0.470000000001903)); #37868=CARTESIAN_POINT('',(18.65720136236,-1.83300000000008,-0.470000000001903)); #37869=CARTESIAN_POINT('Origin',(18.52370136236,-1.83300000000008,-0.470000000001903)); #37870=CARTESIAN_POINT('Origin',(18.52370136236,-1.41650000000008,-0.470000000001903)); #37871=CARTESIAN_POINT('',(18.65720136236,-1.00000000000008,-0.470000000001903)); #37872=CARTESIAN_POINT('Origin',(18.52370136236,-1.00000000000008,-0.470000000001903)); #37873=CARTESIAN_POINT('Origin',(21.5248242192997,-1.87310744632017,-0.470000000001819)); #37874=CARTESIAN_POINT('',(21.6583242192997,-1.83300000000008,-0.470000000001819)); #37875=CARTESIAN_POINT('Origin',(21.5248242192997,-1.83300000000008,-0.470000000001819)); #37876=CARTESIAN_POINT('Origin',(21.5248242192997,-1.41650000000008,-0.470000000001819)); #37877=CARTESIAN_POINT('',(21.6583242192997,-1.00000000000008,-0.470000000001819)); #37878=CARTESIAN_POINT('Origin',(21.5248242192997,-1.00000000000008,-0.470000000001819)); #37879=CARTESIAN_POINT('Origin',(24.5259470762394,-1.87310744632017,-0.470000000001735)); #37880=CARTESIAN_POINT('',(24.6594470762394,-1.83300000000008,-0.470000000001735)); #37881=CARTESIAN_POINT('Origin',(24.5259470762394,-1.83300000000008,-0.470000000001735)); #37882=CARTESIAN_POINT('Origin',(24.5259470762394,-1.41650000000008,-0.470000000001735)); #37883=CARTESIAN_POINT('',(24.6594470762394,-1.00000000000008,-0.470000000001735)); #37884=CARTESIAN_POINT('Origin',(24.5259470762394,-1.00000000000008,-0.470000000001735)); #37885=CARTESIAN_POINT('Origin',(27.527069933179,-1.87310744632017,-0.470000000001651)); #37886=CARTESIAN_POINT('',(27.660569933179,-1.83300000000008,-0.470000000001651)); #37887=CARTESIAN_POINT('Origin',(27.527069933179,-1.83300000000008,-0.470000000001651)); #37888=CARTESIAN_POINT('Origin',(27.527069933179,-1.41650000000008,-0.470000000001651)); #37889=CARTESIAN_POINT('',(27.660569933179,-1.00000000000008,-0.470000000001651)); #37890=CARTESIAN_POINT('Origin',(27.527069933179,-1.00000000000008,-0.470000000001651)); #37891=CARTESIAN_POINT('Origin',(30.5281927901187,-1.87310744632017,-0.470000000001561)); #37892=CARTESIAN_POINT('',(30.6616927901187,-1.83300000000008,-0.470000000001561)); #37893=CARTESIAN_POINT('Origin',(30.5281927901187,-1.83300000000008,-0.470000000001561)); #37894=CARTESIAN_POINT('Origin',(30.5281927901187,-1.41650000000008,-0.470000000001561)); #37895=CARTESIAN_POINT('',(30.6616927901187,-1.00000000000008,-0.470000000001561)); #37896=CARTESIAN_POINT('Origin',(30.5281927901187,-1.00000000000008,-0.470000000001561)); #37897=CARTESIAN_POINT('Origin',(33.5293156470584,-1.87310744632017,-0.470000000001475)); #37898=CARTESIAN_POINT('',(33.6628156470584,-1.83300000000008,-0.470000000001475)); #37899=CARTESIAN_POINT('Origin',(33.5293156470584,-1.83300000000008,-0.470000000001475)); #37900=CARTESIAN_POINT('Origin',(33.5293156470584,-1.41650000000008,-0.470000000001475)); #37901=CARTESIAN_POINT('',(33.6628156470584,-1.00000000000008,-0.470000000001475)); #37902=CARTESIAN_POINT('Origin',(33.5293156470584,-1.00000000000008,-0.470000000001475)); #37903=CARTESIAN_POINT('Origin',(36.5304385039981,-1.87310744632017,-0.470000000001392)); #37904=CARTESIAN_POINT('',(36.6639385039981,-1.83300000000008,-0.470000000001392)); #37905=CARTESIAN_POINT('Origin',(36.5304385039981,-1.83300000000008,-0.470000000001392)); #37906=CARTESIAN_POINT('Origin',(36.5304385039981,-1.41650000000008,-0.470000000001392)); #37907=CARTESIAN_POINT('',(36.6639385039981,-1.00000000000008,-0.470000000001392)); #37908=CARTESIAN_POINT('Origin',(36.5304385039981,-1.00000000000008,-0.470000000001392)); #37909=CARTESIAN_POINT('Origin',(39.5315613609378,-1.87310744632017,-0.47000000000131)); #37910=CARTESIAN_POINT('',(39.6650613609378,-1.83300000000008,-0.47000000000131)); #37911=CARTESIAN_POINT('Origin',(39.5315613609378,-1.83300000000008,-0.47000000000131)); #37912=CARTESIAN_POINT('Origin',(39.5315613609378,-1.41650000000008,-0.47000000000131)); #37913=CARTESIAN_POINT('',(39.6650613609378,-1.00000000000008,-0.47000000000131)); #37914=CARTESIAN_POINT('Origin',(39.5315613609378,-1.00000000000008,-0.47000000000131)); #37915=CARTESIAN_POINT('Origin',(42.5163119513477,-1.87310744632017,-0.640081539293766)); #37916=CARTESIAN_POINT('',(42.6498119513477,-1.83300000000008,-0.640081539293766)); #37917=CARTESIAN_POINT('Origin',(42.5163119513477,-1.83300000000008,-0.640081539293766)); #37918=CARTESIAN_POINT('Origin',(42.5163119513477,-1.41650000000008,-0.640081539293766)); #37919=CARTESIAN_POINT('',(42.6498119513477,-1.00000000000008,-0.640081539293766)); #37920=CARTESIAN_POINT('Origin',(42.5163119513477,-1.00000000000008,-0.640081539293766)); #37921=CARTESIAN_POINT('Origin',(-0.964841365498713,1.19893903382201,-0.101408913873464)); #37922=CARTESIAN_POINT('',(-15.8970788601322,-10.8091416444476,0.)); #37923=CARTESIAN_POINT('',(-15.7916431983293,-10.8088749302739,-1.)); #37924=CARTESIAN_POINT('',(-15.7219984996146,-10.8086987543208,-1.660542149818)); #37925=CARTESIAN_POINT('',(-3.70796339892081,-1.00000000000009,0.)); #37926=CARTESIAN_POINT('',(-2.46533525641461,2.99323120969806E-13,0.)); #37927=CARTESIAN_POINT('',(-3.6028591636557,-1.00000000000009,-1.)); #37928=CARTESIAN_POINT('',(-3.68770642475697,-1.00000000000009,-0.192732234940118)); #37929=CARTESIAN_POINT('',(-1.30954407730924,0.8455360923362,-1.)); #37930=CARTESIAN_POINT('Origin',(-3.7079633989208,-1.00000000000009,0.)); #37931=CARTESIAN_POINT('',(44.3456456317314,-1.00000000000008,0.)); #37932=CARTESIAN_POINT('',(45.6430320861992,-1.00000000000008,0.)); #37933=CARTESIAN_POINT('',(44.450390511774,-1.00000000000008,-0.996580963439926)); #37934=CARTESIAN_POINT('',(43.8408592805361,-1.00000000000008,4.8027213167893)); #37935=CARTESIAN_POINT('',(44.450390511774,-1.00000000000008,-1.)); #37936=CARTESIAN_POINT('',(44.450390511774,-1.00000000000008,0.)); #37937=CARTESIAN_POINT('',(45.6430320861992,-1.00000000000008,-1.)); #37938=CARTESIAN_POINT('Origin',(43.3631323380574,-5.19922236311753,4.55764886311592)); #37939=CARTESIAN_POINT('',(41.1153978045322,-27.9412720273747,0.)); #37940=CARTESIAN_POINT('',(42.9038546685328,-13.0249852717409,0.)); #37941=CARTESIAN_POINT('',(41.2047905370517,-28.069313714811,-0.996580963441988)); #37942=CARTESIAN_POINT('Origin',(75.9326114046499,46.6180736922473,-246.208704760173)); #37943=CARTESIAN_POINT('',(44.1945112964663,-3.13411227699346,-0.996580963440112)); #37944=CARTESIAN_POINT('Origin',(44.450390511774,-1.00000000000008,0.)); #37945=CARTESIAN_POINT('',(41.2047129728561,-28.0699606243381,-1.)); #37946=CARTESIAN_POINT('Origin',(50.1597786551221,46.6180736922474,-166.88808170058)); #37947=CARTESIAN_POINT('',(40.1770964930292,-36.6406018270704,-1.)); #37948=CARTESIAN_POINT('Origin',(3.6209754180626,46.6180736922475,-23.6563731380445)); #37949=CARTESIAN_POINT('',(-4.06544615592276,-39.4370078740147,0.)); #37950=CARTESIAN_POINT('Origin',(-4.06544615592315,46.6180736922475,0.)); #37951=CARTESIAN_POINT('',(-3.74052645968985,-39.4370078740147,-1.)); #37952=CARTESIAN_POINT('',(3.62097541806293,-39.4370078740147,-23.6563731380443)); #37953=CARTESIAN_POINT('Origin',(-3.74052645969024,46.6180736922475,-1.)); #37954=CARTESIAN_POINT('Origin',(-15.7222991112326,6.03225388562485E-17, -1.65769101185535)); #37955=CARTESIAN_POINT('',(-15.7916431983293,-37.4370078740146,-1.)); #37956=CARTESIAN_POINT('',(-15.7916431983293,-8.63896356900707,-1.)); #37957=CARTESIAN_POINT('',(-15.8970788601322,-37.4370078740146,0.)); #37958=CARTESIAN_POINT('',(-15.7503688847588,-37.4370078740146,-1.39146445201541)); #37959=CARTESIAN_POINT('',(-15.8970788601322,-10.8091416444476,0.)); #37960=CARTESIAN_POINT('Origin',(-5.10616826196132,-37.4370078740146,0.)); #37961=CARTESIAN_POINT('',(-4.39036585225274,-37.4370078740146,-0.999999999999974)); #37962=CARTESIAN_POINT('',(2.51793400308577,-37.4370078740146,-1.)); #37963=CARTESIAN_POINT('',(-4.39036585225258,-37.4370078740146,7.88520604891253E-14)); #37964=CARTESIAN_POINT('',(-4.39036585225274,-37.4370078740146,-0.999999999999974)); #37965=CARTESIAN_POINT('',(-15.8970788601322,-37.4370078740146,0.)); #37966=CARTESIAN_POINT('Origin',(-4.39036585225274,-37.4370078740146,-0.999999999999974)); #37967=CARTESIAN_POINT('',(-4.39036585225258,-39.4370078740147,0.)); #37968=CARTESIAN_POINT('',(-4.39036585225258,-27.8547596764365,0.)); #37969=CARTESIAN_POINT('',(-4.39036585225273,-39.4370078740147,-1.)); #37970=CARTESIAN_POINT('',(-4.39036585225274,-27.3574675060144,-1.)); #37971=CARTESIAN_POINT('',(-4.39036585225258,-39.4370078740147,-11.923678071849)); #37972=CARTESIAN_POINT('Origin',(12.646137759581,-17.2779271380141,0.)); #37973=CARTESIAN_POINT('',(10.6759458677781,-39.4370078740146,0.)); #37974=CARTESIAN_POINT('Origin',(12.646137759581,-17.2779271380141,-1.)); #37975=CARTESIAN_POINT('',(1.51659508284149,-39.4370078740146,-1.)); #37976=CARTESIAN_POINT('',(39.94921990777,-18.8910576027492,-1.)); #37977=CARTESIAN_POINT('',(26.7203270504332,-18.8910576027492,-1.)); #37978=CARTESIAN_POINT('',(-2.1176103350427,-36.8916599810504,-1.)); #37979=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-1.)); #37980=CARTESIAN_POINT('',(39.5811890810198,-26.2167896788284,-1.)); #37981=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-1.)); #37982=CARTESIAN_POINT('',(39.9855964842705,-25.6377009199215,-1.)); #37983=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-1.)); #37984=CARTESIAN_POINT('',(40.693886377084,-19.7303428169754,-1.)); #37985=CARTESIAN_POINT('',(40.26216086561,-23.3310679216688,-1.)); #37986=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-1.)); #37987=CARTESIAN_POINT('Origin',(3.03319016568266,-39.4370078740147,-23.8473561436979)); #37988=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-1.)); #37989=CARTESIAN_POINT('',(39.94921990777,-18.8910576027492,-1.)); #37990=CARTESIAN_POINT('',(40.693886377084,-19.7303428169754,-1.)); #37991=CARTESIAN_POINT('Origin',(39.9380565797841,-26.0341985162166,-1.)); #37992=CARTESIAN_POINT('',(39.9855964842705,-25.6377009199215,-1.)); #37993=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-1.)); #37994=CARTESIAN_POINT('',(39.5811890810198,-26.2167896788284,-1.)); #37995=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-1.)); #37996=CARTESIAN_POINT('',(-2.1176103350427,-36.8916599810504,-1.)); #37997=CARTESIAN_POINT('Origin',(40.7945163412854,-18.8910576027492,-1.)); #37998=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-1.)); #37999=CARTESIAN_POINT('',(0.,0.,0.)); #38000=CARTESIAN_POINT('Origin',(0.988669062086532,-17.0578952387154,0.524223026864768)); #38001=CARTESIAN_POINT('',(1.12216906208653,-17.0977205495295,0.528971907667706)); #38002=CARTESIAN_POINT('Origin',(0.988669062086532,-17.0977205495295,0.528971907667706)); #38003=CARTESIAN_POINT('Origin',(0.988669062086532,-17.5114396284821,0.57830492106261)); #38004=CARTESIAN_POINT('',(1.12216906208653,-17.9251587074347,0.627637934457514)); #38005=CARTESIAN_POINT('Origin',(0.988669062086532,-17.9251587074347,0.627637934457514)); #38006=CARTESIAN_POINT('Origin',(-2.81133093791349,-17.0578952387154,0.524223026864756)); #38007=CARTESIAN_POINT('',(-2.7907809485064,-17.0300868840961,0.525097532863941)); #38008=CARTESIAN_POINT('Ctrl Pts',(-2.7907809485064,-17.0300868840961,0.525097532863941)); #38009=CARTESIAN_POINT('Ctrl Pts',(-2.7907809485064,-17.0300868840961,0.532744782770158)); #38010=CARTESIAN_POINT('Ctrl Pts',(-2.7929837296808,-17.0311874124149,0.540441743480944)); #38011=CARTESIAN_POINT('Ctrl Pts',(-2.80027716116823,-17.0343796184657, 0.554241991266976)); #38012=CARTESIAN_POINT('Ctrl Pts',(-2.80536599492501,-17.0364416488907, 0.560353079177685)); #38013=CARTESIAN_POINT('Ctrl Pts',(-2.81375565528928,-17.0392006829879, 0.566492208461789)); #38014=CARTESIAN_POINT('Ctrl Pts',(-2.81660160702823,-17.0400708947816, 0.5681545738933)); #38015=CARTESIAN_POINT('Ctrl Pts',(-2.82265445476625,-17.0417169673389, 0.570531872712241)); #38016=CARTESIAN_POINT('Ctrl Pts',(-2.82586597910499,-17.0424910263999, 0.571236255091168)); #38017=CARTESIAN_POINT('Ctrl Pts',(-2.83167615982947,-17.0437174771346, 0.571489030802949)); #38018=CARTESIAN_POINT('Ctrl Pts',(-2.83469575460395,-17.0442735123734, 0.571143194647934)); #38019=CARTESIAN_POINT('Ctrl Pts',(-2.84042558643795,-17.0451688842291, 0.56934269682475)); #38020=CARTESIAN_POINT('Ctrl Pts',(-2.8431359753214,-17.0455116241525,0.567890213019561)); #38021=CARTESIAN_POINT('Ctrl Pts',(-2.84785592493866,-17.0460202490255, 0.564388434551371)); #38022=CARTESIAN_POINT('Ctrl Pts',(-2.85003041230511,-17.0461997957835, 0.562169779885117)); #38023=CARTESIAN_POINT('Ctrl Pts',(-2.85377523267686,-17.0464550439415, 0.557167394252605)); #38024=CARTESIAN_POINT('Ctrl Pts',(-2.85534460296914,-17.0465308034192, 0.554382945628382)); #38025=CARTESIAN_POINT('Ctrl Pts',(-2.85908958117306,-17.0466797425314, 0.545546156402279)); #38026=CARTESIAN_POINT('Ctrl Pts',(-2.86026650921551,-17.0466858364087, 0.538993182721706)); #38027=CARTESIAN_POINT('Ctrl Pts',(-2.86026650921551,-17.0466858364087, 0.526112577148862)); #38028=CARTESIAN_POINT('Ctrl Pts',(-2.85883617929504,-17.0466810118655, 0.518936624584389)); #38029=CARTESIAN_POINT('Ctrl Pts',(-2.85438898004601,-17.0464847658479, 0.509366977280203)); #38030=CARTESIAN_POINT('Ctrl Pts',(-2.85253239518779,-17.0463837018512, 0.506368682892962)); #38031=CARTESIAN_POINT('Ctrl Pts',(-2.84816804533989,-17.0460448845436, 0.501016263266047)); #38032=CARTESIAN_POINT('Ctrl Pts',(-2.84566013174358,-17.0458066627595, 0.498662288867351)); #38033=CARTESIAN_POINT('Ctrl Pts',(-2.83842088141812,-17.044915647459,0.493577687913532)); #38034=CARTESIAN_POINT('Ctrl Pts',(-2.83296339051957,-17.0440357204,0.491430405113519)); #38035=CARTESIAN_POINT('Ctrl Pts',(-2.82184649053948,-17.0415815449365, 0.49025192319328)); #38036=CARTESIAN_POINT('Ctrl Pts',(-2.81619056033121,-17.0400014288754, 0.491200225374679)); #38037=CARTESIAN_POINT('Ctrl Pts',(-2.80810696129785,-17.0373430464865, 0.494627391299589)); #38038=CARTESIAN_POINT('Ctrl Pts',(-2.80486867950038,-17.0361586402666, 0.496635793603549)); #38039=CARTESIAN_POINT('Ctrl Pts',(-2.79925227151214,-17.0339340844508, 0.50166428803605)); #38040=CARTESIAN_POINT('Ctrl Pts',(-2.79687431527574,-17.0329019567955, 0.50468811492175)); #38041=CARTESIAN_POINT('Ctrl Pts',(-2.7937718172837,-17.0315074755088,0.510261268715747)); #38042=CARTESIAN_POINT('Ctrl Pts',(-2.79261001719591,-17.0309620442534, 0.513124983240211)); #38043=CARTESIAN_POINT('Ctrl Pts',(-2.79111519654964,-17.0302507379524, 0.519107934870959)); #38044=CARTESIAN_POINT('Ctrl Pts',(-2.7907809485064,-17.0300868840961,0.522227105357267)); #38045=CARTESIAN_POINT('Ctrl Pts',(-2.7907809485064,-17.0300868840961,0.525097532863941)); #38046=CARTESIAN_POINT('',(-2.67783093791349,-17.0977205495295,0.528971907667695)); #38047=CARTESIAN_POINT('Origin',(-2.81133093791349,-17.0977205495295,0.528971907667695)); #38048=CARTESIAN_POINT('Origin',(-2.81133093791349,-17.5114396284821,0.578304921062599)); #38049=CARTESIAN_POINT('',(-2.67783093791349,-17.9251587074347,0.627637934457502)); #38050=CARTESIAN_POINT('Origin',(-2.81133093791348,-17.9251587074347,0.627637934457503)); #38051=CARTESIAN_POINT('Origin',(-0.911330937913475,-17.0578952387154,0.524223026864762)); #38052=CARTESIAN_POINT('',(-0.777830937913475,-17.0977205495295,0.5289719076677)); #38053=CARTESIAN_POINT('Origin',(-0.911330937913475,-17.0977205495295,0.5289719076677)); #38054=CARTESIAN_POINT('Origin',(-0.911330937913475,-17.5114396284821,0.578304921062604)); #38055=CARTESIAN_POINT('',(-0.777830937913475,-17.9251587074347,0.627637934457508)); #38056=CARTESIAN_POINT('Origin',(-0.911330937913475,-17.9251587074347,0.627637934457508)); #38057=CARTESIAN_POINT('Origin',(2.88866906208654,-17.0578952387154,0.524223026864773)); #38058=CARTESIAN_POINT('',(2.92036005988898,-17.0366017784446,0.528146205947508)); #38059=CARTESIAN_POINT('Ctrl Pts',(2.92036005988898,-17.0366017784446,0.528146205947508)); #38060=CARTESIAN_POINT('Ctrl Pts',(2.92036005988898,-17.0366017784446,0.536863667917134)); #38061=CARTESIAN_POINT('Ctrl Pts',(2.91782362949078,-17.0375612246845,0.546967011420358)); #38062=CARTESIAN_POINT('Ctrl Pts',(2.91139370546567,-17.0396272164971,0.559848906484509)); #38063=CARTESIAN_POINT('Ctrl Pts',(2.90785415376383,-17.0406974838592,0.565029990667232)); #38064=CARTESIAN_POINT('Ctrl Pts',(2.89921719716461,-17.0428615839326,0.573627642664752)); #38065=CARTESIAN_POINT('Ctrl Pts',(2.89411843297387,-17.0439436556126,0.577045801171509)); #38066=CARTESIAN_POINT('Ctrl Pts',(2.88590582532929,-17.0452193367875,0.579884320516332)); #38067=CARTESIAN_POINT('Ctrl Pts',(2.88276542943242,-17.0456294747106,0.580521890194068)); #38068=CARTESIAN_POINT('Ctrl Pts',(2.87644745310294,-17.046257383501,0.580682336743704)); #38069=CARTESIAN_POINT('Ctrl Pts',(2.87326973850587,-17.0464749167268,0.58020083052265)); #38070=CARTESIAN_POINT('Ctrl Pts',(2.86752256821271,-17.0467265248884,0.578417815903945)); #38071=CARTESIAN_POINT('Ctrl Pts',(2.86452336130328,-17.0467741710804,0.576949001860537)); #38072=CARTESIAN_POINT('Ctrl Pts',(2.8590802339789,-17.0467286667151,0.573170173872502)); #38073=CARTESIAN_POINT('Ctrl Pts',(2.85663104232959,-17.0466397650095,0.570867708396096)); #38074=CARTESIAN_POINT('Ctrl Pts',(2.85053482678256,-17.046324845838,0.563817659249268)); #38075=CARTESIAN_POINT('Ctrl Pts',(2.84726768212379,-17.0460060402632,0.557908708922253)); #38076=CARTESIAN_POINT('Ctrl Pts',(2.84296822380211,-17.0455351811507,0.545260510338048)); #38077=CARTESIAN_POINT('Ctrl Pts',(2.84194560989776,-17.0453922620931,0.538517628592745)); #38078=CARTESIAN_POINT('Ctrl Pts',(2.84194560989776,-17.0453922620931,0.525862972743122)); #38079=CARTESIAN_POINT('Ctrl Pts',(2.8430210670977,-17.0455443318125,0.518975795120318)); #38080=CARTESIAN_POINT('Ctrl Pts',(2.8477090469443,-17.0460506386969,0.506229246514259)); #38081=CARTESIAN_POINT('Ctrl Pts',(2.85132334694064,-17.0463930063441,0.5003700605678)); #38082=CARTESIAN_POINT('Ctrl Pts',(2.85989535346882,-17.0467753197381,0.49160299253991)); #38083=CARTESIAN_POINT('Ctrl Pts',(2.86518509334376,-17.0468457292652,0.488078306888223)); #38084=CARTESIAN_POINT('Ctrl Pts',(2.87384136416267,-17.0464402954902,0.485184131479874)); #38085=CARTESIAN_POINT('Ctrl Pts',(2.87684656022302,-17.0462218867947,0.484600142425426)); #38086=CARTESIAN_POINT('Ctrl Pts',(2.88283772531995,-17.0456177815934,0.484236075321524)); #38087=CARTESIAN_POINT('Ctrl Pts',(2.88582374943883,-17.0452320858038,0.484456903095469)); #38088=CARTESIAN_POINT('Ctrl Pts',(2.89346589759872,-17.0440450152696,0.485987265206669)); #38089=CARTESIAN_POINT('Ctrl Pts',(2.89829240906021,-17.0430609396863,0.488064968001423)); #38090=CARTESIAN_POINT('Ctrl Pts',(2.90669786190738,-17.0410124343054,0.494025010650681)); #38091=CARTESIAN_POINT('Ctrl Pts',(2.91028079641116,-17.0399620480222,0.497906536885518)); #38092=CARTESIAN_POINT('Ctrl Pts',(2.91529754352846,-17.0383860836439,0.505621132373969)); #38093=CARTESIAN_POINT('Ctrl Pts',(2.91726483178917,-17.0377083506445,0.509929011723119)); #38094=CARTESIAN_POINT('Ctrl Pts',(2.9197942567038,-17.0368139412179,0.518997933810326)); #38095=CARTESIAN_POINT('Ctrl Pts',(2.92036005988898,-17.0366017784446,0.523758398122574)); #38096=CARTESIAN_POINT('Ctrl Pts',(2.92036005988898,-17.0366017784446,0.528146205947508)); #38097=CARTESIAN_POINT('',(3.02216906208654,-17.0977205495295,0.528971907667712)); #38098=CARTESIAN_POINT('Origin',(2.88866906208654,-17.0977205495295,0.528971907667712)); #38099=CARTESIAN_POINT('Origin',(2.88866906208654,-17.5114396284821,0.578304921062615)); #38100=CARTESIAN_POINT('',(3.02216906208654,-17.9251587074347,0.627637934457519)); #38101=CARTESIAN_POINT('Origin',(2.88866906208654,-17.9251587074347,0.627637934457519)); #38102=CARTESIAN_POINT('Origin',(-3.12451157159253,4.11766242948249,28.2508768689019)); #38103=CARTESIAN_POINT('',(-2.95851157159253,4.11766242948249,1.)); #38104=CARTESIAN_POINT('Origin',(-3.12451157159253,4.11766242948249,1.)); #38105=CARTESIAN_POINT('',(-3.29051157159253,4.11766242948249,0.)); #38106=CARTESIAN_POINT('Origin',(-3.12451157159253,4.11766242948249,0.)); #38107=CARTESIAN_POINT('Origin',(-3.04984815961388,-1.88187300044137,28.2508768689019)); #38108=CARTESIAN_POINT('',(-2.88384815961388,-1.88187300044137,1.)); #38109=CARTESIAN_POINT('Origin',(-3.04984815961388,-1.88187300044137,1.)); #38110=CARTESIAN_POINT('',(-3.21584815961388,-1.88187300044137,0.)); #38111=CARTESIAN_POINT('Origin',(-3.04984815961388,-1.88187300044137,0.)); #38112=CARTESIAN_POINT('Origin',(-2.97518474763522,-7.88140843036523,28.2508768689019)); #38113=CARTESIAN_POINT('',(-2.80918474763522,-7.88140843036523,1.)); #38114=CARTESIAN_POINT('Origin',(-2.97518474763522,-7.88140843036523,1.)); #38115=CARTESIAN_POINT('',(-3.14118474763522,-7.88140843036523,0.)); #38116=CARTESIAN_POINT('Origin',(-2.97518474763522,-7.88140843036523,0.)); #38117=CARTESIAN_POINT('Origin',(-2.90052133565656,-13.8809438602891,28.2508768689019)); #38118=CARTESIAN_POINT('',(-2.73452133565656,-13.8809438602891,1.)); #38119=CARTESIAN_POINT('Origin',(-2.90052133565656,-13.8809438602891,1.)); #38120=CARTESIAN_POINT('',(-3.06652133565656,-13.8809438602891,0.)); #38121=CARTESIAN_POINT('Origin',(-2.90052133565656,-13.8809438602891,0.)); #38122=CARTESIAN_POINT('Origin',(3.1245091904592,4.11761681338168,28.2508768689019)); #38123=CARTESIAN_POINT('',(3.2905091904592,4.11761681338168,1.)); #38124=CARTESIAN_POINT('Origin',(3.1245091904592,4.11761681338168,1.)); #38125=CARTESIAN_POINT('',(2.9585091904592,4.11761681338168,0.)); #38126=CARTESIAN_POINT('Origin',(3.1245091904592,4.11761681338168,0.)); #38127=CARTESIAN_POINT('Origin',(3.04984642057453,-1.88191862453292,28.2508768689019)); #38128=CARTESIAN_POINT('',(3.21584642057453,-1.88191862453292,1.)); #38129=CARTESIAN_POINT('Origin',(3.04984642057453,-1.88191862453292,1.)); #38130=CARTESIAN_POINT('',(2.88384642057453,-1.88191862453292,0.)); #38131=CARTESIAN_POINT('Origin',(3.04984642057453,-1.88191862453292,0.)); #38132=CARTESIAN_POINT('Origin',(2.97518365068987,-7.88145406244752,28.2508768689019)); #38133=CARTESIAN_POINT('',(3.14118365068986,-7.88145406244752,1.)); #38134=CARTESIAN_POINT('Origin',(2.97518365068987,-7.88145406244752,1.)); #38135=CARTESIAN_POINT('',(2.80918365068987,-7.88145406244752,0.)); #38136=CARTESIAN_POINT('Origin',(2.97518365068987,-7.88145406244752,0.)); #38137=CARTESIAN_POINT('Origin',(2.9005208808052,-13.8809895003621,28.2508768689019)); #38138=CARTESIAN_POINT('',(3.0665208808052,-13.8809895003621,1.)); #38139=CARTESIAN_POINT('Origin',(2.9005208808052,-13.8809895003621,1.)); #38140=CARTESIAN_POINT('',(2.7345208808052,-13.8809895003621,0.)); #38141=CARTESIAN_POINT('Origin',(2.9005208808052,-13.8809895003621,0.)); #38142=CARTESIAN_POINT('Origin',(2.86318949586286,-16.8807572193194,28.2508768689019)); #38143=CARTESIAN_POINT('',(3.02918949586286,-16.8807572193194,1.)); #38144=CARTESIAN_POINT('Origin',(2.86318949586286,-16.8807572193194,1.)); #38145=CARTESIAN_POINT('',(2.69718949586286,-16.8807572193194,0.)); #38146=CARTESIAN_POINT('Origin',(2.86318949586286,-16.8807572193194,0.)); #38147=CARTESIAN_POINT('Origin',(-2.86318962966724,-16.880711575251,28.2508768689019)); #38148=CARTESIAN_POINT('',(-2.69718962966724,-16.880711575251,1.)); #38149=CARTESIAN_POINT('Origin',(-2.86318962966724,-16.880711575251,1.)); #38150=CARTESIAN_POINT('',(-3.02918962966724,-16.880711575251,0.)); #38151=CARTESIAN_POINT('Origin',(-2.86318962966724,-16.880711575251,0.)); #38152=CARTESIAN_POINT('Origin',(2.93785226574753,-10.8812217814048,28.2508768689019)); #38153=CARTESIAN_POINT('',(3.10385226574753,-10.8812217814048,1.)); #38154=CARTESIAN_POINT('Origin',(2.93785226574753,-10.8812217814048,1.)); #38155=CARTESIAN_POINT('',(2.77185226574753,-10.8812217814048,0.)); #38156=CARTESIAN_POINT('Origin',(2.93785226574753,-10.8812217814048,0.)); #38157=CARTESIAN_POINT('Origin',(3.0125150356322,-4.88168634349022,28.2508768689019)); #38158=CARTESIAN_POINT('',(3.1785150356322,-4.88168634349022,1.)); #38159=CARTESIAN_POINT('Origin',(3.0125150356322,-4.88168634349022,1.)); #38160=CARTESIAN_POINT('',(2.8465150356322,-4.88168634349022,0.)); #38161=CARTESIAN_POINT('Origin',(3.0125150356322,-4.88168634349022,0.)); #38162=CARTESIAN_POINT('Origin',(3.08717780551687,1.11784909442438,28.2508768689019)); #38163=CARTESIAN_POINT('',(3.25317780551687,1.11784909442438,1.)); #38164=CARTESIAN_POINT('Origin',(3.08717780551687,1.11784909442438,1.)); #38165=CARTESIAN_POINT('',(2.92117780551687,1.11784909442438,0.)); #38166=CARTESIAN_POINT('Origin',(3.08717780551687,1.11784909442438,0.)); #38167=CARTESIAN_POINT('Origin',(3.16184057540154,7.11738453233898,28.2508768689019)); #38168=CARTESIAN_POINT('',(3.32784057540154,7.11738453233898,1.)); #38169=CARTESIAN_POINT('Origin',(3.16184057540154,7.11738453233898,1.)); #38170=CARTESIAN_POINT('',(2.99584057540154,7.11738453233898,0.)); #38171=CARTESIAN_POINT('Origin',(3.16184057540154,7.11738453233898,0.)); #38172=CARTESIAN_POINT('Origin',(-2.93785304164589,-10.8811761453272,28.2508768689019)); #38173=CARTESIAN_POINT('',(-2.77185304164589,-10.8811761453272,1.)); #38174=CARTESIAN_POINT('Origin',(-2.93785304164589,-10.8811761453272,1.)); #38175=CARTESIAN_POINT('',(-3.10385304164589,-10.8811761453272,0.)); #38176=CARTESIAN_POINT('Origin',(-2.93785304164589,-10.8811761453272,0.)); #38177=CARTESIAN_POINT('Origin',(-3.01251645362455,-4.8816407154033,28.2508768689019)); #38178=CARTESIAN_POINT('',(-2.84651645362455,-4.8816407154033,1.)); #38179=CARTESIAN_POINT('Origin',(-3.01251645362455,-4.8816407154033,1.)); #38180=CARTESIAN_POINT('',(-3.17851645362455,-4.8816407154033,0.)); #38181=CARTESIAN_POINT('Origin',(-3.01251645362455,-4.8816407154033,0.)); #38182=CARTESIAN_POINT('Origin',(-3.0871798656032,1.11789471452056,28.2508768689019)); #38183=CARTESIAN_POINT('',(-2.9211798656032,1.11789471452056,1.)); #38184=CARTESIAN_POINT('Origin',(-3.0871798656032,1.11789471452056,1.)); #38185=CARTESIAN_POINT('',(-3.2531798656032,1.11789471452056,0.)); #38186=CARTESIAN_POINT('Origin',(-3.0871798656032,1.11789471452056,0.)); #38187=CARTESIAN_POINT('Origin',(-3.16184327758186,7.11743014444443,28.2508768689019)); #38188=CARTESIAN_POINT('',(-2.99584327758186,7.11743014444443,1.)); #38189=CARTESIAN_POINT('Origin',(-3.16184327758186,7.11743014444443,1.)); #38190=CARTESIAN_POINT('',(-3.32784327758186,7.11743014444443,0.)); #38191=CARTESIAN_POINT('Origin',(-3.16184327758186,7.11743014444443,0.)); #38192=CARTESIAN_POINT('Origin',(-1.47149330536702E-12,-66.9156942044781, 55.3340342093925)); #38193=CARTESIAN_POINT('',(3.57777943187262,8.52758441737166,-4.76578854917581E-15)); #38194=CARTESIAN_POINT('',(3.69114631346478,9.25094125772963,1.00000000000001)); #38195=CARTESIAN_POINT('Origin',(8.41383590677593,-66.9156942044783,55.3340342093911)); #38196=CARTESIAN_POINT('',(-3.57777943187288,8.52758441737096,4.76578854579119E-15)); #38197=CARTESIAN_POINT('',(-9.00637852672793E-12,8.52758441737131,0.)); #38198=CARTESIAN_POINT('',(-3.69114631346505,9.25094125772891,1.00000000000001)); #38199=CARTESIAN_POINT('Origin',(-8.41383590677641,-66.915694204478,55.3340342093939)); #38200=CARTESIAN_POINT('',(-8.82924255801631E-12,9.25094125772928,1.00000000000001)); #38201=CARTESIAN_POINT('Origin',(-3.43372369959153,-0.042731862338876,-0.358360163147752)); #38202=CARTESIAN_POINT('',(-3.24765004911536,-18.,0.)); #38203=CARTESIAN_POINT('',(-3.47111812379969,-0.0431972269189361,-4.37095678986282E-17)); #38204=CARTESIAN_POINT('',(-3.35349887710346,-17.8807572193194,1.)); #38205=CARTESIAN_POINT('',(-3.43372369959153,-17.7903808675458,1.75791885477556)); #38206=CARTESIAN_POINT('',(-3.57546684347792,-0.0444958215394236,1.)); #38207=CARTESIAN_POINT('Origin',(0.,8.26007582336281E-16,0.)); #38208=CARTESIAN_POINT('',(3.24765004911511,-18.,0.)); #38209=CARTESIAN_POINT('',(3.47111812379942,-0.0431972269189296,0.)); #38210=CARTESIAN_POINT('',(6.,-18.,0.)); #38211=CARTESIAN_POINT('Origin',(3.43372369959127,-0.0427318623388696,-0.358360163147725)); #38212=CARTESIAN_POINT('',(3.35349887710321,-17.8807572193194,1.)); #38213=CARTESIAN_POINT('',(3.57546684347766,-0.044495821539417,1.)); #38214=CARTESIAN_POINT('',(3.43372369959128,-17.7903808675458,1.75791885477559)); #38215=CARTESIAN_POINT('Origin',(8.27452777702884E-15,-17.7476490052069, 2.11627901792331)); #38216=CARTESIAN_POINT('',(8.33658713005648E-15,-17.8807572193194,1.)); #38217=CARTESIAN_POINT('Origin',(0.,0.,1.)); #38218=CARTESIAN_POINT('',(0.,0.,0.)); #38219=CARTESIAN_POINT('Origin',(-4.39446585225313,-38.4370078740146,4.7381171602113E-14)); #38220=CARTESIAN_POINT('',(-4.39446585225313,-39.4370078740147,-1.)); #38221=CARTESIAN_POINT('',(-4.39446585225313,-39.4370078740145,1.)); #38222=CARTESIAN_POINT('',(-4.39446585225313,-39.4370078740147,-1.)); #38223=CARTESIAN_POINT('',(-4.39446585225313,-37.4370078740146,1.0000000000003)); #38224=CARTESIAN_POINT('',(-4.39446585225313,-39.4370078740145,1.)); #38225=CARTESIAN_POINT('',(-4.39446585225313,-37.4370078740146,-1.)); #38226=CARTESIAN_POINT('',(-4.39446585225313,-37.4370078740146,2.36905858010565E-14)); #38227=CARTESIAN_POINT('',(-4.39446585225313,-37.4370078740146,-1.)); #38228=CARTESIAN_POINT('Origin',(-5.10616826196132,-37.4370078740146,0.)); #38229=CARTESIAN_POINT('',(-15.7962577727248,-37.4370078740146,1.)); #38230=CARTESIAN_POINT('',(-12.9247999948294,-37.4370078740146,1.)); #38231=CARTESIAN_POINT('',(-15.9013620079904,-37.4370078740146,4.89547160464636E-15)); #38232=CARTESIAN_POINT('',(-15.7555160591484,-37.4370078740146,1.38763151145616)); #38233=CARTESIAN_POINT('',(-15.7962577727248,-37.4370078740146,-1.)); #38234=CARTESIAN_POINT('',(-15.7555160591484,-37.4370078740146,-1.38763151145616)); #38235=CARTESIAN_POINT('',(-15.8970788601322,-37.4370078740146,-1.)); #38236=CARTESIAN_POINT('',(-14.2609877591467,-37.4370078740146,-0.501493010923262)); #38237=CARTESIAN_POINT('Origin',(-14.3944877591467,-37.4370078740146,-0.501493010923262)); #38238=CARTESIAN_POINT('',(-11.2611472143269,-37.4370078740146,0.499997366376235)); #38239=CARTESIAN_POINT('Origin',(-11.3946472143269,-37.4370078740146,0.499997366376235)); #38240=CARTESIAN_POINT('',(-8.26098782578664,-37.4370078740146,-0.500598784543785)); #38241=CARTESIAN_POINT('Origin',(-8.39448782578664,-37.4370078740146,-0.500598784543785)); #38242=CARTESIAN_POINT('',(-5.26114721433003,-37.4370078740146,0.499997366376851)); #38243=CARTESIAN_POINT('Origin',(-5.39464721433003,-37.4370078740146,0.499997366376851)); #38244=CARTESIAN_POINT('',(-5.26098785910662,-37.4370078740146,-0.500151671354051)); #38245=CARTESIAN_POINT('Origin',(-5.39448785910662,-37.4370078740146,-0.500151671354051)); #38246=CARTESIAN_POINT('',(-8.26114721432847,-37.4370078740146,0.499997366376539)); #38247=CARTESIAN_POINT('Origin',(-8.39464721432847,-37.4370078740146,0.499997366376539)); #38248=CARTESIAN_POINT('',(-11.2609877924667,-37.4370078740146,-0.501045897733514)); #38249=CARTESIAN_POINT('Origin',(-11.3944877924667,-37.4370078740146,-0.501045897733514)); #38250=CARTESIAN_POINT('',(-14.2611472143253,-37.4370078740146,0.499997366375919)); #38251=CARTESIAN_POINT('Origin',(-14.3946472143253,-37.4370078740146,0.499997366375919)); #38252=CARTESIAN_POINT('Origin',(43.0554625142897,-4.86825334782863,-0.590621610515671)); #38253=CARTESIAN_POINT('',(43.1088275114368,-4.87465173519964,-0.719237686372681)); #38254=CARTESIAN_POINT('Origin',(43.0950696627281,-4.87300218867783,-0.586458732486233)); #38255=CARTESIAN_POINT('Origin',(43.5063742666894,-4.92231702657372,-0.543228887199565)); #38256=CARTESIAN_POINT('',(43.9314367193595,-4.97328141099141,-0.632777995799344)); #38257=CARTESIAN_POINT('Origin',(43.9176788706508,-4.9716318644696,-0.499999041912896)); #38258=CARTESIAN_POINT('Origin',(42.3411868108945,-10.8255858229838,-0.59062161051568)); #38259=CARTESIAN_POINT('',(42.3945518080416,-10.8319842103548,-0.71923768637269)); #38260=CARTESIAN_POINT('Origin',(42.3807939593329,-10.830334663833,-0.586458732486242)); #38261=CARTESIAN_POINT('Origin',(42.7920985632942,-10.8796495017288,-0.543228887199574)); #38262=CARTESIAN_POINT('',(43.2171610159643,-10.9306138861465,-0.632777995799353)); #38263=CARTESIAN_POINT('Origin',(43.2034031672556,-10.9289643396247,-0.499999041912906)); #38264=CARTESIAN_POINT('Origin',(41.6269111074993,-16.7829182981389,-0.590621610515686)); #38265=CARTESIAN_POINT('',(41.6802761046465,-16.7893166855099,-0.719237686372696)); #38266=CARTESIAN_POINT('Origin',(41.6665182559377,-16.7876671389881,-0.586458732486248)); #38267=CARTESIAN_POINT('Origin',(42.077822859899,-16.836981976884,-0.54322888719958)); #38268=CARTESIAN_POINT('',(42.5028853125691,-16.8879463613017,-0.632777995799359)); #38269=CARTESIAN_POINT('Origin',(42.4891274638604,-16.8862968147798,-0.499999041912911)); #38270=CARTESIAN_POINT('Origin',(40.9126354041041,-22.740250773294,-0.590621610515688)); #38271=CARTESIAN_POINT('',(40.9660004012513,-22.746649160665,-0.719237686372699)); #38272=CARTESIAN_POINT('Origin',(40.9522425525425,-22.7449996141432,-0.58645873248625)); #38273=CARTESIAN_POINT('Origin',(41.3635471565039,-22.7943144520391,-0.543228887199582)); #38274=CARTESIAN_POINT('',(41.7886096091739,-22.8452788364568,-0.632777995799361)); #38275=CARTESIAN_POINT('Origin',(41.7748517604652,-22.843629289935,-0.499999041912914)); #38276=CARTESIAN_POINT('Origin',(40.5554975524065,-25.7189170108716,-0.590621610515696)); #38277=CARTESIAN_POINT('',(40.6088625495537,-25.7253153982426,-0.719237686372707)); #38278=CARTESIAN_POINT('Origin',(40.5951047008449,-25.7236658517208,-0.586458732486259)); #38279=CARTESIAN_POINT('Origin',(41.0064093048063,-25.7729806896167,-0.54322888719959)); #38280=CARTESIAN_POINT('',(41.4314717574764,-25.8239450740343,-0.63277799579937)); #38281=CARTESIAN_POINT('Origin',(41.4177139087676,-25.8222955275125,-0.499999041912922)); #38282=CARTESIAN_POINT('Origin',(41.2697732558017,-19.7615845357164,-0.590621610515688)); #38283=CARTESIAN_POINT('',(41.3231382529489,-19.7679829230874,-0.719237686372699)); #38284=CARTESIAN_POINT('Origin',(41.3093804042401,-19.7663333765656,-0.58645873248625)); #38285=CARTESIAN_POINT('Origin',(41.7206850082014,-19.8156482144615,-0.543228887199582)); #38286=CARTESIAN_POINT('',(42.1457474608715,-19.8666125988792,-0.632777995799361)); #38287=CARTESIAN_POINT('Origin',(42.1319896121628,-19.8649630523574,-0.499999041912913)); #38288=CARTESIAN_POINT('Origin',(41.9840489591969,-13.8042520605613,-0.59062161051568)); #38289=CARTESIAN_POINT('',(42.0374139563441,-13.8106504479323,-0.71923768637269)); #38290=CARTESIAN_POINT('Origin',(42.0236561076353,-13.8090009014105,-0.586458732486242)); #38291=CARTESIAN_POINT('Origin',(42.4349607115966,-13.8583157393064,-0.543228887199573)); #38292=CARTESIAN_POINT('',(42.8600231642667,-13.9092801237241,-0.632777995799353)); #38293=CARTESIAN_POINT('Origin',(42.846265315558,-13.9076305772023,-0.499999041912905)); #38294=CARTESIAN_POINT('Origin',(42.6983246625921,-7.84691958540619,-0.590621610515672)); #38295=CARTESIAN_POINT('',(42.7516896597392,-7.8533179727772,-0.719237686372682)); #38296=CARTESIAN_POINT('Origin',(42.7379318110305,-7.8516684262554,-0.586458732486234)); #38297=CARTESIAN_POINT('Origin',(43.1492364149918,-7.90098326415128,-0.543228887199565)); #38298=CARTESIAN_POINT('',(43.5742988676619,-7.95194764856897,-0.632777995799345)); #38299=CARTESIAN_POINT('Origin',(43.5605410189532,-7.95029810204716,-0.499999041912897)); #38300=CARTESIAN_POINT('Origin',(43.863512118387,-1.94365078899615,-0.54322888719956)); #38301=CARTESIAN_POINT('',(43.4659653631344,-1.89598549762208,-0.719237686372677)); #38302=CARTESIAN_POINT('Origin',(43.4522075144257,-1.89433595110027,-0.586458732486229)); #38303=CARTESIAN_POINT('',(44.2885745710571,-1.99461517341385,-0.63277799579934)); #38304=CARTESIAN_POINT('Origin',(44.2748167223484,-1.99296562689204,-0.499999041912892)); #38305=CARTESIAN_POINT('',(43.5107614925175,-1.83300000000008,-0.465569134881608)); #38306=CARTESIAN_POINT('',(43.7682715440935,-1.83300000000008,-0.463899961031112)); #38307=CARTESIAN_POINT('Ctrl Pts',(43.5107614925175,-1.83300000000007,-0.465569134881608)); #38308=CARTESIAN_POINT('Ctrl Pts',(43.527577893888,-1.84185117187115,-0.459688483938548)); #38309=CARTESIAN_POINT('Ctrl Pts',(43.5447571555307,-1.8504693964107,-0.454776734811847)); #38310=CARTESIAN_POINT('Ctrl Pts',(43.581277909777,-1.86625499742479,-0.446285671953646)); #38311=CARTESIAN_POINT('Ctrl Pts',(43.6005779527833,-1.87337934910293,-0.442814619124612)); #38312=CARTESIAN_POINT('Ctrl Pts',(43.6303044731411,-1.87694351667737,-0.439690236699512)); #38313=CARTESIAN_POINT('Ctrl Pts',(43.6404804948872,-1.87701860094933,-0.438959669867622)); #38314=CARTESIAN_POINT('Ctrl Pts',(43.6606169649232,-1.87463894701197,-0.438488438672359)); #38315=CARTESIAN_POINT('Ctrl Pts',(43.6705887061393,-1.87227970331036,-0.438753025159558)); #38316=CARTESIAN_POINT('Ctrl Pts',(43.7002662729254,-1.86357901539049,-0.440909927213866)); #38317=CARTESIAN_POINT('Ctrl Pts',(43.7217499178687,-1.85451079112529,-0.444816900669991)); #38318=CARTESIAN_POINT('Ctrl Pts',(43.7510227278818,-1.84126398751544,-0.455231886965481)); #38319=CARTESIAN_POINT('Ctrl Pts',(43.7599344413697,-1.8370577810869,-0.459191503814832)); #38320=CARTESIAN_POINT('Ctrl Pts',(43.7682715440935,-1.83300000000007,-0.463899961031113)); #38321=CARTESIAN_POINT('Ctrl Pts',(43.5107614925175,-1.83300000000007,-0.465569134881608)); #38322=CARTESIAN_POINT('Ctrl Pts',(43.5092366044396,-1.82359097778275,-0.471281631165524)); #38323=CARTESIAN_POINT('Ctrl Pts',(43.507830491224,-1.81484692172294,-0.478077091660795)); #38324=CARTESIAN_POINT('Ctrl Pts',(43.50610893141,-1.79853664468437,-0.494133523936051)); #38325=CARTESIAN_POINT('Ctrl Pts',(43.5058981777201,-1.79114394982525,-0.503559182260123)); #38326=CARTESIAN_POINT('Ctrl Pts',(43.5079406531951,-1.77967103127425,-0.523252606158824)); #38327=CARTESIAN_POINT('Ctrl Pts',(43.5101763541163,-1.77511327672513,-0.53412933709025)); #38328=CARTESIAN_POINT('Ctrl Pts',(43.5178632745726,-1.76970199950841,-0.555656876950929)); #38329=CARTESIAN_POINT('Ctrl Pts',(43.5233451007304,-1.76882474644719,-0.566290771317947)); #38330=CARTESIAN_POINT('Ctrl Pts',(43.5378301083006,-1.76977860981723,-0.587464617202518)); #38331=CARTESIAN_POINT('Ctrl Pts',(43.5499405687422,-1.77304335651809,-0.5997925555757)); #38332=CARTESIAN_POINT('Ctrl Pts',(43.5740563843091,-1.78078891468268,-0.617262144897718)); #38333=CARTESIAN_POINT('Ctrl Pts',(43.5890314330601,-1.78617594286528,-0.624588245647355)); #38334=CARTESIAN_POINT('Ctrl Pts',(43.6208691719173,-1.79563313241181,-0.633183311466317)); #38335=CARTESIAN_POINT('Ctrl Pts',(43.6377870753901,-1.79952671670343,-0.634441045157382)); #38336=CARTESIAN_POINT('Ctrl Pts',(43.6691664420091,-1.80328905819727,-0.631142941632475)); #38337=CARTESIAN_POINT('Ctrl Pts',(43.6854546285693,-1.80344597788299,-0.626524296327868)); #38338=CARTESIAN_POINT('Ctrl Pts',(43.7150477077262,-1.80134060956159,-0.611477729686614)); #38339=CARTESIAN_POINT('Ctrl Pts',(43.7283690141679,-1.79929310225689,-0.601052808769928)); #38340=CARTESIAN_POINT('Ctrl Pts',(43.7484752007262,-1.79681333856406,-0.578785454409101)); #38341=CARTESIAN_POINT('Ctrl Pts',(43.7577114647263,-1.79614956805183,-0.56413684702632)); #38342=CARTESIAN_POINT('Ctrl Pts',(43.7673972950785,-1.79808731238252,-0.540609289268616)); #38343=CARTESIAN_POINT('Ctrl Pts',(43.7705337241791,-1.79999893658142,-0.529098932064343)); #38344=CARTESIAN_POINT('Ctrl Pts',(43.7735747280802,-1.80668145367641,-0.50648439481397)); #38345=CARTESIAN_POINT('Ctrl Pts',(43.7735093728332,-1.81148136149765,-0.495392853188113)); #38346=CARTESIAN_POINT('Ctrl Pts',(43.7716579220242,-1.82160681054052,-0.478007561895271)); #38347=CARTESIAN_POINT('Ctrl Pts',(43.7701602307414,-1.82695733913967,-0.47062424423789)); #38348=CARTESIAN_POINT('Ctrl Pts',(43.7682715440935,-1.83300000000008,-0.463899961031112)); #38349=CARTESIAN_POINT('Origin',(43.4126003659873,-1.88958711025107,-0.590621610515667)); #38350=CARTESIAN_POINT('Origin',(43.6397451074074,-1.87310744632016,-0.499999892786928)); #38351=CARTESIAN_POINT('Origin',(43.6397451074074,-1.83300000000008,-0.499999892786928)); #38352=CARTESIAN_POINT('Origin',(40.9126354580473,-22.7402508231491,0.590621207037739)); #38353=CARTESIAN_POINT('',(40.9384847543752,-22.7433501164861,0.453679374457313)); #38354=CARTESIAN_POINT('Origin',(40.9522426064857,-22.7449996631057,0.586458327990071)); #38355=CARTESIAN_POINT('Origin',(41.363547210447,-22.7943144917324,0.543228472129484)); #38356=CARTESIAN_POINT('',(41.7610939622978,-22.8419797737395,0.367219662736139)); #38357=CARTESIAN_POINT('Origin',(41.7748518144084,-22.8436293203591,0.499998616268897)); #38358=CARTESIAN_POINT('Origin',(41.6269110952664,-16.7829183400596,0.590621845713153)); #38359=CARTESIAN_POINT('',(41.6527603915942,-16.7860176333965,0.453680013132727)); #38360=CARTESIAN_POINT('Origin',(41.6665182437047,-16.7876671800162,0.586458966665485)); #38361=CARTESIAN_POINT('Origin',(42.0778228476661,-16.8369820086429,0.543229110804898)); #38362=CARTESIAN_POINT('',(42.4753695995169,-16.8846472906499,0.367220301411553)); #38363=CARTESIAN_POINT('Origin',(42.4891274516274,-16.8862968372695,0.499999254944312)); #38364=CARTESIAN_POINT('Origin',(42.3411867324854,-10.82558585697,0.590622484388568)); #38365=CARTESIAN_POINT('',(42.3670360288132,-10.828685150307,0.453680651808142)); #38366=CARTESIAN_POINT('Origin',(42.3807938809238,-10.8303346969266,0.5864596053409)); #38367=CARTESIAN_POINT('Origin',(42.7920984848851,-10.8796495255533,0.543229749480313)); #38368=CARTESIAN_POINT('',(43.1896452367359,-10.9273148075604,0.367220940086968)); #38369=CARTESIAN_POINT('Origin',(43.2034030888465,-10.92896435418,0.499999893619726)); #38370=CARTESIAN_POINT('Origin',(43.0554623697044,-4.86825337388047,0.590623123063983)); #38371=CARTESIAN_POINT('',(43.0813116660323,-4.87135266721746,0.453681290483556)); #38372=CARTESIAN_POINT('Origin',(43.0950695181428,-4.87300221383709,0.586460244016315)); #38373=CARTESIAN_POINT('Origin',(43.5063741221042,-4.92231704246378,0.543230388155728)); #38374=CARTESIAN_POINT('',(43.903920873955,-4.96998232447083,0.367221578762383)); #38375=CARTESIAN_POINT('Origin',(43.9176787260655,-4.97163187109046,0.500000532295141)); #38376=CARTESIAN_POINT('Origin',(43.412600188314,-1.8895871323357,0.590623442401691)); #38377=CARTESIAN_POINT('',(43.4670125034913,-1.76204101076898,0.576405704162025)); #38378=CARTESIAN_POINT('',(43.4729944046966,-1.78322572047144,0.657486331541227)); #38379=CARTESIAN_POINT('Ctrl Pts',(43.4670125034913,-1.76204101076897,0.576405704162025)); #38380=CARTESIAN_POINT('Ctrl Pts',(43.4643805025326,-1.76630062213669,0.586944090026245)); #38381=CARTESIAN_POINT('Ctrl Pts',(43.4625264721946,-1.77066758561682,0.598803820188791)); #38382=CARTESIAN_POINT('Ctrl Pts',(43.4626055441881,-1.77808861917892,0.622874292089558)); #38383=CARTESIAN_POINT('Ctrl Pts',(43.4646453878113,-1.781127387102,0.635086771730735)); #38384=CARTESIAN_POINT('Ctrl Pts',(43.4697032400016,-1.78290373374945,0.64989509919582)); #38385=CARTESIAN_POINT('Ctrl Pts',(43.4712302406262,-1.78318445885834,0.653701808200342)); #38386=CARTESIAN_POINT('Ctrl Pts',(43.4729944046966,-1.78322572047144,0.657486331541227)); #38387=CARTESIAN_POINT('Origin',(43.4522073367523,-1.89433597229232,0.586460563354023)); #38388=CARTESIAN_POINT('Origin',(43.8635119407137,-1.943650800919,0.543230707493436)); #38389=CARTESIAN_POINT('Ctrl Pts',(43.4729944046966,-1.78322572047144,0.657486331541227)); #38390=CARTESIAN_POINT('Ctrl Pts',(43.4751542671356,-1.78419450860973,0.658369744538189)); #38391=CARTESIAN_POINT('Ctrl Pts',(43.4773567238123,-1.78514568003742,0.659176356245554)); #38392=CARTESIAN_POINT('Ctrl Pts',(43.489286628918,-1.79005108848611,0.663035048677805)); #38393=CARTESIAN_POINT('Ctrl Pts',(43.4995272982977,-1.79346064768115,0.664680586010285)); #38394=CARTESIAN_POINT('Ctrl Pts',(43.5162695943644,-1.79546802482546,0.662920899785613)); #38395=CARTESIAN_POINT('Ctrl Pts',(43.5238386409302,-1.79515447342705,0.660592327944579)); #38396=CARTESIAN_POINT('Ctrl Pts',(43.5339708727174,-1.79316456034082,0.655068068394518)); #38397=CARTESIAN_POINT('Ctrl Pts',(43.5382954145923,-1.7917857092444,0.651858378201181)); #38398=CARTESIAN_POINT('Ctrl Pts',(43.5457766986442,-1.78860159630828,0.644416568414356)); #38399=CARTESIAN_POINT('Ctrl Pts',(43.5489379570339,-1.78681183464244,0.640182365861627)); #38400=CARTESIAN_POINT('Ctrl Pts',(43.5531563144226,-1.78381099788075,0.632538684496678)); #38401=CARTESIAN_POINT('Ctrl Pts',(43.5547923013235,-1.78234010189919,0.628589172389387)); #38402=CARTESIAN_POINT('Ctrl Pts',(43.5568063702374,-1.77954139017537,0.620363163405252)); #38403=CARTESIAN_POINT('Ctrl Pts',(43.5571732736673,-1.77821580381405,0.616083175369877)); #38404=CARTESIAN_POINT('Ctrl Pts',(43.5566076128477,-1.77591426006572,0.607927777032914)); #38405=CARTESIAN_POINT('Ctrl Pts',(43.5555437097209,-1.77477987484327,0.603433156843951)); #38406=CARTESIAN_POINT('Ctrl Pts',(43.5517808884254,-1.77288252461352,0.595080235034015)); #38407=CARTESIAN_POINT('Ctrl Pts',(43.549086025436,-1.77210992100782,0.591222299303209)); #38408=CARTESIAN_POINT('Ctrl Pts',(43.5427821837389,-1.77081134083047,0.584500028341167)); #38409=CARTESIAN_POINT('Ctrl Pts',(43.5388369402232,-1.77025609201351,0.581460207568615)); #38410=CARTESIAN_POINT('Ctrl Pts',(43.5301487949133,-1.76923743678321,0.576455199467068)); #38411=CARTESIAN_POINT('Ctrl Pts',(43.5254044537938,-1.76877377252123,0.57449256356032)); #38412=CARTESIAN_POINT('Ctrl Pts',(43.5105845037703,-1.76734073092533,0.570214632202021)); #38413=CARTESIAN_POINT('Ctrl Pts',(43.4999064110544,-1.76628512606769,0.569565079251671)); #38414=CARTESIAN_POINT('Ctrl Pts',(43.4901508154901,-1.76511544423391,0.570590433663019)); #38415=CARTESIAN_POINT('Ctrl Pts',(43.4825055982202,-1.76419879371298,0.571393978377614)); #38416=CARTESIAN_POINT('Ctrl Pts',(43.4744930182632,-1.76311363286569,0.573307477729604)); #38417=CARTESIAN_POINT('Ctrl Pts',(43.4670125034913,-1.76204101076898,0.576405704162025)); #38418=CARTESIAN_POINT('',(44.2610586925645,-1.99131608292606,0.367221898100091)); #38419=CARTESIAN_POINT('Origin',(44.274816544675,-1.99296562954569,0.50000085163285)); #38420=CARTESIAN_POINT('Origin',(42.6983245510949,-7.84691961542524,0.590622803726274)); #38421=CARTESIAN_POINT('',(42.7241738474228,-7.85001890876222,0.453680971145848)); #38422=CARTESIAN_POINT('Origin',(42.7379316995333,-7.85166845538185,0.586459924678606)); #38423=CARTESIAN_POINT('Origin',(43.1492363034946,-7.90098328400854,0.543230068818019)); #38424=CARTESIAN_POINT('',(43.5467830553454,-7.9486485660156,0.367221259424674)); #38425=CARTESIAN_POINT('Origin',(43.560540907456,-7.95029811263523,0.500000212957432)); #38426=CARTESIAN_POINT('Origin',(41.9840489138759,-13.8042520985148,0.590622165050862)); #38427=CARTESIAN_POINT('',(42.0098982102037,-13.8073513918518,0.453680332470436)); #38428=CARTESIAN_POINT('Origin',(42.0236560623143,-13.8090009384714,0.586459286003194)); #38429=CARTESIAN_POINT('Origin',(42.4349606662756,-13.8583157670981,0.543229430142607)); #38430=CARTESIAN_POINT('',(42.8325074181264,-13.9059810491051,0.367220620749262)); #38431=CARTESIAN_POINT('Origin',(42.8462652702369,-13.9076305957248,0.49999957428202)); #38432=CARTESIAN_POINT('Origin',(41.2697732766568,-19.7615845816043,0.590621526375445)); #38433=CARTESIAN_POINT('',(41.2956225729847,-19.7646838749413,0.453679693795019)); #38434=CARTESIAN_POINT('Origin',(41.3093804250952,-19.7663334215609,0.586458647327777)); #38435=CARTESIAN_POINT('Origin',(41.7206850290566,-19.8156482501876,0.54322879146719)); #38436=CARTESIAN_POINT('',(42.1182317809074,-19.8633135321947,0.367219982073845)); #38437=CARTESIAN_POINT('Origin',(42.1319896330179,-19.8649630788143,0.499998935606603)); #38438=CARTESIAN_POINT('Origin',(40.5554976394378,-25.7189170646939,0.590620887700028)); #38439=CARTESIAN_POINT('',(40.5813469357656,-25.7220163580308,0.453679055119601)); #38440=CARTESIAN_POINT('Origin',(40.5951047878762,-25.7236659046505,0.58645800865236)); #38441=CARTESIAN_POINT('Origin',(41.0064093918375,-25.7729807332772,0.543228152791773)); #38442=CARTESIAN_POINT('',(41.4039561436883,-25.8206460152842,0.367219343398428)); #38443=CARTESIAN_POINT('Origin',(41.4177139957989,-25.8222955619038,0.499998296931186)); #38444=CARTESIAN_POINT('Origin',(43.5119903627588,-1.77944217033243,0.640083133648513)); #38445=CARTESIAN_POINT('',(43.6099903627588,-1.75000000000008,0.640083133648513)); #38446=CARTESIAN_POINT('Origin',(43.5119903627588,-1.75000000000008,0.640083133648513)); #38447=CARTESIAN_POINT('Origin',(40.2775207925911,-1.77944217033243,0.470001562193034)); #38448=CARTESIAN_POINT('',(40.3755207925911,-1.75000000000008,0.470001562193034)); #38449=CARTESIAN_POINT('Origin',(40.2775207925911,-1.75000000000008,0.470001562193034)); #38450=CARTESIAN_POINT('Origin',(40.2775207925911,-1.37500000000008,0.470001562193034)); #38451=CARTESIAN_POINT('',(40.3755207925911,-1.00000000000008,0.470001562193034)); #38452=CARTESIAN_POINT('Origin',(40.2775207925911,-1.00000000000008,0.470001562193034)); #38453=CARTESIAN_POINT('Origin',(34.2752747727094,-1.77944217033243,0.470001594354653)); #38454=CARTESIAN_POINT('',(34.3732747727094,-1.75000000000008,0.470001594354653)); #38455=CARTESIAN_POINT('Origin',(34.2752747727094,-1.75000000000008,0.470001594354653)); #38456=CARTESIAN_POINT('Origin',(34.2752747727094,-1.37500000000008,0.470001594354653)); #38457=CARTESIAN_POINT('',(34.3732747727094,-1.00000000000008,0.470001594354653)); #38458=CARTESIAN_POINT('Origin',(34.2752747727094,-1.00000000000008,0.470001594354653)); #38459=CARTESIAN_POINT('Origin',(28.2730290588332,-1.77944217033243,0.470001594353791)); #38460=CARTESIAN_POINT('',(28.3710290588332,-1.75000000000008,0.470001594353791)); #38461=CARTESIAN_POINT('Origin',(28.2730290588332,-1.75000000000008,0.470001594353791)); #38462=CARTESIAN_POINT('Origin',(28.2730290588332,-1.37500000000008,0.470001594353791)); #38463=CARTESIAN_POINT('',(28.3710290588332,-1.00000000000008,0.470001594353791)); #38464=CARTESIAN_POINT('Origin',(28.2730290588332,-1.00000000000008,0.470001594353791)); #38465=CARTESIAN_POINT('Origin',(22.2707833449571,-1.77944217033243,0.470001594352931)); #38466=CARTESIAN_POINT('',(22.3687833449571,-1.75000000000008,0.470001594352931)); #38467=CARTESIAN_POINT('Origin',(22.2707833449571,-1.75000000000008,0.470001594352931)); #38468=CARTESIAN_POINT('Origin',(22.2707833449571,-1.37500000000008,0.470001594352931)); #38469=CARTESIAN_POINT('',(22.3687833449571,-1.00000000000008,0.470001594352931)); #38470=CARTESIAN_POINT('Origin',(22.2707833449571,-1.00000000000008,0.470001594352931)); #38471=CARTESIAN_POINT('Origin',(16.2685376310809,-1.77944217033243,0.470001594352065)); #38472=CARTESIAN_POINT('',(16.3665376310809,-1.75000000000008,0.470001594352065)); #38473=CARTESIAN_POINT('Origin',(16.2685376310809,-1.75000000000008,0.470001594352065)); #38474=CARTESIAN_POINT('Origin',(16.2685376310809,-1.37500000000008,0.470001594352065)); #38475=CARTESIAN_POINT('',(16.3665376310809,-1.00000000000008,0.470001594352065)); #38476=CARTESIAN_POINT('Origin',(16.2685376310809,-1.00000000000008,0.470001594352065)); #38477=CARTESIAN_POINT('Origin',(10.266322274419,-1.77944217033244,0.472047296660303)); #38478=CARTESIAN_POINT('',(10.364322274419,-1.75000000000009,0.472047296660303)); #38479=CARTESIAN_POINT('Origin',(10.266322274419,-1.75000000000009,0.472047296660303)); #38480=CARTESIAN_POINT('Origin',(10.266322274419,-1.37500000000009,0.472047296660303)); #38481=CARTESIAN_POINT('',(10.364322274419,-1.00000000000009,0.472047296660303)); #38482=CARTESIAN_POINT('Origin',(10.266322274419,-1.00000000000009,0.472047296660303)); #38483=CARTESIAN_POINT('Origin',(13.2674147741429,-1.77944217033243,0.470001594351633)); #38484=CARTESIAN_POINT('',(13.3654147741429,-1.75000000000008,0.470001594351633)); #38485=CARTESIAN_POINT('Origin',(13.2674147741429,-1.75000000000008,0.470001594351633)); #38486=CARTESIAN_POINT('Origin',(13.2674147741429,-1.37500000000008,0.470001594351633)); #38487=CARTESIAN_POINT('',(13.3654147741429,-1.00000000000008,0.470001594351633)); #38488=CARTESIAN_POINT('Origin',(13.2674147741429,-1.00000000000008,0.470001594351633)); #38489=CARTESIAN_POINT('Origin',(19.269660488019,-1.77944217033243,0.470001594352504)); #38490=CARTESIAN_POINT('',(19.367660488019,-1.75000000000008,0.470001594352504)); #38491=CARTESIAN_POINT('Origin',(19.269660488019,-1.75000000000008,0.470001594352504)); #38492=CARTESIAN_POINT('Origin',(19.269660488019,-1.37500000000008,0.470001594352504)); #38493=CARTESIAN_POINT('',(19.367660488019,-1.00000000000008,0.470001594352504)); #38494=CARTESIAN_POINT('Origin',(19.269660488019,-1.00000000000008,0.470001594352504)); #38495=CARTESIAN_POINT('Origin',(25.2719062018951,-1.77944217033243,0.470001594353358)); #38496=CARTESIAN_POINT('',(25.3699062018951,-1.75000000000008,0.470001594353358)); #38497=CARTESIAN_POINT('Origin',(25.2719062018951,-1.75000000000008,0.470001594353358)); #38498=CARTESIAN_POINT('Origin',(25.2719062018951,-1.37500000000008,0.470001594353358)); #38499=CARTESIAN_POINT('',(25.3699062018951,-1.00000000000008,0.470001594353358)); #38500=CARTESIAN_POINT('Origin',(25.2719062018951,-1.00000000000008,0.470001594353358)); #38501=CARTESIAN_POINT('Origin',(31.2741519157713,-1.77944217033243,0.470001594354221)); #38502=CARTESIAN_POINT('',(31.3721519157713,-1.75000000000008,0.470001594354221)); #38503=CARTESIAN_POINT('Origin',(31.2741519157713,-1.75000000000008,0.470001594354221)); #38504=CARTESIAN_POINT('Origin',(31.2741519157713,-1.37500000000008,0.470001594354221)); #38505=CARTESIAN_POINT('',(31.3721519157713,-1.00000000000008,0.470001594354221)); #38506=CARTESIAN_POINT('Origin',(31.2741519157713,-1.00000000000008,0.470001594354221)); #38507=CARTESIAN_POINT('Origin',(37.2763976296474,-1.77944217033243,0.470001594355087)); #38508=CARTESIAN_POINT('',(37.3743976296474,-1.75000000000008,0.470001594355087)); #38509=CARTESIAN_POINT('Origin',(37.2763976296474,-1.75000000000008,0.470001594355087)); #38510=CARTESIAN_POINT('Origin',(37.2763976296474,-1.37500000000008,0.470001594355087)); #38511=CARTESIAN_POINT('',(37.3743976296474,-1.00000000000008,0.470001594355087)); #38512=CARTESIAN_POINT('Origin',(37.2763976296474,-1.00000000000008,0.470001594355087)); #38513=CARTESIAN_POINT('Origin',(43.5119903627588,-1.37500000000008,0.640083133648513)); #38514=CARTESIAN_POINT('',(43.6099903627588,-1.00000000000008,0.640083133648513)); #38515=CARTESIAN_POINT('Origin',(43.5119903627588,-1.00000000000008,0.640083133648513)); #38516=CARTESIAN_POINT('Origin',(41.0278012008201,-1.87310744632017,0.470001594356549)); #38517=CARTESIAN_POINT('',(41.1613012008201,-1.83300000000008,0.470001594356549)); #38518=CARTESIAN_POINT('Origin',(41.0278012008201,-1.83300000000008,0.470001594356549)); #38519=CARTESIAN_POINT('Origin',(41.0278012008201,-1.41650000000008,0.470001594356549)); #38520=CARTESIAN_POINT('',(41.1613012008201,-1.00000000000008,0.470001594356549)); #38521=CARTESIAN_POINT('Origin',(41.0278012008201,-1.00000000000008,0.470001594356549)); #38522=CARTESIAN_POINT('Origin',(38.026678343882,-1.87310744632017,0.470001594356243)); #38523=CARTESIAN_POINT('',(38.160178343882,-1.83300000000008,0.470001594356243)); #38524=CARTESIAN_POINT('Origin',(38.026678343882,-1.83300000000008,0.470001594356243)); #38525=CARTESIAN_POINT('Origin',(38.026678343882,-1.41650000000008,0.470001594356243)); #38526=CARTESIAN_POINT('',(38.160178343882,-1.00000000000008,0.470001594356243)); #38527=CARTESIAN_POINT('Origin',(38.026678343882,-1.00000000000008,0.470001594356243)); #38528=CARTESIAN_POINT('Origin',(35.0255554869438,-1.87310744632017,0.470001594355949)); #38529=CARTESIAN_POINT('',(35.1590554869438,-1.83300000000008,0.470001594355949)); #38530=CARTESIAN_POINT('Origin',(35.0255554869438,-1.83300000000008,0.470001594355949)); #38531=CARTESIAN_POINT('Origin',(35.0255554869438,-1.41650000000008,0.470001594355949)); #38532=CARTESIAN_POINT('',(35.1590554869438,-1.00000000000008,0.470001594355949)); #38533=CARTESIAN_POINT('Origin',(35.0255554869438,-1.00000000000008,0.470001594355949)); #38534=CARTESIAN_POINT('Origin',(32.0244326300057,-1.87310744632017,0.470001594355652)); #38535=CARTESIAN_POINT('',(32.1579326300057,-1.83300000000008,0.470001594355652)); #38536=CARTESIAN_POINT('Origin',(32.0244326300057,-1.83300000000008,0.470001594355652)); #38537=CARTESIAN_POINT('Origin',(32.0244326300057,-1.41650000000008,0.470001594355652)); #38538=CARTESIAN_POINT('',(32.1579326300057,-1.00000000000008,0.470001594355652)); #38539=CARTESIAN_POINT('Origin',(32.0244326300057,-1.00000000000008,0.470001594355652)); #38540=CARTESIAN_POINT('Origin',(29.0233097730676,-1.87310744632017,0.470001594355348)); #38541=CARTESIAN_POINT('',(29.1568097730676,-1.83300000000008,0.470001594355348)); #38542=CARTESIAN_POINT('Origin',(29.0233097730676,-1.83300000000008,0.470001594355348)); #38543=CARTESIAN_POINT('Origin',(29.0233097730676,-1.41650000000008,0.470001594355348)); #38544=CARTESIAN_POINT('',(29.1568097730676,-1.00000000000008,0.470001594355348)); #38545=CARTESIAN_POINT('Origin',(29.0233097730676,-1.00000000000008,0.470001594355348)); #38546=CARTESIAN_POINT('Origin',(26.0221869161295,-1.87310744632017,0.47000159435505)); #38547=CARTESIAN_POINT('',(26.1556869161295,-1.83300000000008,0.47000159435505)); #38548=CARTESIAN_POINT('Origin',(26.0221869161295,-1.83300000000008,0.47000159435505)); #38549=CARTESIAN_POINT('Origin',(26.0221869161295,-1.41650000000008,0.47000159435505)); #38550=CARTESIAN_POINT('',(26.1556869161295,-1.00000000000008,0.47000159435505)); #38551=CARTESIAN_POINT('Origin',(26.0221869161295,-1.00000000000008,0.47000159435505)); #38552=CARTESIAN_POINT('Origin',(23.0210640591914,-1.87310744632017,0.470001594354754)); #38553=CARTESIAN_POINT('',(23.1545640591914,-1.83300000000008,0.470001594354754)); #38554=CARTESIAN_POINT('Origin',(23.0210640591914,-1.83300000000008,0.470001594354754)); #38555=CARTESIAN_POINT('Origin',(23.0210640591914,-1.41650000000008,0.470001594354754)); #38556=CARTESIAN_POINT('',(23.1545640591914,-1.00000000000008,0.470001594354754)); #38557=CARTESIAN_POINT('Origin',(23.0210640591914,-1.00000000000008,0.470001594354754)); #38558=CARTESIAN_POINT('Origin',(20.0199412022533,-1.87310744632017,0.47000159435445)); #38559=CARTESIAN_POINT('',(20.1534412022533,-1.83300000000008,0.47000159435445)); #38560=CARTESIAN_POINT('Origin',(20.0199412022533,-1.83300000000008,0.47000159435445)); #38561=CARTESIAN_POINT('Origin',(20.0199412022533,-1.41650000000008,0.47000159435445)); #38562=CARTESIAN_POINT('',(20.1534412022533,-1.00000000000008,0.47000159435445)); #38563=CARTESIAN_POINT('Origin',(20.0199412022533,-1.00000000000008,0.47000159435445)); #38564=CARTESIAN_POINT('Origin',(17.0188183453152,-1.87310744632017,0.470001594354152)); #38565=CARTESIAN_POINT('',(17.1523183453152,-1.83300000000008,0.470001594354152)); #38566=CARTESIAN_POINT('Origin',(17.0188183453152,-1.83300000000008,0.470001594354152)); #38567=CARTESIAN_POINT('Origin',(17.0188183453152,-1.41650000000008,0.470001594354152)); #38568=CARTESIAN_POINT('',(17.1523183453152,-1.00000000000008,0.470001594354152)); #38569=CARTESIAN_POINT('Origin',(17.0188183453152,-1.00000000000008,0.470001594354152)); #38570=CARTESIAN_POINT('Origin',(14.0176954883771,-1.87310744632017,0.470001594353859)); #38571=CARTESIAN_POINT('',(14.1511954883771,-1.83300000000008,0.470001594353859)); #38572=CARTESIAN_POINT('Origin',(14.0176954883771,-1.83300000000008,0.470001594353859)); #38573=CARTESIAN_POINT('Origin',(14.0176954883771,-1.41650000000008,0.470001594353859)); #38574=CARTESIAN_POINT('',(14.1511954883771,-1.00000000000008,0.470001594353859)); #38575=CARTESIAN_POINT('Origin',(14.0176954883771,-1.00000000000008,0.470001594353859)); #38576=CARTESIAN_POINT('Origin',(11.016572631439,-1.87310744632017,0.470001594353555)); #38577=CARTESIAN_POINT('',(11.150072631439,-1.83300000000009,0.470001594353555)); #38578=CARTESIAN_POINT('Origin',(11.016572631439,-1.83300000000009,0.470001594353555)); #38579=CARTESIAN_POINT('Origin',(11.016572631439,-1.41650000000009,0.470001594353555)); #38580=CARTESIAN_POINT('',(11.150072631439,-1.00000000000009,0.470001594353555)); #38581=CARTESIAN_POINT('Origin',(11.016572631439,-1.00000000000009,0.470001594353555)); #38582=CARTESIAN_POINT('Origin',(7.26608643632332,-1.87310744632018,0.482176002483947)); #38583=CARTESIAN_POINT('',(7.39958643632332,-1.83300000000009,0.482176002483947)); #38584=CARTESIAN_POINT('Origin',(7.26608643632332,-1.83300000000009,0.482176002483947)); #38585=CARTESIAN_POINT('Origin',(7.26608643632332,-1.41650000000009,0.482176002483947)); #38586=CARTESIAN_POINT('',(7.39958643632332,-1.00000000000009,0.482176002483947)); #38587=CARTESIAN_POINT('Origin',(7.26608643632332,-1.00000000000009,0.482176002483947)); #38588=CARTESIAN_POINT('Origin',(3.26611224774534,-1.87310744632018,0.496545786509841)); #38589=CARTESIAN_POINT('',(3.39961224774534,-1.83300000000009,0.496545786509841)); #38590=CARTESIAN_POINT('Origin',(3.26611224774534,-1.83300000000009,0.496545786509841)); #38591=CARTESIAN_POINT('Origin',(3.26611224774534,-1.41650000000009,0.496545786509841)); #38592=CARTESIAN_POINT('',(3.39961224774534,-1.00000000000009,0.496545786509841)); #38593=CARTESIAN_POINT('Origin',(3.26611224774534,-1.00000000000009,0.496545786509841)); #38594=CARTESIAN_POINT('Origin',(-0.733861940832667,-1.87310744632018,0.510915570535728)); #38595=CARTESIAN_POINT('',(-0.600361940832667,-1.83300000000009,0.510915570535728)); #38596=CARTESIAN_POINT('Origin',(-0.733861940832667,-1.83300000000009,0.510915570535728)); #38597=CARTESIAN_POINT('Origin',(-0.733861940832667,-1.41650000000009,0.510915570535728)); #38598=CARTESIAN_POINT('',(-0.600361940832667,-1.00000000000009,0.510915570535728)); #38599=CARTESIAN_POINT('Origin',(-0.733861940832668,-1.00000000000009,0.510915570535728)); #38600=CARTESIAN_POINT('Origin',(1.26612515345634,-1.87310744632018,0.503730678522787)); #38601=CARTESIAN_POINT('',(1.39962515345634,-1.83300000000009,0.503730678522787)); #38602=CARTESIAN_POINT('Origin',(1.26612515345634,-1.83300000000009,0.503730678522787)); #38603=CARTESIAN_POINT('Origin',(1.26612515345634,-1.41650000000009,0.503730678522787)); #38604=CARTESIAN_POINT('',(1.39962515345634,-1.00000000000009,0.503730678522787)); #38605=CARTESIAN_POINT('Origin',(1.26612515345634,-1.00000000000009,0.503730678522787)); #38606=CARTESIAN_POINT('Origin',(5.26609934203433,-1.87310744632018,0.489360894496888)); #38607=CARTESIAN_POINT('',(5.39959934203433,-1.83300000000009,0.489360894496888)); #38608=CARTESIAN_POINT('Origin',(5.26609934203433,-1.83300000000009,0.489360894496888)); #38609=CARTESIAN_POINT('Origin',(5.26609934203433,-1.41650000000009,0.489360894496888)); #38610=CARTESIAN_POINT('',(5.39959934203433,-1.00000000000009,0.489360894496888)); #38611=CARTESIAN_POINT('Origin',(5.26609934203433,-1.00000000000009,0.489360894496888)); #38612=CARTESIAN_POINT('Origin',(9.51607191739848,-1.87310744632018,0.47409299897157)); #38613=CARTESIAN_POINT('',(9.64957191739848,-1.83300000000009,0.47409299897157)); #38614=CARTESIAN_POINT('Origin',(9.51607191739848,-1.83300000000009,0.47409299897157)); #38615=CARTESIAN_POINT('Origin',(9.51607191739848,-1.41650000000009,0.47409299897157)); #38616=CARTESIAN_POINT('',(9.64957191739848,-1.00000000000009,0.47409299897157)); #38617=CARTESIAN_POINT('Origin',(9.51607191739848,-1.00000000000009,0.47409299897157)); #38618=CARTESIAN_POINT('Origin',(12.5171340599081,-1.87310744632017,0.470001594353707)); #38619=CARTESIAN_POINT('',(12.6506340599081,-1.83300000000008,0.470001594353707)); #38620=CARTESIAN_POINT('Origin',(12.5171340599081,-1.83300000000008,0.470001594353707)); #38621=CARTESIAN_POINT('Origin',(12.5171340599081,-1.41650000000008,0.470001594353707)); #38622=CARTESIAN_POINT('',(12.6506340599081,-1.00000000000008,0.470001594353707)); #38623=CARTESIAN_POINT('Origin',(12.5171340599081,-1.00000000000008,0.470001594353707)); #38624=CARTESIAN_POINT('Origin',(15.5182569168462,-1.87310744632017,0.470001594354002)); #38625=CARTESIAN_POINT('',(15.6517569168462,-1.83300000000008,0.470001594354002)); #38626=CARTESIAN_POINT('Origin',(15.5182569168462,-1.83300000000008,0.470001594354002)); #38627=CARTESIAN_POINT('Origin',(15.5182569168462,-1.41650000000008,0.470001594354002)); #38628=CARTESIAN_POINT('',(15.6517569168462,-1.00000000000008,0.470001594354002)); #38629=CARTESIAN_POINT('Origin',(15.5182569168462,-1.00000000000008,0.470001594354002)); #38630=CARTESIAN_POINT('Origin',(18.5193797737843,-1.87310744632017,0.470001594354295)); #38631=CARTESIAN_POINT('',(18.6528797737843,-1.83300000000008,0.470001594354295)); #38632=CARTESIAN_POINT('Origin',(18.5193797737843,-1.83300000000008,0.470001594354295)); #38633=CARTESIAN_POINT('Origin',(18.5193797737843,-1.41650000000008,0.470001594354295)); #38634=CARTESIAN_POINT('',(18.6528797737843,-1.00000000000008,0.470001594354295)); #38635=CARTESIAN_POINT('Origin',(18.5193797737843,-1.00000000000008,0.470001594354295)); #38636=CARTESIAN_POINT('Origin',(21.5205026307224,-1.87310744632017,0.470001594354605)); #38637=CARTESIAN_POINT('',(21.6540026307224,-1.83300000000008,0.470001594354605)); #38638=CARTESIAN_POINT('Origin',(21.5205026307224,-1.83300000000008,0.470001594354605)); #38639=CARTESIAN_POINT('Origin',(21.5205026307224,-1.41650000000008,0.470001594354605)); #38640=CARTESIAN_POINT('',(21.6540026307224,-1.00000000000008,0.470001594354605)); #38641=CARTESIAN_POINT('Origin',(21.5205026307224,-1.00000000000008,0.470001594354605)); #38642=CARTESIAN_POINT('Origin',(24.5216254876605,-1.87310744632017,0.470001594354898)); #38643=CARTESIAN_POINT('',(24.6551254876605,-1.83300000000008,0.470001594354898)); #38644=CARTESIAN_POINT('Origin',(24.5216254876605,-1.83300000000008,0.470001594354898)); #38645=CARTESIAN_POINT('Origin',(24.5216254876605,-1.41650000000008,0.470001594354898)); #38646=CARTESIAN_POINT('',(24.6551254876605,-1.00000000000008,0.470001594354898)); #38647=CARTESIAN_POINT('Origin',(24.5216254876605,-1.00000000000008,0.470001594354898)); #38648=CARTESIAN_POINT('Origin',(27.5227483445986,-1.87310744632017,0.470001594355196)); #38649=CARTESIAN_POINT('',(27.6562483445986,-1.83300000000008,0.470001594355196)); #38650=CARTESIAN_POINT('Origin',(27.5227483445986,-1.83300000000008,0.470001594355196)); #38651=CARTESIAN_POINT('Origin',(27.5227483445986,-1.41650000000008,0.470001594355196)); #38652=CARTESIAN_POINT('',(27.6562483445986,-1.00000000000008,0.470001594355196)); #38653=CARTESIAN_POINT('Origin',(27.5227483445986,-1.00000000000008,0.470001594355196)); #38654=CARTESIAN_POINT('Origin',(30.5238712015367,-1.87310744632017,0.470001594355503)); #38655=CARTESIAN_POINT('',(30.6573712015367,-1.83300000000008,0.470001594355503)); #38656=CARTESIAN_POINT('Origin',(30.5238712015367,-1.83300000000008,0.470001594355503)); #38657=CARTESIAN_POINT('Origin',(30.5238712015367,-1.41650000000008,0.470001594355503)); #38658=CARTESIAN_POINT('',(30.6573712015367,-1.00000000000008,0.470001594355503)); #38659=CARTESIAN_POINT('Origin',(30.5238712015367,-1.00000000000008,0.470001594355503)); #38660=CARTESIAN_POINT('Origin',(33.5249940584748,-1.87310744632017,0.470001594355794)); #38661=CARTESIAN_POINT('',(33.6584940584748,-1.83300000000008,0.470001594355794)); #38662=CARTESIAN_POINT('Origin',(33.5249940584748,-1.83300000000008,0.470001594355794)); #38663=CARTESIAN_POINT('Origin',(33.5249940584748,-1.41650000000008,0.470001594355794)); #38664=CARTESIAN_POINT('',(33.6584940584748,-1.00000000000008,0.470001594355794)); #38665=CARTESIAN_POINT('Origin',(33.5249940584748,-1.00000000000008,0.470001594355794)); #38666=CARTESIAN_POINT('Origin',(36.5261169154129,-1.87310744632017,0.470001594356093)); #38667=CARTESIAN_POINT('',(36.6596169154129,-1.83300000000008,0.470001594356093)); #38668=CARTESIAN_POINT('Origin',(36.5261169154129,-1.83300000000008,0.470001594356093)); #38669=CARTESIAN_POINT('Origin',(36.5261169154129,-1.41650000000008,0.470001594356093)); #38670=CARTESIAN_POINT('',(36.6596169154129,-1.00000000000008,0.470001594356093)); #38671=CARTESIAN_POINT('Origin',(36.5261169154129,-1.00000000000008,0.470001594356093)); #38672=CARTESIAN_POINT('Origin',(39.527239772351,-1.87310744632017,0.470001594356395)); #38673=CARTESIAN_POINT('',(39.660739772351,-1.83300000000008,0.470001594356395)); #38674=CARTESIAN_POINT('Origin',(39.527239772351,-1.83300000000008,0.470001594356395)); #38675=CARTESIAN_POINT('Origin',(39.527239772351,-1.41650000000008,0.470001594356395)); #38676=CARTESIAN_POINT('',(39.660739772351,-1.00000000000008,0.470001594356395)); #38677=CARTESIAN_POINT('Origin',(39.527239772351,-1.00000000000008,0.470001594356395)); #38678=CARTESIAN_POINT('Origin',(42.5119903627594,-1.87310744632017,0.640083133649284)); #38679=CARTESIAN_POINT('',(42.6454903627594,-1.83300000000008,0.640083133649284)); #38680=CARTESIAN_POINT('Origin',(42.5119903627594,-1.83300000000008,0.640083133649284)); #38681=CARTESIAN_POINT('Origin',(42.5119903627594,-1.41650000000008,0.640083133649284)); #38682=CARTESIAN_POINT('',(42.6454903627594,-1.00000000000008,0.640083133649284)); #38683=CARTESIAN_POINT('Origin',(42.5119903627594,-1.00000000000008,0.640083133649284)); #38684=CARTESIAN_POINT('Origin',(-14.9804861946585,-36.4370277756792,0.40873784263506)); #38685=CARTESIAN_POINT('',(-15.0343284780374,-36.4370278312061,0.280161539332817)); #38686=CARTESIAN_POINT('Origin',(-15.0203739281911,-36.4370278168149,0.412930212364483)); #38687=CARTESIAN_POINT('Origin',(-15.4345922976118,-36.4370282439928,0.456466317315405)); #38688=CARTESIAN_POINT('',(-15.8627652168787,-36.4370286855619,0.36723374923466)); #38689=CARTESIAN_POINT('Origin',(-15.8488106670325,-36.4370286711708,0.500002422266327)); #38690=CARTESIAN_POINT('Origin',(-14.9804924499807,-30.4370277756826,0.408737199448828)); #38691=CARTESIAN_POINT('',(-15.0343347333595,-30.4370278312094,0.280160896146585)); #38692=CARTESIAN_POINT('Origin',(-15.0203801835133,-30.4370278168183,0.412929569178251)); #38693=CARTESIAN_POINT('Origin',(-15.434598552934,-30.4370282439962,0.456465674129173)); #38694=CARTESIAN_POINT('',(-15.8627714722009,-30.4370286855653,0.367233106048428)); #38695=CARTESIAN_POINT('Origin',(-15.8488169223547,-30.4370286711741,0.500001779080095)); #38696=CARTESIAN_POINT('Origin',(-14.9804987053028,-24.437027775686,0.408736556262644)); #38697=CARTESIAN_POINT('',(-15.0343409886817,-24.4370278312128,0.280160252960401)); #38698=CARTESIAN_POINT('Origin',(-15.0203864388355,-24.4370278168217,0.412928925992067)); #38699=CARTESIAN_POINT('Origin',(-15.4346048082561,-24.4370282439996,0.456465030942989)); #38700=CARTESIAN_POINT('',(-15.862777727523,-24.4370286855686,0.367232462862244)); #38701=CARTESIAN_POINT('Origin',(-15.8488231776768,-24.4370286711775,0.50000113589391)); #38702=CARTESIAN_POINT('Origin',(-14.980504960625,-18.4370277756893,0.408735913076466)); #38703=CARTESIAN_POINT('',(-15.0343472440038,-18.4370278312162,0.280159609774223)); #38704=CARTESIAN_POINT('Origin',(-15.0203926941576,-18.437027816825,0.412928282805889)); #38705=CARTESIAN_POINT('Origin',(-15.4346110635783,-18.4370282440029,0.456464387756811)); #38706=CARTESIAN_POINT('',(-15.8627839828452,-18.437028685572,0.367231819676066)); #38707=CARTESIAN_POINT('Origin',(-15.848829432999,-18.4370286711809,0.500000492707733)); #38708=CARTESIAN_POINT('Origin',(-14.9805112159472,-12.4370277756927,0.408735269890285)); #38709=CARTESIAN_POINT('',(-15.034353499326,-12.4370278312195,0.280158966588042)); #38710=CARTESIAN_POINT('Origin',(-15.0203989494798,-12.4370278168284,0.412927639619708)); #38711=CARTESIAN_POINT('Origin',(-15.4346173189004,-12.4370282440063,0.45646374457063)); #38712=CARTESIAN_POINT('',(-15.8627902381673,-12.4370286855754,0.367231176489886)); #38713=CARTESIAN_POINT('Origin',(-15.8488356883211,-12.4370286711842,0.499999849521552)); #38714=CARTESIAN_POINT('Origin',(-14.9805080882861,-15.437027775691,0.408735591483375)); #38715=CARTESIAN_POINT('',(-15.0343503716649,-15.4370278312178,0.280159288181132)); #38716=CARTESIAN_POINT('Origin',(-15.0203958218187,-15.4370278168267,0.412927961212798)); #38717=CARTESIAN_POINT('Origin',(-15.4346141912394,-15.4370282440046,0.45646406616372)); #38718=CARTESIAN_POINT('',(-15.8627871105062,-15.4370286855737,0.367231498082975)); #38719=CARTESIAN_POINT('Origin',(-15.84883256066,-15.4370286711825,0.500000171114641)); #38720=CARTESIAN_POINT('Origin',(-14.9805018329639,-21.4370277756876,0.408736234669562)); #38721=CARTESIAN_POINT('',(-15.0343441163427,-21.4370278312145,0.280159931367319)); #38722=CARTESIAN_POINT('Origin',(-15.0203895664965,-21.4370278168233,0.412928604398986)); #38723=CARTESIAN_POINT('Origin',(-15.4346079359172,-21.4370282440012,0.456464709349907)); #38724=CARTESIAN_POINT('',(-15.8627808551841,-21.4370286855703,0.367232141269163)); #38725=CARTESIAN_POINT('Origin',(-15.8488263053379,-21.4370286711792,0.500000814300829)); #38726=CARTESIAN_POINT('Origin',(-14.9804955776418,-27.4370277756843,0.408736877855735)); #38727=CARTESIAN_POINT('',(-15.0343378610206,-27.4370278312111,0.280160574553493)); #38728=CARTESIAN_POINT('Origin',(-15.0203833111744,-27.43702781682,0.412929247585159)); #38729=CARTESIAN_POINT('Origin',(-15.4346016805951,-27.4370282439979,0.456465352536081)); #38730=CARTESIAN_POINT('',(-15.8627745998619,-27.4370286855669,0.367232784455336)); #38731=CARTESIAN_POINT('Origin',(-15.8488200500157,-27.4370286711758,0.500001457487002)); #38732=CARTESIAN_POINT('Origin',(-14.9804893223196,-33.4370277756809,0.408737521041918)); #38733=CARTESIAN_POINT('',(-15.0343316056984,-33.4370278312078,0.280161217739676)); #38734=CARTESIAN_POINT('Origin',(-15.0203770558522,-33.4370278168166,0.412929890771342)); #38735=CARTESIAN_POINT('Origin',(-15.4345954252729,-33.4370282439945,0.456465995722264)); #38736=CARTESIAN_POINT('',(-15.8627683445398,-33.4370286855636,0.367233427641519)); #38737=CARTESIAN_POINT('Origin',(-15.8488137946936,-33.4370286711724,0.500002100673185)); #38738=CARTESIAN_POINT('Origin',(-14.5209740315489,-10.8588549371402,0.442590878050144)); #38739=CARTESIAN_POINT('',(-14.4420602070807,-10.7439788692719,0.445228033570414)); #38740=CARTESIAN_POINT('Origin',(-14.5460648902912,-10.8276763953422,0.445228033570414)); #38741=CARTESIAN_POINT('Origin',(-14.806623555203,-10.5038995446484,0.472613852787787)); #38742=CARTESIAN_POINT('',(-14.9631775369042,-10.0964251678843,0.49999967200516)); #38743=CARTESIAN_POINT('Origin',(-15.0671822201147,-10.1801226939545,0.49999967200516)); #38744=CARTESIAN_POINT('Origin',(-10.236136911964,-7.41064223218313,0.442590480396582)); #38745=CARTESIAN_POINT('',(-10.1572230874959,-7.2957661643148,0.445227635916852)); #38746=CARTESIAN_POINT('Origin',(-10.2612277707064,-7.37946369038508,0.445227635916852)); #38747=CARTESIAN_POINT('Origin',(-10.5217864356181,-7.05568683969127,0.472613455134225)); #38748=CARTESIAN_POINT('',(-10.6783404173194,-6.64821246292718,0.499999274351598)); #38749=CARTESIAN_POINT('Origin',(-10.7823451005299,-6.73190998899746,0.499999274351598)); #38750=CARTESIAN_POINT('Origin',(-5.95129979237921,-3.96242952722607,0.442590082743002)); #38751=CARTESIAN_POINT('',(-5.87238596791105,-3.84755345935774,0.445227238263272)); #38752=CARTESIAN_POINT('Origin',(-5.97639065112155,-3.93125098542801,0.445227238263272)); #38753=CARTESIAN_POINT('Origin',(-6.23694931603329,-3.6074741347342,0.472613057480645)); #38754=CARTESIAN_POINT('',(-6.39350329773454,-3.19999975797012,0.499998876698018)); #38755=CARTESIAN_POINT('Origin',(-6.49750798094504,-3.28369728404039,0.499998876698019)); #38756=CARTESIAN_POINT('Origin',(-3.80888123258678,-2.23832317474752,0.442589883916229)); #38757=CARTESIAN_POINT('',(-3.72996740811861,-2.12344710687919,0.445227039436499)); #38758=CARTESIAN_POINT('Origin',(-3.83397209132911,-2.20714463294947,0.4452270394365)); #38759=CARTESIAN_POINT('Origin',(-4.09453075624086,-1.88336778225566,0.472612858653873)); #38760=CARTESIAN_POINT('',(-4.25108473794211,-1.47589340549157,0.499998677871246)); #38761=CARTESIAN_POINT('Origin',(-4.3550894211526,-1.55959093156184,0.499998677871246)); #38762=CARTESIAN_POINT('Origin',(-8.09371835217165,-5.68653587970461,0.442590281569794)); #38763=CARTESIAN_POINT('',(-8.01480452770348,-5.57165981183629,0.445227437090064)); #38764=CARTESIAN_POINT('Origin',(-8.11880921091398,-5.65535733790656,0.445227437090064)); #38765=CARTESIAN_POINT('Origin',(-8.37936787582573,-5.33158048721275,0.472613256307437)); #38766=CARTESIAN_POINT('',(-8.53592185752698,-4.92410611044867,0.49999907552481)); #38767=CARTESIAN_POINT('Origin',(-8.63992654073747,-5.00780363651894,0.499999075524811)); #38768=CARTESIAN_POINT('Origin',(-12.3785554717565,-9.13474858466166,0.442590679223366)); #38769=CARTESIAN_POINT('',(-12.2996416472883,-9.01987251679333,0.445227834743636)); #38770=CARTESIAN_POINT('Origin',(-12.4036463304988,-9.1035700428636,0.445227834743636)); #38771=CARTESIAN_POINT('Origin',(-12.6642049954105,-8.77979319216979,0.472613653961009)); #38772=CARTESIAN_POINT('',(-12.8207589771118,-8.37231881540571,0.499999473178382)); #38773=CARTESIAN_POINT('Origin',(-12.9247636603223,-8.45601634147598,0.499999473178383)); #38774=CARTESIAN_POINT('Origin',(-12.3785554717565,-9.13474858466166,-0.442590679223366)); #38775=CARTESIAN_POINT('',(-12.2996416472883,-9.01987251679333,-0.445227834743636)); #38776=CARTESIAN_POINT('Origin',(-12.4036463304988,-9.1035700428636,-0.445227834743636)); #38777=CARTESIAN_POINT('Origin',(-12.6642049954105,-8.77979319216979,-0.472613653961009)); #38778=CARTESIAN_POINT('',(-12.8207589771118,-8.37231881540571,-0.499999473178382)); #38779=CARTESIAN_POINT('Origin',(-12.9247636603223,-8.45601634147598,-0.499999473178382)); #38780=CARTESIAN_POINT('Origin',(-8.09371835217165,-5.68653587970461,-0.442590281569794)); #38781=CARTESIAN_POINT('',(-8.01480452770348,-5.57165981183629,-0.445227437090064)); #38782=CARTESIAN_POINT('Origin',(-8.11880921091398,-5.65535733790656,-0.445227437090064)); #38783=CARTESIAN_POINT('Origin',(-8.37936787582573,-5.33158048721275,-0.472613256307437)); #38784=CARTESIAN_POINT('',(-8.53592185752698,-4.92410611044867,-0.49999907552481)); #38785=CARTESIAN_POINT('Origin',(-8.63992654073747,-5.00780363651894,-0.499999075524811)); #38786=CARTESIAN_POINT('Origin',(-3.80888123258678,-2.23832317474752,-0.442589883916229)); #38787=CARTESIAN_POINT('',(-3.72996740811861,-2.12344710687919,-0.445227039436499)); #38788=CARTESIAN_POINT('Origin',(-3.83397209132911,-2.20714463294947,-0.4452270394365)); #38789=CARTESIAN_POINT('Origin',(-4.09453075624086,-1.88336778225566,-0.472612858653873)); #38790=CARTESIAN_POINT('',(-4.25108473794211,-1.47589340549157,-0.499998677871246)); #38791=CARTESIAN_POINT('Origin',(-4.3550894211526,-1.55959093156185,-0.499998677871246)); #38792=CARTESIAN_POINT('Origin',(-5.95129979237921,-3.96242952722607,-0.442590082743002)); #38793=CARTESIAN_POINT('',(-5.87238596791105,-3.84755345935774,-0.445227238263272)); #38794=CARTESIAN_POINT('Origin',(-5.97639065112155,-3.93125098542801,-0.445227238263272)); #38795=CARTESIAN_POINT('Origin',(-6.23694931603329,-3.6074741347342,-0.472613057480645)); #38796=CARTESIAN_POINT('',(-6.39350329773454,-3.19999975797012,-0.499998876698018)); #38797=CARTESIAN_POINT('Origin',(-6.49750798094504,-3.28369728404039,-0.499998876698018)); #38798=CARTESIAN_POINT('Origin',(-10.236136911964,-7.41064223218313,-0.442590480396582)); #38799=CARTESIAN_POINT('',(-10.1572230874959,-7.2957661643148,-0.445227635916852)); #38800=CARTESIAN_POINT('Origin',(-10.2612277707064,-7.37946369038508,-0.445227635916852)); #38801=CARTESIAN_POINT('Origin',(-10.5217864356181,-7.05568683969127,-0.472613455134225)); #38802=CARTESIAN_POINT('',(-10.6783404173194,-6.64821246292718,-0.499999274351598)); #38803=CARTESIAN_POINT('Origin',(-10.7823451005299,-6.73190998899746,-0.499999274351598)); #38804=CARTESIAN_POINT('Origin',(-14.5209740315489,-10.8588549371402,-0.442590878050144)); #38805=CARTESIAN_POINT('',(-14.4420602070807,-10.7439788692719,-0.445228033570414)); #38806=CARTESIAN_POINT('Origin',(-14.5460648902912,-10.8276763953422,-0.445228033570414)); #38807=CARTESIAN_POINT('Origin',(-14.806623555203,-10.5038995446484,-0.472613852787787)); #38808=CARTESIAN_POINT('',(-14.9631775369042,-10.0964251678843,-0.49999967200516)); #38809=CARTESIAN_POINT('Origin',(-15.0671822201147,-10.1801226939545,-0.49999967200516)); #38810=CARTESIAN_POINT('Origin',(-14.9804893223196,-33.4370277756809,-0.408737521041918)); #38811=CARTESIAN_POINT('',(-15.0343316056984,-33.4370278312078,-0.280161217739676)); #38812=CARTESIAN_POINT('Origin',(-15.0203770558522,-33.4370278168166,-0.412929890771342)); #38813=CARTESIAN_POINT('Origin',(-15.4345954252729,-33.4370282439945,-0.456465995722264)); #38814=CARTESIAN_POINT('',(-15.8627683445398,-33.4370286855636,-0.367233427641519)); #38815=CARTESIAN_POINT('Origin',(-15.8488137946936,-33.4370286711724,-0.500002100673185)); #38816=CARTESIAN_POINT('Origin',(-14.9804955776418,-27.4370277756843,-0.408736877855735)); #38817=CARTESIAN_POINT('',(-15.0343378610206,-27.4370278312111,-0.280160574553493)); #38818=CARTESIAN_POINT('Origin',(-15.0203833111744,-27.43702781682,-0.412929247585159)); #38819=CARTESIAN_POINT('Origin',(-15.4346016805951,-27.4370282439979,-0.456465352536081)); #38820=CARTESIAN_POINT('',(-15.8627745998619,-27.4370286855669,-0.367232784455336)); #38821=CARTESIAN_POINT('Origin',(-15.8488200500157,-27.4370286711758,-0.500001457487002)); #38822=CARTESIAN_POINT('Origin',(-14.9805018329639,-21.4370277756876,-0.408736234669562)); #38823=CARTESIAN_POINT('',(-15.0343441163427,-21.4370278312145,-0.280159931367319)); #38824=CARTESIAN_POINT('Origin',(-15.0203895664965,-21.4370278168233,-0.412928604398986)); #38825=CARTESIAN_POINT('Origin',(-15.4346079359172,-21.4370282440012,-0.456464709349907)); #38826=CARTESIAN_POINT('',(-15.8627808551841,-21.4370286855703,-0.367232141269163)); #38827=CARTESIAN_POINT('Origin',(-15.8488263053379,-21.4370286711792,-0.500000814300829)); #38828=CARTESIAN_POINT('Origin',(-14.9805080882861,-15.437027775691,-0.408735591483375)); #38829=CARTESIAN_POINT('',(-15.0343503716649,-15.4370278312178,-0.280159288181132)); #38830=CARTESIAN_POINT('Origin',(-15.0203958218187,-15.4370278168267,-0.412927961212798)); #38831=CARTESIAN_POINT('Origin',(-15.4346141912394,-15.4370282440046,-0.45646406616372)); #38832=CARTESIAN_POINT('',(-15.8627871105062,-15.4370286855737,-0.367231498082975)); #38833=CARTESIAN_POINT('Origin',(-15.84883256066,-15.4370286711825,-0.500000171114641)); #38834=CARTESIAN_POINT('Origin',(-14.9805112159472,-12.4370277756927,-0.408735269890285)); #38835=CARTESIAN_POINT('',(-15.034353499326,-12.4370278312195,-0.280158966588042)); #38836=CARTESIAN_POINT('Origin',(-15.0203989494798,-12.4370278168284,-0.412927639619708)); #38837=CARTESIAN_POINT('Origin',(-15.4346173189004,-12.4370282440063,-0.45646374457063)); #38838=CARTESIAN_POINT('',(-15.8627902381673,-12.4370286855754,-0.367231176489886)); #38839=CARTESIAN_POINT('Origin',(-15.8488356883211,-12.4370286711842,-0.499999849521552)); #38840=CARTESIAN_POINT('Origin',(-14.980504960625,-18.4370277756893,-0.408735913076466)); #38841=CARTESIAN_POINT('',(-15.0343472440038,-18.4370278312162,-0.280159609774223)); #38842=CARTESIAN_POINT('Origin',(-15.0203926941576,-18.437027816825,-0.412928282805889)); #38843=CARTESIAN_POINT('Origin',(-15.4346110635783,-18.4370282440029,-0.456464387756811)); #38844=CARTESIAN_POINT('',(-15.8627839828452,-18.437028685572,-0.367231819676066)); #38845=CARTESIAN_POINT('Origin',(-15.848829432999,-18.4370286711809,-0.500000492707733)); #38846=CARTESIAN_POINT('Origin',(-14.9804987053028,-24.437027775686,-0.408736556262644)); #38847=CARTESIAN_POINT('',(-15.0343409886817,-24.4370278312128,-0.280160252960401)); #38848=CARTESIAN_POINT('Origin',(-15.0203864388355,-24.4370278168217,-0.412928925992067)); #38849=CARTESIAN_POINT('Origin',(-15.4346048082561,-24.4370282439996,-0.456465030942989)); #38850=CARTESIAN_POINT('',(-15.862777727523,-24.4370286855686,-0.367232462862244)); #38851=CARTESIAN_POINT('Origin',(-15.8488231776768,-24.4370286711775,-0.50000113589391)); #38852=CARTESIAN_POINT('Origin',(-14.9804924499807,-30.4370277756826,-0.408737199448828)); #38853=CARTESIAN_POINT('',(-15.0343347333595,-30.4370278312094,-0.280160896146585)); #38854=CARTESIAN_POINT('Origin',(-15.0203801835133,-30.4370278168183,-0.412929569178251)); #38855=CARTESIAN_POINT('Origin',(-15.434598552934,-30.4370282439962,-0.456465674129173)); #38856=CARTESIAN_POINT('',(-15.8627714722009,-30.4370286855653,-0.367233106048428)); #38857=CARTESIAN_POINT('Origin',(-15.8488169223547,-30.4370286711741,-0.500001779080095)); #38858=CARTESIAN_POINT('Origin',(-14.9804861946585,-36.4370277756792,-0.40873784263506)); #38859=CARTESIAN_POINT('',(-15.0343284780374,-36.4370278312061,-0.280161539332817)); #38860=CARTESIAN_POINT('Origin',(-15.0203739281911,-36.4370278168149,-0.412930212364483)); #38861=CARTESIAN_POINT('Origin',(-15.4345922976118,-36.4370282439928,-0.456466317315405)); #38862=CARTESIAN_POINT('',(-15.8627652168787,-36.4370286855619,-0.36723374923466)); #38863=CARTESIAN_POINT('Origin',(-15.8488106670325,-36.4370286711708,-0.500002422266327)); #38864=CARTESIAN_POINT('Origin',(-14.3946472143253,-36.5639004276945,0.499997366375919)); #38865=CARTESIAN_POINT('',(-14.2611472143253,-36.6040078740146,0.499997366375919)); #38866=CARTESIAN_POINT('Origin',(-14.3946472143253,-36.6040078740146,0.499997366375919)); #38867=CARTESIAN_POINT('Origin',(-14.3946472143253,-37.0205078740146,0.499997366375919)); #38868=CARTESIAN_POINT('Origin',(-11.3944877924667,-36.5639004276945,-0.501045897733514)); #38869=CARTESIAN_POINT('',(-11.2609877924667,-36.6040078740146,-0.501045897733514)); #38870=CARTESIAN_POINT('Origin',(-11.3944877924667,-36.6040078740146,-0.501045897733514)); #38871=CARTESIAN_POINT('Origin',(-11.3944877924667,-37.0205078740146,-0.501045897733514)); #38872=CARTESIAN_POINT('Origin',(-8.39464721432847,-36.5639004276945,0.499997366376539)); #38873=CARTESIAN_POINT('',(-8.26114721432847,-36.6040078740146,0.499997366376539)); #38874=CARTESIAN_POINT('Origin',(-8.39464721432847,-36.6040078740146,0.499997366376539)); #38875=CARTESIAN_POINT('Origin',(-8.39464721432847,-37.0205078740146,0.499997366376539)); #38876=CARTESIAN_POINT('Origin',(-5.39448785910662,-36.5639004276945,-0.500151671354051)); #38877=CARTESIAN_POINT('',(-5.26098785910662,-36.6040078740146,-0.500151671354051)); #38878=CARTESIAN_POINT('Origin',(-5.39448785910662,-36.6040078740146,-0.500151671354051)); #38879=CARTESIAN_POINT('Origin',(-5.39448785910662,-37.0205078740146,-0.500151671354051)); #38880=CARTESIAN_POINT('Origin',(-5.39464721433003,-36.5639004276945,0.499997366376851)); #38881=CARTESIAN_POINT('',(-5.26114721433003,-36.6040078740146,0.499997366376851)); #38882=CARTESIAN_POINT('Origin',(-5.39464721433003,-36.6040078740146,0.499997366376851)); #38883=CARTESIAN_POINT('Origin',(-5.39464721433003,-37.0205078740146,0.499997366376851)); #38884=CARTESIAN_POINT('Origin',(-8.39448782578664,-36.5639004276945,-0.500598784543785)); #38885=CARTESIAN_POINT('',(-8.26098782578664,-36.6040078740146,-0.500598784543785)); #38886=CARTESIAN_POINT('Origin',(-8.39448782578664,-36.6040078740146,-0.500598784543785)); #38887=CARTESIAN_POINT('Origin',(-8.39448782578664,-37.0205078740146,-0.500598784543785)); #38888=CARTESIAN_POINT('Origin',(-11.3946472143269,-36.5639004276945,0.499997366376235)); #38889=CARTESIAN_POINT('',(-11.2611472143269,-36.6040078740146,0.499997366376235)); #38890=CARTESIAN_POINT('Origin',(-11.3946472143269,-36.6040078740146,0.499997366376235)); #38891=CARTESIAN_POINT('Origin',(-11.3946472143269,-37.0205078740146,0.499997366376235)); #38892=CARTESIAN_POINT('Origin',(-14.3944877591467,-36.5639004276945,-0.501493010923262)); #38893=CARTESIAN_POINT('',(-14.2609877591467,-36.6040078740146,-0.501493010923262)); #38894=CARTESIAN_POINT('Origin',(-14.3944877591467,-36.6040078740146,-0.501493010923262)); #38895=CARTESIAN_POINT('Origin',(-14.3944877591467,-37.0205078740146,-0.501493010923262)); #38896=CARTESIAN_POINT('Origin',(40.639745107409,-1.87310744632017,-0.499999892787262)); #38897=CARTESIAN_POINT('',(40.773245107409,-1.83300000000008,-0.499999892787262)); #38898=CARTESIAN_POINT('Origin',(40.639745107409,-1.83300000000008,-0.499999892787262)); #38899=CARTESIAN_POINT('Origin',(40.639745107409,-1.41650000000008,-0.499999892787262)); #38900=CARTESIAN_POINT('',(40.773245107409,-1.00000000000008,-0.499999892787262)); #38901=CARTESIAN_POINT('Origin',(40.639745107409,-1.00000000000008,-0.499999892787262)); #38902=CARTESIAN_POINT('Origin',(34.6397451074121,-1.87310744632017,-0.499999892787919)); #38903=CARTESIAN_POINT('',(34.7732451074121,-1.83300000000008,-0.499999892787919)); #38904=CARTESIAN_POINT('Origin',(34.6397451074121,-1.83300000000008,-0.499999892787919)); #38905=CARTESIAN_POINT('Origin',(34.6397451074121,-1.41650000000008,-0.499999892787919)); #38906=CARTESIAN_POINT('',(34.7732451074121,-1.00000000000008,-0.499999892787919)); #38907=CARTESIAN_POINT('Origin',(34.6397451074121,-1.00000000000008,-0.499999892787919)); #38908=CARTESIAN_POINT('Origin',(28.6397451074153,-1.87310744632017,-0.499999892788573)); #38909=CARTESIAN_POINT('',(28.7732451074153,-1.83300000000008,-0.499999892788573)); #38910=CARTESIAN_POINT('Origin',(28.6397451074153,-1.83300000000008,-0.499999892788573)); #38911=CARTESIAN_POINT('Origin',(28.6397451074153,-1.41650000000008,-0.499999892788573)); #38912=CARTESIAN_POINT('',(28.7732451074153,-1.00000000000008,-0.499999892788573)); #38913=CARTESIAN_POINT('Origin',(28.6397451074153,-1.00000000000008,-0.499999892788573)); #38914=CARTESIAN_POINT('Origin',(22.6397451074184,-1.87310744632017,-0.499999892789232)); #38915=CARTESIAN_POINT('',(22.7732451074184,-1.83300000000008,-0.499999892789232)); #38916=CARTESIAN_POINT('Origin',(22.6397451074184,-1.83300000000008,-0.499999892789232)); #38917=CARTESIAN_POINT('Origin',(22.6397451074184,-1.41650000000008,-0.499999892789232)); #38918=CARTESIAN_POINT('',(22.7732451074184,-1.00000000000008,-0.499999892789232)); #38919=CARTESIAN_POINT('Origin',(22.6397451074184,-1.00000000000008,-0.499999892789232)); #38920=CARTESIAN_POINT('Origin',(16.6397451074216,-1.87310744632017,-0.499999892789885)); #38921=CARTESIAN_POINT('',(16.7732451074216,-1.83300000000008,-0.499999892789885)); #38922=CARTESIAN_POINT('Origin',(16.6397451074216,-1.83300000000008,-0.499999892789885)); #38923=CARTESIAN_POINT('Origin',(16.6397451074216,-1.41650000000008,-0.499999892789885)); #38924=CARTESIAN_POINT('',(16.7732451074216,-1.00000000000008,-0.499999892789885)); #38925=CARTESIAN_POINT('Origin',(16.6397451074216,-1.00000000000008,-0.499999892789885)); #38926=CARTESIAN_POINT('Origin',(10.6397451074247,-1.87310744632017,-0.499999892790541)); #38927=CARTESIAN_POINT('',(10.7732451074247,-1.83300000000009,-0.499999892790541)); #38928=CARTESIAN_POINT('Origin',(10.6397451074247,-1.83300000000009,-0.499999892790541)); #38929=CARTESIAN_POINT('Origin',(10.6397451074247,-1.41650000000009,-0.499999892790541)); #38930=CARTESIAN_POINT('',(10.7732451074247,-1.00000000000009,-0.499999892790541)); #38931=CARTESIAN_POINT('Origin',(10.6397451074247,-1.00000000000009,-0.499999892790541)); #38932=CARTESIAN_POINT('Origin',(4.63974510742783,-1.87310744632018,-0.499999892791197)); #38933=CARTESIAN_POINT('',(4.77324510742783,-1.83300000000009,-0.499999892791197)); #38934=CARTESIAN_POINT('Origin',(4.63974510742783,-1.83300000000009,-0.499999892791197)); #38935=CARTESIAN_POINT('Origin',(4.63974510742783,-1.41650000000009,-0.499999892791197)); #38936=CARTESIAN_POINT('',(4.77324510742783,-1.00000000000009,-0.499999892791197)); #38937=CARTESIAN_POINT('Origin',(4.63974510742783,-1.00000000000009,-0.499999892791197)); #38938=CARTESIAN_POINT('Origin',(-1.36025489256905,-1.87310744632018,-0.499999892791844)); #38939=CARTESIAN_POINT('',(-1.22675489256905,-1.83300000000009,-0.499999892791844)); #38940=CARTESIAN_POINT('Origin',(-1.36025489256905,-1.83300000000009,-0.499999892791844)); #38941=CARTESIAN_POINT('Origin',(-1.36025489256905,-1.41650000000009,-0.499999892791844)); #38942=CARTESIAN_POINT('',(-1.22675489256905,-1.00000000000009,-0.499999892791844)); #38943=CARTESIAN_POINT('Origin',(-1.36025489256905,-1.00000000000009,-0.499999892791844)); #38944=CARTESIAN_POINT('Origin',(1.63974510742939,-1.87310744632018,-0.499999892791518)); #38945=CARTESIAN_POINT('',(1.77324510742939,-1.83300000000009,-0.499999892791518)); #38946=CARTESIAN_POINT('Origin',(1.63974510742939,-1.83300000000009,-0.499999892791518)); #38947=CARTESIAN_POINT('Origin',(1.63974510742939,-1.41650000000009,-0.499999892791518)); #38948=CARTESIAN_POINT('',(1.77324510742939,-1.00000000000009,-0.499999892791518)); #38949=CARTESIAN_POINT('Origin',(1.63974510742939,-1.00000000000009,-0.499999892791518)); #38950=CARTESIAN_POINT('Origin',(7.63974510742625,-1.87310744632018,-0.49999989279087)); #38951=CARTESIAN_POINT('',(7.77324510742625,-1.83300000000009,-0.49999989279087)); #38952=CARTESIAN_POINT('Origin',(7.63974510742625,-1.83300000000009,-0.49999989279087)); #38953=CARTESIAN_POINT('Origin',(7.63974510742625,-1.41650000000009,-0.49999989279087)); #38954=CARTESIAN_POINT('',(7.77324510742625,-1.00000000000009,-0.49999989279087)); #38955=CARTESIAN_POINT('Origin',(7.63974510742625,-1.00000000000009,-0.49999989279087)); #38956=CARTESIAN_POINT('Origin',(13.6397451074231,-1.87310744632017,-0.499999892790206)); #38957=CARTESIAN_POINT('',(13.7732451074231,-1.83300000000008,-0.499999892790206)); #38958=CARTESIAN_POINT('Origin',(13.6397451074231,-1.83300000000008,-0.499999892790206)); #38959=CARTESIAN_POINT('Origin',(13.6397451074231,-1.41650000000008,-0.499999892790206)); #38960=CARTESIAN_POINT('',(13.7732451074231,-1.00000000000008,-0.499999892790206)); #38961=CARTESIAN_POINT('Origin',(13.6397451074231,-1.00000000000008,-0.499999892790206)); #38962=CARTESIAN_POINT('Origin',(19.63974510742,-1.87310744632017,-0.49999989278955)); #38963=CARTESIAN_POINT('',(19.77324510742,-1.83300000000008,-0.49999989278955)); #38964=CARTESIAN_POINT('Origin',(19.63974510742,-1.83300000000008,-0.49999989278955)); #38965=CARTESIAN_POINT('Origin',(19.63974510742,-1.41650000000008,-0.49999989278955)); #38966=CARTESIAN_POINT('',(19.77324510742,-1.00000000000008,-0.49999989278955)); #38967=CARTESIAN_POINT('Origin',(19.63974510742,-1.00000000000008,-0.49999989278955)); #38968=CARTESIAN_POINT('Origin',(25.6397451074168,-1.87310744632017,-0.499999892788903)); #38969=CARTESIAN_POINT('',(25.7732451074168,-1.83300000000008,-0.499999892788903)); #38970=CARTESIAN_POINT('Origin',(25.6397451074168,-1.83300000000008,-0.499999892788903)); #38971=CARTESIAN_POINT('Origin',(25.6397451074168,-1.41650000000008,-0.499999892788903)); #38972=CARTESIAN_POINT('',(25.7732451074168,-1.00000000000008,-0.499999892788903)); #38973=CARTESIAN_POINT('Origin',(25.6397451074168,-1.00000000000008,-0.499999892788903)); #38974=CARTESIAN_POINT('Origin',(31.6397451074137,-1.87310744632017,-0.499999892788239)); #38975=CARTESIAN_POINT('',(31.7732451074137,-1.83300000000008,-0.499999892788239)); #38976=CARTESIAN_POINT('Origin',(31.6397451074137,-1.83300000000008,-0.499999892788239)); #38977=CARTESIAN_POINT('Origin',(31.6397451074137,-1.41650000000008,-0.499999892788239)); #38978=CARTESIAN_POINT('',(31.7732451074137,-1.00000000000008,-0.499999892788239)); #38979=CARTESIAN_POINT('Origin',(31.6397451074137,-1.00000000000008,-0.499999892788239)); #38980=CARTESIAN_POINT('Origin',(37.6397451074106,-1.87310744632017,-0.499999892787592)); #38981=CARTESIAN_POINT('',(37.7732451074106,-1.83300000000008,-0.499999892787592)); #38982=CARTESIAN_POINT('Origin',(37.6397451074106,-1.83300000000008,-0.499999892787592)); #38983=CARTESIAN_POINT('Origin',(37.6397451074106,-1.41650000000008,-0.499999892787592)); #38984=CARTESIAN_POINT('',(37.7732451074106,-1.00000000000008,-0.499999892787592)); #38985=CARTESIAN_POINT('Origin',(37.6397451074106,-1.00000000000008,-0.499999892787592)); #38986=CARTESIAN_POINT('Origin',(43.6397451074074,-1.41650000000008,-0.499999892786928)); #38987=CARTESIAN_POINT('',(43.7732451074074,-1.00000000000008,-0.499999892786928)); #38988=CARTESIAN_POINT('Origin',(43.6397451074074,-1.00000000000008,-0.499999892786928)); #38989=CARTESIAN_POINT('Origin',(-15.7276587386854,-1.62197262281451E-5, -1.65304354424779)); #38990=CARTESIAN_POINT('',(-15.796285233674,-10.8091578641676,-1.)); #38991=CARTESIAN_POINT('',(-15.9013894689396,-10.8091578641676,8.39223703653662E-15)); #38992=CARTESIAN_POINT('',(-15.7276477131586,-10.8091578641676,-1.65304238541825)); #38993=CARTESIAN_POINT('',(-15.7962874717431,-8.63898638040642,-1.)); #38994=CARTESIAN_POINT('',(-15.9014006162641,-1.63989039262064E-5,-1.63910879619856E-17)); #38995=CARTESIAN_POINT('Origin',(12.646137759581,-17.2779271380141,-1.)); #38996=CARTESIAN_POINT('',(-3.60717518318185,-1.00000000000009,-1.)); #38997=CARTESIAN_POINT('',(-1.3112521540522,0.847638699086152,-1.)); #38998=CARTESIAN_POINT('',(44.4464240963185,-1.00000000000008,-1.)); #38999=CARTESIAN_POINT('',(-3.86746652429916,-1.00000000000009,-1.)); #39000=CARTESIAN_POINT('',(41.2159553408991,-27.9433446149102,-1.)); #39001=CARTESIAN_POINT('',(43.2590958623437,-10.9027714554834,-1.)); #39002=CARTESIAN_POINT('',(-3.96034192065787,-39.4370078740147,-1.)); #39003=CARTESIAN_POINT('Origin',(-3.96034192065838,54.1233663829328,-1.)); #39004=CARTESIAN_POINT('',(1.81048770903125,-39.4370078740145,-1.)); #39005=CARTESIAN_POINT('',(-2.0619000391667,-36.2385447700851,-1.)); #39006=CARTESIAN_POINT('',(-3.7720007557951,-35.9370078740146,-1.)); #39007=CARTESIAN_POINT('Origin',(-3.7720007557951,-40.9370078740146,-1.)); #39008=CARTESIAN_POINT('',(-1.40235643342847,-36.4785990107744,-1.)); #39009=CARTESIAN_POINT('',(-2.89036585225274,-35.9370078740146,-1.)); #39010=CARTESIAN_POINT('',(0.597258323048121,-36.7717470315952,-1.)); #39011=CARTESIAN_POINT('Origin',(0.307744283199927,-31.7801359068449,-1.)); #39012=CARTESIAN_POINT('',(37.4555843824674,-27.2668857971237,-1.)); #39013=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-1.)); #39014=CARTESIAN_POINT('',(40.2595324531346,-23.3529896995904,-1.)); #39015=CARTESIAN_POINT('Origin',(35.2950893243746,-22.7577549380826,-1.)); #39016=CARTESIAN_POINT('',(42.4243644307387,-5.29761738075396,-1.)); #39017=CARTESIAN_POINT('',(40.2859308178532,-23.1328191235212,-1.)); #39018=CARTESIAN_POINT('',(39.9421428663587,-2.50000000000008,-1.)); #39019=CARTESIAN_POINT('Origin',(39.9421428663587,-5.00000000000008,-1.)); #39020=CARTESIAN_POINT('',(1.04284307472866,-2.50000000000009,-1.)); #39021=CARTESIAN_POINT('',(27.2803194854045,-2.50000000000009,-1.)); #39022=CARTESIAN_POINT('',(-1.30676075860013,-4.1459731699727,-1.)); #39023=CARTESIAN_POINT('Origin',(1.04284307472866,-5.00000000000009,-1.)); #39024=CARTESIAN_POINT('',(-11.6429575870253,-32.5829810439873,-1.)); #39025=CARTESIAN_POINT('',(-2.391180258946,-7.12943472570325,-1.)); #39026=CARTESIAN_POINT('',(-9.29335375369653,-35.9370078740146,-1.)); #39027=CARTESIAN_POINT('Origin',(-9.29335375369653,-33.4370078740146,-1.)); #39028=CARTESIAN_POINT('',(0.749529449724432,-35.9370078740146,-1.)); #39029=CARTESIAN_POINT('Origin',(-0.966533966667988,1.20103978857258,-0.10158681342486)); #39030=CARTESIAN_POINT('',(-3.71227941844744,-1.00000000000009,1.57791540114048E-14)); #39031=CARTESIAN_POINT('',(-0.970730785919862,1.20625486334572,1.93239828939336E-14)); #39032=CARTESIAN_POINT('',(-3.69197528659209,-1.00000000000009,-0.193180910398541)); #39033=CARTESIAN_POINT('Origin',(-3.7079633989208,-1.00000000000009,0.)); #39034=CARTESIAN_POINT('',(-3.60717518318185,-1.00000000000009,1.)); #39035=CARTESIAN_POINT('',(-3.69197528659209,-1.00000000000009,0.193180910398542)); #39036=CARTESIAN_POINT('',(44.4464237192307,-1.00000000000008,1.)); #39037=CARTESIAN_POINT('',(45.6430320861992,-1.00000000000008,1.)); #39038=CARTESIAN_POINT('',(44.3413196853632,-1.00000000000008,1.91617444963056E-6)); #39039=CARTESIAN_POINT('',(44.1576459708881,-1.00000000000008,-1.74753674400284)); #39040=CARTESIAN_POINT('',(44.0788303267815,-1.00000000000008,2.49742194993998)); #39041=CARTESIAN_POINT('Origin',(-0.966533966667989,1.20103978857258,0.10158681342486)); #39042=CARTESIAN_POINT('',(-15.796285233674,-10.8091578641676,1.)); #39043=CARTESIAN_POINT('',(-15.7276477131586,-10.8091578641676,1.65304238541825)); #39044=CARTESIAN_POINT('',(-1.3112521540522,0.847638699086153,1.)); #39045=CARTESIAN_POINT('Origin',(-15.7276587386854,-1.62197262281451E-5, 1.65304354424779)); #39046=CARTESIAN_POINT('',(-15.7962874717431,-8.63898638040642,1.)); #39047=CARTESIAN_POINT('Origin',(12.646137759581,-17.2779271380141,1.)); #39048=CARTESIAN_POINT('',(-3.74052645969016,-39.4370078740145,1.)); #39049=CARTESIAN_POINT('',(-4.39036585225313,-39.4370078740145,1.)); #39050=CARTESIAN_POINT('',(41.2004995345438,-28.0722538929936,1.)); #39051=CARTESIAN_POINT('Origin',(-3.74052645969055,46.6180736922471,1.)); #39052=CARTESIAN_POINT('',(43.2590957403286,-10.9027711893997,1.)); #39053=CARTESIAN_POINT('',(-3.7720007557951,-35.9370078740146,1.)); #39054=CARTESIAN_POINT('',(-2.0619000391667,-36.2385447700851,1.)); #39055=CARTESIAN_POINT('Origin',(-3.7720007557951,-40.9370078740146,1.)); #39056=CARTESIAN_POINT('',(-9.29335375369653,-35.9370078740146,1.)); #39057=CARTESIAN_POINT('',(0.749529449724432,-35.9370078740146,1.)); #39058=CARTESIAN_POINT('',(-11.6429575870253,-32.5829810439873,1.)); #39059=CARTESIAN_POINT('Origin',(-9.29335375369653,-33.4370078740146,1.)); #39060=CARTESIAN_POINT('',(-1.30676075860013,-4.1459731699727,1.)); #39061=CARTESIAN_POINT('',(-2.391180258946,-7.12943472570325,1.)); #39062=CARTESIAN_POINT('',(1.04284307472866,-2.50000000000009,1.)); #39063=CARTESIAN_POINT('Origin',(1.04284307472866,-5.00000000000009,1.)); #39064=CARTESIAN_POINT('',(39.9421428663587,-2.50000000000008,1.)); #39065=CARTESIAN_POINT('',(27.2803194854045,-2.50000000000009,1.)); #39066=CARTESIAN_POINT('',(42.4243644307387,-5.29761738075396,1.)); #39067=CARTESIAN_POINT('Origin',(39.9421428663587,-5.00000000000008,1.)); #39068=CARTESIAN_POINT('',(40.2595324531346,-23.3529896995904,1.)); #39069=CARTESIAN_POINT('',(40.2859308178532,-23.1328191235212,1.)); #39070=CARTESIAN_POINT('',(37.4555843824674,-27.2668857971237,1.)); #39071=CARTESIAN_POINT('Origin',(35.2950893243746,-22.7577549380826,1.)); #39072=CARTESIAN_POINT('',(0.597258323048121,-36.7717470315952,1.)); #39073=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,1.)); #39074=CARTESIAN_POINT('',(-1.40235643342847,-36.4785990107744,1.)); #39075=CARTESIAN_POINT('Origin',(0.307744283199927,-31.7801359068449,1.)); #39076=CARTESIAN_POINT('',(3.79017311193407,-38.3685252058343,1.)); #39077=CARTESIAN_POINT('Origin',(-3.7720007557951,-40.9370078740146,-1.)); #39078=CARTESIAN_POINT('',(-2.0619000391667,-36.2385447700851,-1.)); #39079=CARTESIAN_POINT('',(-3.7720007557951,-35.9370078740146,-1.)); #39080=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.9370078740146,-1.)); #39081=CARTESIAN_POINT('',(-9.29335375369653,-35.9370078740146,-1.)); #39082=CARTESIAN_POINT('Origin',(0.307744283199927,-31.7801359068449,-1.)); #39083=CARTESIAN_POINT('',(-1.40235643342847,-36.4785990107744,-1.)); #39084=CARTESIAN_POINT('',(0.597258323048121,-36.7717470315952,-1.)); #39085=CARTESIAN_POINT('Origin',(-0.445945549601973,-36.8267041042155,-1.)); #39086=CARTESIAN_POINT('Origin',(-9.29335375369653,-33.4370078740146,-1.)); #39087=CARTESIAN_POINT('',(-11.6429575870253,-32.5829810439872,-1.)); #39088=CARTESIAN_POINT('Origin',(39.9421428663587,-5.00000000000008,-1.)); #39089=CARTESIAN_POINT('',(39.9421428663587,-2.50000000000008,-1.)); #39090=CARTESIAN_POINT('',(42.4243644307387,-5.29761738075396,-1.)); #39091=CARTESIAN_POINT('Origin',(35.2950893243746,-22.7577549380826,-1.)); #39092=CARTESIAN_POINT('',(40.2595324531346,-23.3529896995904,-1.)); #39093=CARTESIAN_POINT('',(37.4555843824674,-27.2668857971237,-1.)); #39094=CARTESIAN_POINT('Origin',(1.04284307472866,-5.00000000000009,-1.)); #39095=CARTESIAN_POINT('',(-1.30676075860013,-4.1459731699727,-1.)); #39096=CARTESIAN_POINT('',(1.04284307472866,-2.50000000000009,-1.)); #39097=CARTESIAN_POINT('Origin',(41.9145012112279,-2.50000000000008,-1.)); #39098=CARTESIAN_POINT('Origin',(44.342865417432,-0.987108002311586,1.91755657861523E-6)); #39099=CARTESIAN_POINT('',(41.110851235338,-27.9433445999351,-9.72375363780087E-7)); #39100=CARTESIAN_POINT('',(44.342865417432,-0.987108002311586,1.91755657861523E-6)); #39101=CARTESIAN_POINT('',(41.1368089780131,-27.9433446036335,-0.246972461223335)); #39102=CARTESIAN_POINT('Origin',(44.4982874558304,-1.00574304305224,1.50000191755657)); #39103=CARTESIAN_POINT('',(41.1107975401391,-27.9437932909028,0.)); #39104=CARTESIAN_POINT('Origin',(75.9261430988624,46.6180736922469,246.18879736194)); #39105=CARTESIAN_POINT('',(41.1108513518142,-27.9433444808726,0.)); #39106=CARTESIAN_POINT('',(42.2645673555762,-18.3209100686322,8.74191357972564E-17)); #39107=CARTESIAN_POINT('Origin',(23.4425427155246,54.1233663829332,-261.721031525243)); #39108=CARTESIAN_POINT('Origin',(-3.18596081840143,54.1233663829328,-8.36774403332338)); #39109=CARTESIAN_POINT('',(-4.06544615592307,-39.4370078740144,0.)); #39110=CARTESIAN_POINT('Origin',(-4.06544615592422,54.1233663829328,0.)); #39111=CARTESIAN_POINT('',(-3.18596081840091,-39.4370078740147,-8.3677440333235)); #39112=CARTESIAN_POINT('Origin',(18.4911022639218,-33.0534927450875,0.)); #39113=CARTESIAN_POINT('Origin',(-4.06544615592346,46.6180736922471,0.)); #39114=CARTESIAN_POINT('Origin',(3.62097541806254,-39.4370078740145,23.6563731380442)); #39115=CARTESIAN_POINT('',(3.62097541806254,-39.4370078740145,23.6563731380442)); #39116=CARTESIAN_POINT('Origin',(3.62097541806222,46.6180736922471,23.6563731380444)); #39117=CARTESIAN_POINT('Origin',(-0.887729067577286,-2.99313062112451,-1.)); #39118=CARTESIAN_POINT('Origin',(39.9855964842705,-25.6377009199215,-1.)); #39119=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-1.)); #39120=CARTESIAN_POINT('',(0.,0.,0.)); #39121=CARTESIAN_POINT('Origin',(-2.64036585225274,30.960360235731,-0.75)); #39122=CARTESIAN_POINT('',(-2.89036585225274,35.9370078740146,-0.75)); #39123=CARTESIAN_POINT('',(-2.89036585225274,36.1420052695755,-0.75)); #39124=CARTESIAN_POINT('',(-2.89036585225274,30.960360235731,-0.75)); #39125=CARTESIAN_POINT('',(-2.64036585225274,36.1420052695755,-0.5)); #39126=CARTESIAN_POINT('Origin',(-2.64036585225274,36.1420052695755,-0.75)); #39127=CARTESIAN_POINT('',(-2.64036585225274,35.6870078740146,-0.5)); #39128=CARTESIAN_POINT('',(-2.64036585225274,30.960360235731,-0.5)); #39129=CARTESIAN_POINT('Origin',(-2.64036585225274,35.6870078740146,-0.75)); #39130=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-0.75)); #39131=CARTESIAN_POINT('',(-2.1176103350427,36.8916599810504,-0.75)); #39132=CARTESIAN_POINT('',(-2.12519550744605,36.6417750772255,-0.5)); #39133=CARTESIAN_POINT('Origin',(-2.12519550744605,36.6417750772255,-0.75)); #39134=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-0.5)); #39135=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-0.75)); #39136=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-0.75)); #39137=CARTESIAN_POINT('',(39.5811890810198,26.2167896788284,-0.75)); #39138=CARTESIAN_POINT('',(39.467769392332,25.9939983544507,-0.5)); #39139=CARTESIAN_POINT('Origin',(39.467769392332,25.9939983544507,-0.75)); #39140=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-0.5)); #39141=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-0.75)); #39142=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-0.75)); #39143=CARTESIAN_POINT('',(39.9855964842705,25.6377009199215,-0.75)); #39144=CARTESIAN_POINT('',(39.7373743278325,25.6079391818461,-0.5)); #39145=CARTESIAN_POINT('Origin',(39.7373743278325,25.6079391818461,-0.75)); #39146=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-0.5)); #39147=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-0.75)); #39148=CARTESIAN_POINT('Origin',(39.5008158058293,27.580910864705,-0.75)); #39149=CARTESIAN_POINT('',(40.693886377084,19.7303428169754,-0.75)); #39150=CARTESIAN_POINT('',(40.445664220646,19.7005810789,-0.5)); #39151=CARTESIAN_POINT('Origin',(40.445664220646,19.7005810789,-0.75)); #39152=CARTESIAN_POINT('',(39.5008158058293,27.580910864705,-0.5)); #39153=CARTESIAN_POINT('',(39.7490379622674,27.6106726027804,-0.75)); #39154=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-0.75)); #39155=CARTESIAN_POINT('',(39.94921990777,18.8910576027492,-0.75)); #39156=CARTESIAN_POINT('',(39.94921990777,19.1410576027492,-0.5)); #39157=CARTESIAN_POINT('Origin',(39.94921990777,19.1410576027492,-0.75)); #39158=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-0.5)); #39159=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-0.75)); #39160=CARTESIAN_POINT('Origin',(26.8184492773241,19.1410576027492,-0.75)); #39161=CARTESIAN_POINT('',(-6.14062445282655,18.8910576027492,-0.75)); #39162=CARTESIAN_POINT('',(-6.14062445282655,19.1410576027492,-0.5)); #39163=CARTESIAN_POINT('Origin',(-6.14062445282655,19.1410576027492,-0.75)); #39164=CARTESIAN_POINT('',(26.8184492773241,19.1410576027492,-0.5)); #39165=CARTESIAN_POINT('',(26.8184492773241,18.8910576027492,-0.75)); #39166=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-0.75)); #39167=CARTESIAN_POINT('',(-6.84550173432602,19.3848389109527,-0.75)); #39168=CARTESIAN_POINT('',(-6.61054264049287,19.4702451415515,-0.5)); #39169=CARTESIAN_POINT('Origin',(-6.61054264049287,19.4702451415515,-0.75)); #39170=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-0.5)); #39171=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-0.75)); #39172=CARTESIAN_POINT('Origin',(-6.43129186997176,18.9771125174417,-0.75)); #39173=CARTESIAN_POINT('',(-11.8519561416317,33.1579791445123,-0.75)); #39174=CARTESIAN_POINT('',(-11.6169970477985,33.2433853751112,-0.5)); #39175=CARTESIAN_POINT('Origin',(-11.6169970477985,33.2433853751112,-0.75)); #39176=CARTESIAN_POINT('',(-6.43129186997176,18.9771125174417,-0.5)); #39177=CARTESIAN_POINT('',(-6.66625096380492,18.8917062868429,-0.75)); #39178=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-0.75)); #39179=CARTESIAN_POINT('',(-11.8970788601322,33.4141978363089,-0.75)); #39180=CARTESIAN_POINT('',(-11.6470788601322,33.4141978363089,-0.5)); #39181=CARTESIAN_POINT('Origin',(-11.6470788601322,33.4141978363089,-0.75)); #39182=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-0.5)); #39183=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-0.75)); #39184=CARTESIAN_POINT('Origin',(-11.6470788601322,29.6329139014729,-0.75)); #39185=CARTESIAN_POINT('',(-11.8970788601322,35.1870078740146,-0.75)); #39186=CARTESIAN_POINT('',(-11.6470788601322,35.1870078740146,-0.5)); #39187=CARTESIAN_POINT('Origin',(-11.6470788601322,35.1870078740146,-0.75)); #39188=CARTESIAN_POINT('',(-11.6470788601322,29.6329139014729,-0.5)); #39189=CARTESIAN_POINT('',(-11.8970788601322,29.6329139014729,-0.75)); #39190=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-0.75)); #39191=CARTESIAN_POINT('',(-11.1470788601322,35.9370078740146,-0.75)); #39192=CARTESIAN_POINT('',(-11.1470788601322,35.6870078740146,-0.5)); #39193=CARTESIAN_POINT('Origin',(-11.1470788601322,35.6870078740146,-0.75)); #39194=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-0.5)); #39195=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-0.75)); #39196=CARTESIAN_POINT('Origin',(0.472651676615353,35.6870078740146,-0.75)); #39197=CARTESIAN_POINT('',(0.472651676615353,35.6870078740146,-0.5)); #39198=CARTESIAN_POINT('',(0.472651676615353,35.9370078740146,-0.75)); #39199=CARTESIAN_POINT('Origin',(40.7945163412854,18.8910576027492,-1.)); #39200=CARTESIAN_POINT('',(39.94921990777,18.8910576027492,-1.)); #39201=CARTESIAN_POINT('',(39.94921990777,18.8910576027492,-1.)); #39202=CARTESIAN_POINT('',(-6.14062445282655,18.8910576027492,-1.)); #39203=CARTESIAN_POINT('',(26.7203270504332,18.8910576027492,-1.)); #39204=CARTESIAN_POINT('',(-6.14062445282655,18.8910576027492,-1.)); #39205=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-1.)); #39206=CARTESIAN_POINT('',(40.693886377084,19.7303428169754,-1.)); #39207=CARTESIAN_POINT('',(40.693886377084,19.7303428169754,-1.)); #39208=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-1.)); #39209=CARTESIAN_POINT('Origin',(39.9380565797841,26.0341985162166,-1.)); #39210=CARTESIAN_POINT('',(39.9855964842705,25.6377009199215,-1.)); #39211=CARTESIAN_POINT('',(39.9855964842705,25.6377009199215,-1.)); #39212=CARTESIAN_POINT('',(40.26216086561,23.3310679216688,-1.)); #39213=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-1.)); #39214=CARTESIAN_POINT('',(39.5811890810198,26.2167896788284,-1.)); #39215=CARTESIAN_POINT('',(39.5811890810198,26.2167896788284,-1.)); #39216=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-1.)); #39217=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-1.)); #39218=CARTESIAN_POINT('',(-2.1176103350427,36.8916599810504,-1.)); #39219=CARTESIAN_POINT('',(-2.1176103350427,36.8916599810504,-1.)); #39220=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-1.)); #39221=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-1.)); #39222=CARTESIAN_POINT('',(-2.89036585225274,36.1420052695755,-1.)); #39223=CARTESIAN_POINT('',(-2.89036585225274,36.1420052695755,-1.)); #39224=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-1.)); #39225=CARTESIAN_POINT('Origin',(-2.89036585225274,35.9370078740146,-1.)); #39226=CARTESIAN_POINT('',(-2.89036585225274,35.9370078740146,-1.)); #39227=CARTESIAN_POINT('',(-2.89036585225274,35.9370078740146,-1.)); #39228=CARTESIAN_POINT('',(-2.89036585225274,26.6074675060144,-1.)); #39229=CARTESIAN_POINT('Origin',(12.8423822133629,25.9837125974475,-0.5)); #39230=CARTESIAN_POINT('Origin',(-8.39056581893379,36.5639004276945,-0.500596150920819)); #39231=CARTESIAN_POINT('',(-8.25706581893379,36.6040078740146,-0.500596150920818)); #39232=CARTESIAN_POINT('Origin',(-8.39056581893379,36.6040078740146,-0.500596150920819)); #39233=CARTESIAN_POINT('Origin',(-8.39056581893379,37.0205078740146,-0.500596150920819)); #39234=CARTESIAN_POINT('',(-8.25706581893379,37.4370078740146,-0.500596150920818)); #39235=CARTESIAN_POINT('Origin',(-8.39056581893379,37.4370078740146,-0.500596150920819)); #39236=CARTESIAN_POINT('Origin',(-14.390565752297,36.5639004276945,-0.501490377299646)); #39237=CARTESIAN_POINT('',(-14.257065752297,36.6040078740146,-0.501490377299645)); #39238=CARTESIAN_POINT('Origin',(-14.390565752297,36.6040078740146,-0.501490377299646)); #39239=CARTESIAN_POINT('Origin',(-14.390565752297,37.0205078740146,-0.501490377299646)); #39240=CARTESIAN_POINT('',(-14.257065752297,37.4370078740146,-0.501490377299645)); #39241=CARTESIAN_POINT('Origin',(-14.390565752297,37.4370078740146,-0.501490377299646)); #39242=CARTESIAN_POINT('Origin',(-11.3905657856154,36.5639004276945,-0.501043264110235)); #39243=CARTESIAN_POINT('',(-11.2570657856154,36.6040078740146,-0.501043264110235)); #39244=CARTESIAN_POINT('Origin',(-11.3905657856154,36.6040078740146,-0.501043264110235)); #39245=CARTESIAN_POINT('Origin',(-11.3905657856154,37.0205078740146,-0.501043264110235)); #39246=CARTESIAN_POINT('',(-11.2570657856154,37.4370078740146,-0.501043264110235)); #39247=CARTESIAN_POINT('Origin',(-11.3905657856154,37.4370078740146,-0.501043264110235)); #39248=CARTESIAN_POINT('Origin',(-5.39056585225218,36.5639004276945,-0.500149037731409)); #39249=CARTESIAN_POINT('',(-5.25706585225218,36.6040078740146,-0.500149037731408)); #39250=CARTESIAN_POINT('Origin',(-5.39056585225218,36.6040078740146,-0.500149037731409)); #39251=CARTESIAN_POINT('Origin',(-5.39056585225218,37.0205078740146,-0.500149037731409)); #39252=CARTESIAN_POINT('',(-5.25706585225218,37.4370078740146,-0.500149037731408)); #39253=CARTESIAN_POINT('Origin',(-5.39056585225218,37.4370078740146,-0.500149037731409)); #39254=CARTESIAN_POINT('Origin',(43.0594251158586,4.86825199896037,-0.590622579685749)); #39255=CARTESIAN_POINT('',(43.1127901081801,4.87465044036729,-0.719238654856799)); #39256=CARTESIAN_POINT('Origin',(43.0990322594531,4.87300087976331,-0.586459701147196)); #39257=CARTESIAN_POINT('Origin',(43.5103368131121,4.92231613256297,-0.543229850573569)); #39258=CARTESIAN_POINT('',(43.9353992154983,4.97328094596661,-0.632778953709546)); #39259=CARTESIAN_POINT('Origin',(43.9216413667712,4.97163138536262,-0.499999999999942)); #39260=CARTESIAN_POINT('Origin',(42.3451434020493,10.8255837534724,-0.590622579685752)); #39261=CARTESIAN_POINT('',(42.3985083943708,10.8319821948793,-0.719238654856803)); #39262=CARTESIAN_POINT('Origin',(42.3847505456437,10.8303326342753,-0.5864597011472)); #39263=CARTESIAN_POINT('Origin',(42.7960550993028,10.879647887075,-0.543229850573573)); #39264=CARTESIAN_POINT('',(43.2211175016889,10.9306127004786,-0.632778953709549)); #39265=CARTESIAN_POINT('Origin',(43.2073596529619,10.9289631398746,-0.499999999999945)); #39266=CARTESIAN_POINT('Origin',(41.6308616882399,16.7829155079844,-0.59062257968575)); #39267=CARTESIAN_POINT('',(41.6842266805614,16.7893139493913,-0.719238654856801)); #39268=CARTESIAN_POINT('Origin',(41.6704688318344,16.7876643887874,-0.586459701147197)); #39269=CARTESIAN_POINT('Origin',(42.0817733854934,16.836979641587,-0.54322985057357)); #39270=CARTESIAN_POINT('',(42.5068357878796,16.8879444549907,-0.632778953709547)); #39271=CARTESIAN_POINT('Origin',(42.4930779391525,16.8862948943867,-0.499999999999943)); #39272=CARTESIAN_POINT('Origin',(40.9165799744306,22.7402472624964,-0.590622579685752)); #39273=CARTESIAN_POINT('',(40.9699449667521,22.7466457039034,-0.719238654856802)); #39274=CARTESIAN_POINT('Origin',(40.956187118025,22.7449961432994,-0.586459701147199)); #39275=CARTESIAN_POINT('Origin',(41.3674916716841,22.794311396099,-0.543229850573572)); #39276=CARTESIAN_POINT('',(41.7925540740702,22.8452762095027,-0.632778953709548)); #39277=CARTESIAN_POINT('Origin',(41.7787962253432,22.8436266488987,-0.499999999999945)); #39278=CARTESIAN_POINT('Origin',(40.5594391175259,25.7189131397525,-0.590622579685751)); #39279=CARTESIAN_POINT('',(40.6128041098474,25.7253115811594,-0.719238654856801)); #39280=CARTESIAN_POINT('Origin',(40.5990462611203,25.7236620205554,-0.586459701147198)); #39281=CARTESIAN_POINT('Origin',(41.0103508147794,25.7729772733551,-0.543229850573571)); #39282=CARTESIAN_POINT('',(41.4354132171656,25.8239420867587,-0.632778953709547)); #39283=CARTESIAN_POINT('Origin',(41.4216553684385,25.8222925261547,-0.499999999999943)); #39284=CARTESIAN_POINT('Origin',(41.2737208313352,19.7615813852404,-0.590622579685749)); #39285=CARTESIAN_POINT('',(41.3270858236568,19.7679798266473,-0.719238654856799)); #39286=CARTESIAN_POINT('Origin',(41.3133279749297,19.7663302660434,-0.586459701147196)); #39287=CARTESIAN_POINT('Origin',(41.7246325285888,19.815645518843,-0.543229850573569)); #39288=CARTESIAN_POINT('',(42.1496949309749,19.8666103322467,-0.632778953709545)); #39289=CARTESIAN_POINT('Origin',(42.1359370822478,19.8649607716427,-0.499999999999942)); #39290=CARTESIAN_POINT('Origin',(41.9880025451446,13.8042496307284,-0.590622579685752)); #39291=CARTESIAN_POINT('',(42.0413675374661,13.8106480721353,-0.719238654856803)); #39292=CARTESIAN_POINT('Origin',(42.027609688739,13.8089985115313,-0.5864597011472)); #39293=CARTESIAN_POINT('Origin',(42.4389142423981,13.858313764331,-0.543229850573573)); #39294=CARTESIAN_POINT('',(42.8639766447842,13.9092785777346,-0.632778953709549)); #39295=CARTESIAN_POINT('Origin',(42.8502187960572,13.9076290171307,-0.499999999999945)); #39296=CARTESIAN_POINT('Origin',(42.7022842589539,7.84691787621638,-0.59062257968575)); #39297=CARTESIAN_POINT('',(42.7556492512754,7.8533163176233,-0.719238654856801)); #39298=CARTESIAN_POINT('Origin',(42.7418914025484,7.85166675701932,-0.586459701147198)); #39299=CARTESIAN_POINT('Origin',(43.1531959562075,7.90098200981897,-0.543229850573571)); #39300=CARTESIAN_POINT('',(43.5782583585936,7.95194682322262,-0.632778953709547)); #39301=CARTESIAN_POINT('Origin',(43.5645005098665,7.95029726261863,-0.499999999999943)); #39302=CARTESIAN_POINT('Origin',(43.8674776700168,1.94365025530695,-0.543229850573572)); #39303=CARTESIAN_POINT('',(43.4699309650848,1.89598456311128,-0.719238654856802)); #39304=CARTESIAN_POINT('Origin',(43.4561731163577,1.89433500250729,-0.586459701147199)); #39305=CARTESIAN_POINT('',(44.2925400724029,1.99461506871059,-0.632778953709548)); #39306=CARTESIAN_POINT('Origin',(44.2787822236759,1.99296550810661,-0.499999999999945)); #39307=CARTESIAN_POINT('',(43.7722336392993,1.83300000000008,-0.463900132844221)); #39308=CARTESIAN_POINT('',(43.5147234501336,1.83300000000008,-0.465569689559896)); #39309=CARTESIAN_POINT('Ctrl Pts',(43.7722336392993,1.83300000000008,-0.46390013284422)); #39310=CARTESIAN_POINT('Ctrl Pts',(43.7638964859193,1.83705782403436,-0.459191746986099)); #39311=CARTESIAN_POINT('Ctrl Pts',(43.7549847344066,1.84126407028635,-0.455232195801993)); #39312=CARTESIAN_POINT('Ctrl Pts',(43.7257117539467,1.85451103190218,-0.44481737580394)); #39313=CARTESIAN_POINT('Ctrl Pts',(43.7042280019291,1.8635793794659,-0.440910511426496)); #39314=CARTESIAN_POINT('Ctrl Pts',(43.6745504153676,1.87228013483581,-0.438753758317197)); #39315=CARTESIAN_POINT('Ctrl Pts',(43.6645787712287,1.87463937561375,-0.438489214350642)); #39316=CARTESIAN_POINT('Ctrl Pts',(43.6444424961865,1.87701902834621,-0.438960494114637)); #39317=CARTESIAN_POINT('Ctrl Pts',(43.6342665720708,1.87694394441189,-0.439691066558814)); #39318=CARTESIAN_POINT('Ctrl Pts',(43.6250578885302,1.8758398269089,-0.440658938200153)); #39319=CARTESIAN_POINT('Ctrl Pts',(43.6045400302668,1.87337974384352,-0.442815452002217)); #39320=CARTESIAN_POINT('Ctrl Pts',(43.5852399215954,1.86625525064562,-0.446286483392451)); #39321=CARTESIAN_POINT('Ctrl Pts',(43.5487191062926,1.85046947628672,-0.454777457016266)); #39322=CARTESIAN_POINT('Ctrl Pts',(43.5315398587811,1.84185121120185,-0.459689130393633)); #39323=CARTESIAN_POINT('Ctrl Pts',(43.5147234501336,1.83300000000007,-0.465569689559897)); #39324=CARTESIAN_POINT('Ctrl Pts',(43.7722336392993,1.83300000000008,-0.463900132844222)); #39325=CARTESIAN_POINT('Ctrl Pts',(43.7741223616209,1.82695706990432,-0.47062455604246)); #39326=CARTESIAN_POINT('Ctrl Pts',(43.7756200766989,1.8216063117037,-0.478008059835352)); #39327=CARTESIAN_POINT('Ctrl Pts',(43.7774714629236,1.81148065032463,-0.495393492920261)); #39328=CARTESIAN_POINT('Ctrl Pts',(43.7775367771647,1.80668069460008,-0.50648495762734)); #39329=CARTESIAN_POINT('Ctrl Pts',(43.7744957263166,1.79999805187884,-0.529099348890826)); #39330=CARTESIAN_POINT('Ctrl Pts',(43.7713592909727,1.79808635005211,-0.540609636709037)); #39331=CARTESIAN_POINT('Ctrl Pts',(43.7616734742985,1.79614842322087,-0.564137062015868)); #39332=CARTESIAN_POINT('Ctrl Pts',(43.7524372406168,1.79681205667401,-0.578785602740384)); #39333=CARTESIAN_POINT('Ctrl Pts',(43.7323310899735,1.79929159125735,-0.601052917425018)); #39334=CARTESIAN_POINT('Ctrl Pts',(43.7190097791757,1.80133898210884,-0.611477840872916)); #39335=CARTESIAN_POINT('Ctrl Pts',(43.6894166969155,1.8034441687505,-0.626524406851949)); #39336=CARTESIAN_POINT('Ctrl Pts',(43.6731285132335,1.80328718762388,-0.63114304906133)); #39337=CARTESIAN_POINT('Ctrl Pts',(43.6576617343148,1.80143272696794,-0.632768673031601)); #39338=CARTESIAN_POINT('Ctrl Pts',(43.6417491731716,1.79952481720013,-0.63444115060168)); #39339=CARTESIAN_POINT('Ctrl Pts',(43.6248312739554,1.79563126425786,-0.633183422452731)); #39340=CARTESIAN_POINT('Ctrl Pts',(43.5929935299647,1.78617419267054,-0.624588361186941)); #39341=CARTESIAN_POINT('Ctrl Pts',(43.5780184732247,1.78078724830439,-0.617262259761503)); #39342=CARTESIAN_POINT('Ctrl Pts',(43.5539026950602,1.77304188024087,-0.599792699322437)); #39343=CARTESIAN_POINT('Ctrl Pts',(43.5417922775923,1.76977725743804,-0.587464819407203)); #39344=CARTESIAN_POINT('Ctrl Pts',(43.5273073091444,1.76882355966511,-0.566291100477284)); #39345=CARTESIAN_POINT('Ctrl Pts',(43.5218254908769,1.76970088249435,-0.55565727478711)); #39346=CARTESIAN_POINT('Ctrl Pts',(43.5141385543429,1.77511226735676,-0.53412988856279)); #39347=CARTESIAN_POINT('Ctrl Pts',(43.5119028290932,1.77967005945406,-0.523253242899191)); #39348=CARTESIAN_POINT('Ctrl Pts',(43.5098602811788,1.79114300895818,-0.503560000104024)); #39349=CARTESIAN_POINT('Ctrl Pts',(43.5100709788293,1.79853569998435,-0.49413442952579)); #39350=CARTESIAN_POINT('Ctrl Pts',(43.5117924449976,1.81484623304924,-0.478077868981062)); #39351=CARTESIAN_POINT('Ctrl Pts',(43.5131985639151,1.8235906183637,-0.471282258409505)); #39352=CARTESIAN_POINT('Ctrl Pts',(43.5147234501336,1.83300000000008,-0.465569689559896)); #39353=CARTESIAN_POINT('Origin',(43.4165659727633,1.88958612170436,-0.590622579685752)); #39354=CARTESIAN_POINT('Origin',(43.6437071844686,1.87310744632016,-0.499999999999936)); #39355=CARTESIAN_POINT('Origin',(43.6437071844686,1.83300000000008,-0.499999999999936)); #39356=CARTESIAN_POINT('Origin',(-14.976561613426,15.4370124962004,-0.408735412577431)); #39357=CARTESIAN_POINT('',(-15.0304038968048,15.4370124974138,-0.280159109275194)); #39358=CARTESIAN_POINT('Origin',(-15.0164493469586,15.4370124970993,-0.412927782306859)); #39359=CARTESIAN_POINT('Origin',(-15.4306677163795,15.4370125064342,-0.456463887257826)); #39360=CARTESIAN_POINT('',(-15.8588406356466,15.4370125160835,-0.367231319177127)); #39361=CARTESIAN_POINT('Origin',(-15.8448860858004,15.437012515769,-0.499999992208792)); #39362=CARTESIAN_POINT('Origin',(-14.9765614811576,21.4370124962003,-0.408735384527725)); #39363=CARTESIAN_POINT('',(-15.0304037645365,21.4370124974137,-0.280159081225488)); #39364=CARTESIAN_POINT('Origin',(-15.0164492146902,21.4370124970993,-0.412927754257153)); #39365=CARTESIAN_POINT('Origin',(-15.4306675841111,21.4370125064341,-0.456463859208119)); #39366=CARTESIAN_POINT('',(-15.8588405033782,21.4370125160835,-0.367231291127421)); #39367=CARTESIAN_POINT('Origin',(-15.844885953532,21.437012515769,-0.499999964159085)); #39368=CARTESIAN_POINT('Origin',(-14.9765613488892,27.4370124962003,-0.408735356478012)); #39369=CARTESIAN_POINT('',(-15.0304036322681,27.4370124974137,-0.280159053175775)); #39370=CARTESIAN_POINT('Origin',(-15.0164490824219,27.4370124970992,-0.41292772620744)); #39371=CARTESIAN_POINT('Origin',(-15.4306674518428,27.4370125064341,-0.456463831158406)); #39372=CARTESIAN_POINT('',(-15.8588403711099,27.4370125160834,-0.367231263077708)); #39373=CARTESIAN_POINT('Origin',(-15.8448858212637,27.4370125157689,-0.499999936109372)); #39374=CARTESIAN_POINT('Origin',(-14.9765612166209,33.4370124962002,-0.408735328428309)); #39375=CARTESIAN_POINT('',(-15.0304034999997,33.4370124974136,-0.280159025126072)); #39376=CARTESIAN_POINT('Origin',(-15.0164489501535,33.4370124970991,-0.412927698157737)); #39377=CARTESIAN_POINT('Origin',(-15.4306673195744,33.437012506434,-0.456463803108703)); #39378=CARTESIAN_POINT('',(-15.8588402388415,33.4370125160833,-0.367231235028005)); #39379=CARTESIAN_POINT('Origin',(-15.8448856889953,33.4370125157689,-0.499999908059669)); #39380=CARTESIAN_POINT('Origin',(-14.9765611504867,36.4370124962002,-0.408735314403509)); #39381=CARTESIAN_POINT('',(-15.0304034338656,36.4370124974136,-0.280159011101272)); #39382=CARTESIAN_POINT('Origin',(-15.0164488840193,36.4370124970991,-0.412927684132936)); #39383=CARTESIAN_POINT('Origin',(-15.4306672534402,36.437012506434,-0.456463789083903)); #39384=CARTESIAN_POINT('',(-15.8588401727073,36.4370125160833,-0.367231221003204)); #39385=CARTESIAN_POINT('Origin',(-15.8448856228611,36.4370125157688,-0.499999894034869)); #39386=CARTESIAN_POINT('Origin',(-14.9765612827551,30.4370124962003,-0.40873534245316)); #39387=CARTESIAN_POINT('',(-15.0304035661339,30.4370124974137,-0.280159039150923)); #39388=CARTESIAN_POINT('Origin',(-15.0164490162877,30.4370124970992,-0.412927712182588)); #39389=CARTESIAN_POINT('Origin',(-15.4306673857086,30.437012506434,-0.456463817133554)); #39390=CARTESIAN_POINT('',(-15.8588403049757,30.4370125160834,-0.367231249052856)); #39391=CARTESIAN_POINT('Origin',(-15.8448857551295,30.4370125157689,-0.49999992208452)); #39392=CARTESIAN_POINT('Origin',(-14.9765614150234,24.4370124962003,-0.408735370502867)); #39393=CARTESIAN_POINT('',(-15.0304036984023,24.4370124974137,-0.28015906720063)); #39394=CARTESIAN_POINT('Origin',(-15.0164491485561,24.4370124970992,-0.412927740232295)); #39395=CARTESIAN_POINT('Origin',(-15.4306675179769,24.4370125064341,-0.456463845183261)); #39396=CARTESIAN_POINT('',(-15.8588404372441,24.4370125160834,-0.367231277102562)); #39397=CARTESIAN_POINT('Origin',(-15.8448858873978,24.437012515769,-0.499999950134227)); #39398=CARTESIAN_POINT('Origin',(-14.9765615472918,18.4370124962004,-0.408735398552571)); #39399=CARTESIAN_POINT('',(-15.0304038306707,18.4370124974138,-0.280159095250334)); #39400=CARTESIAN_POINT('Origin',(-15.0164492808244,18.4370124970993,-0.412927768281998)); #39401=CARTESIAN_POINT('Origin',(-15.4306676502453,18.4370125064341,-0.456463873232965)); #39402=CARTESIAN_POINT('',(-15.8588405695124,18.4370125160835,-0.367231305152266)); #39403=CARTESIAN_POINT('Origin',(-15.8448860196662,18.437012515769,-0.499999978183931)); #39404=CARTESIAN_POINT('Origin',(-14.9765616795602,12.4370124962005,-0.408735426602278)); #39405=CARTESIAN_POINT('',(-15.030403962939,12.4370124974138,-0.280159123300041)); #39406=CARTESIAN_POINT('Origin',(-15.0164494130928,12.4370124970994,-0.412927796331705)); #39407=CARTESIAN_POINT('Origin',(-15.4306677825137,12.4370125064342,-0.456463901282672)); #39408=CARTESIAN_POINT('',(-15.8588407017808,12.4370125160836,-0.367231333201973)); #39409=CARTESIAN_POINT('Origin',(-15.8448861519346,12.4370125157691,-0.500000006233638)); #39410=CARTESIAN_POINT('Origin',(-5.93960701444364,3.97200768492188,-0.441974303897537)); #39411=CARTESIAN_POINT('',(-5.86069420981877,3.85713082192107,-0.444607337062643)); #39412=CARTESIAN_POINT('Origin',(-5.9646982739671,3.94082911724797,-0.444607337062643)); #39413=CARTESIAN_POINT('Origin',(-6.2252611008327,3.61705199784363,-0.471950347248697)); #39414=CARTESIAN_POINT('',(-6.38181986354997,3.20957658311239,-0.499293357434751)); #39415=CARTESIAN_POINT('Origin',(-6.48582392769831,3.29327487843929,-0.499293357434751)); #39416=CARTESIAN_POINT('Origin',(-10.2244187693997,7.42025190806588,-0.441971309125308)); #39417=CARTESIAN_POINT('',(-10.1455059647748,7.30537504506506,-0.444604342290415)); #39418=CARTESIAN_POINT('Origin',(-10.2495100289231,7.38907334039197,-0.444604342290415)); #39419=CARTESIAN_POINT('Origin',(-10.5100728557887,7.06529622098763,-0.471947352476469)); #39420=CARTESIAN_POINT('',(-10.666631618506,6.65782080625638,-0.499290362662522)); #39421=CARTESIAN_POINT('Origin',(-10.7706356826543,6.74151910158329,-0.499290362662522)); #39422=CARTESIAN_POINT('Origin',(-14.5092305243557,10.8684961312099,-0.44196831435307)); #39423=CARTESIAN_POINT('',(-14.4303177197308,10.7536192682091,-0.444601347518176)); #39424=CARTESIAN_POINT('Origin',(-14.5343217838792,10.837317563536,-0.444601347518176)); #39425=CARTESIAN_POINT('Origin',(-14.7948846107448,10.5135404441316,-0.47194435770423)); #39426=CARTESIAN_POINT('',(-14.951443373462,10.1060650294004,-0.499287367890283)); #39427=CARTESIAN_POINT('Origin',(-15.0554474376104,10.1897633247273,-0.499287367890284)); #39428=CARTESIAN_POINT('Origin',(-12.3668246468777,9.14437401963787,-0.441969811739201)); #39429=CARTESIAN_POINT('',(-12.2879118422528,9.02949715663705,-0.444602844904307)); #39430=CARTESIAN_POINT('Origin',(-12.3919159064011,9.11319545196396,-0.444602844904307)); #39431=CARTESIAN_POINT('Origin',(-12.6524787332667,8.78941833255962,-0.471945855090361)); #39432=CARTESIAN_POINT('',(-12.809037495984,8.38194291782837,-0.499288865276414)); #39433=CARTESIAN_POINT('Origin',(-12.9130415601323,8.46564121315528,-0.499288865276415)); #39434=CARTESIAN_POINT('Origin',(-8.08201289192167,5.69612979649389,-0.441972806511423)); #39435=CARTESIAN_POINT('',(-8.00310008729681,5.58125293349308,-0.444605839676529)); #39436=CARTESIAN_POINT('Origin',(-8.10710415144514,5.66495122881999,-0.444605839676529)); #39437=CARTESIAN_POINT('Origin',(-8.36766697831074,5.34117410941565,-0.471948849862583)); #39438=CARTESIAN_POINT('',(-8.52422574102801,4.9336986946844,-0.499291860048637)); #39439=CARTESIAN_POINT('Origin',(-8.62822980517634,5.0173969900113,-0.499291860048637)); #39440=CARTESIAN_POINT('Origin',(-3.79720113696562,2.24788557334989,-0.441975801283651)); #39441=CARTESIAN_POINT('',(-3.71828833234076,2.13300871034907,-0.444608834448757)); #39442=CARTESIAN_POINT('Origin',(-3.82229239648909,2.21670700567598,-0.444608834448757)); #39443=CARTESIAN_POINT('Origin',(-4.08285522335469,1.89292988627164,-0.471951844634811)); #39444=CARTESIAN_POINT('',(-4.23941398607196,1.48545447154039,-0.499294854820864)); #39445=CARTESIAN_POINT('Origin',(-4.34341805022029,1.5691527668673,-0.499294854820865)); #39446=CARTESIAN_POINT('Origin',(40.6437071844686,1.87310744632017,-0.499999999999939)); #39447=CARTESIAN_POINT('',(40.7772071844686,1.83300000000008,-0.499999999999939)); #39448=CARTESIAN_POINT('Origin',(40.6437071844686,1.83300000000008,-0.499999999999939)); #39449=CARTESIAN_POINT('Origin',(40.6437071844686,1.41650000000008,-0.499999999999939)); #39450=CARTESIAN_POINT('',(40.7772071844686,1.00000000000008,-0.499999999999939)); #39451=CARTESIAN_POINT('Origin',(40.6437071844686,1.00000000000008,-0.499999999999939)); #39452=CARTESIAN_POINT('Origin',(34.6437071844686,1.87310744632017,-0.499999999999953)); #39453=CARTESIAN_POINT('',(34.7772071844686,1.83300000000008,-0.499999999999953)); #39454=CARTESIAN_POINT('Origin',(34.6437071844686,1.83300000000008,-0.499999999999953)); #39455=CARTESIAN_POINT('Origin',(34.6437071844686,1.41650000000008,-0.499999999999953)); #39456=CARTESIAN_POINT('',(34.7772071844686,1.00000000000008,-0.499999999999953)); #39457=CARTESIAN_POINT('Origin',(34.6437071844686,1.00000000000008,-0.499999999999953)); #39458=CARTESIAN_POINT('Origin',(28.6437071844686,1.87310744632017,-0.499999999999956)); #39459=CARTESIAN_POINT('',(28.7772071844686,1.83300000000008,-0.499999999999956)); #39460=CARTESIAN_POINT('Origin',(28.6437071844686,1.83300000000008,-0.499999999999956)); #39461=CARTESIAN_POINT('Origin',(28.6437071844686,1.41650000000008,-0.499999999999956)); #39462=CARTESIAN_POINT('',(28.7772071844686,1.00000000000008,-0.499999999999956)); #39463=CARTESIAN_POINT('Origin',(28.6437071844686,1.00000000000008,-0.499999999999956)); #39464=CARTESIAN_POINT('Origin',(22.6437071844686,1.87310744632017,-0.499999999999973)); #39465=CARTESIAN_POINT('',(22.7772071844686,1.83300000000008,-0.499999999999973)); #39466=CARTESIAN_POINT('Origin',(22.6437071844686,1.83300000000008,-0.499999999999973)); #39467=CARTESIAN_POINT('Origin',(22.6437071844686,1.41650000000008,-0.499999999999973)); #39468=CARTESIAN_POINT('',(22.7772071844686,1.00000000000008,-0.499999999999973)); #39469=CARTESIAN_POINT('Origin',(22.6437071844686,1.00000000000008,-0.499999999999973)); #39470=CARTESIAN_POINT('Origin',(16.6437071844686,1.87310744632017,-0.49999999999997)); #39471=CARTESIAN_POINT('',(16.7772071844686,1.83300000000008,-0.49999999999997)); #39472=CARTESIAN_POINT('Origin',(16.6437071844686,1.83300000000008,-0.49999999999997)); #39473=CARTESIAN_POINT('Origin',(16.6437071844686,1.41650000000008,-0.49999999999997)); #39474=CARTESIAN_POINT('',(16.7772071844686,1.00000000000008,-0.49999999999997)); #39475=CARTESIAN_POINT('Origin',(16.6437071844686,1.00000000000008,-0.49999999999997)); #39476=CARTESIAN_POINT('Origin',(10.6437071844686,1.87310744632017,-0.499999999999981)); #39477=CARTESIAN_POINT('',(10.7772071844686,1.83300000000009,-0.499999999999981)); #39478=CARTESIAN_POINT('Origin',(10.6437071844686,1.83300000000009,-0.499999999999981)); #39479=CARTESIAN_POINT('Origin',(10.6437071844686,1.41650000000009,-0.499999999999981)); #39480=CARTESIAN_POINT('',(10.7772071844686,1.00000000000009,-0.499999999999981)); #39481=CARTESIAN_POINT('Origin',(10.6437071844686,1.00000000000009,-0.499999999999981)); #39482=CARTESIAN_POINT('Origin',(4.64370718446854,1.87310744632018,-0.499999999999992)); #39483=CARTESIAN_POINT('',(4.77720718446854,1.83300000000009,-0.499999999999992)); #39484=CARTESIAN_POINT('Origin',(4.64370718446854,1.83300000000009,-0.499999999999992)); #39485=CARTESIAN_POINT('Origin',(4.64370718446854,1.41650000000009,-0.499999999999992)); #39486=CARTESIAN_POINT('',(4.77720718446854,1.00000000000009,-0.499999999999992)); #39487=CARTESIAN_POINT('Origin',(4.64370718446854,1.00000000000009,-0.499999999999992)); #39488=CARTESIAN_POINT('Origin',(-1.35629281553146,1.87310744632018,-0.500000000000003)); #39489=CARTESIAN_POINT('',(-1.22279281553146,1.83300000000009,-0.500000000000003)); #39490=CARTESIAN_POINT('Origin',(-1.35629281553146,1.83300000000009,-0.500000000000003)); #39491=CARTESIAN_POINT('Origin',(-1.35629281553146,1.41650000000009,-0.500000000000003)); #39492=CARTESIAN_POINT('',(-1.22279281553146,1.00000000000009,-0.500000000000003)); #39493=CARTESIAN_POINT('Origin',(-1.35629281553146,1.00000000000009,-0.500000000000003)); #39494=CARTESIAN_POINT('Origin',(1.64370718446855,1.87310744632018,-0.500000000000003)); #39495=CARTESIAN_POINT('',(1.77720718446855,1.83300000000009,-0.500000000000003)); #39496=CARTESIAN_POINT('Origin',(1.64370718446855,1.83300000000009,-0.500000000000003)); #39497=CARTESIAN_POINT('Origin',(1.64370718446855,1.41650000000009,-0.500000000000003)); #39498=CARTESIAN_POINT('',(1.77720718446855,1.00000000000009,-0.500000000000003)); #39499=CARTESIAN_POINT('Origin',(1.64370718446855,1.00000000000009,-0.500000000000003)); #39500=CARTESIAN_POINT('Origin',(7.64370718446854,1.87310744632018,-0.499999999999992)); #39501=CARTESIAN_POINT('',(7.77720718446855,1.83300000000009,-0.499999999999992)); #39502=CARTESIAN_POINT('Origin',(7.64370718446854,1.83300000000009,-0.499999999999992)); #39503=CARTESIAN_POINT('Origin',(7.64370718446854,1.41650000000009,-0.499999999999992)); #39504=CARTESIAN_POINT('',(7.77720718446854,1.00000000000009,-0.499999999999992)); #39505=CARTESIAN_POINT('Origin',(7.64370718446854,1.00000000000009,-0.499999999999992)); #39506=CARTESIAN_POINT('Origin',(13.6437071844686,1.87310744632017,-0.499999999999975)); #39507=CARTESIAN_POINT('',(13.7772071844686,1.83300000000008,-0.499999999999975)); #39508=CARTESIAN_POINT('Origin',(13.6437071844686,1.83300000000008,-0.499999999999975)); #39509=CARTESIAN_POINT('Origin',(13.6437071844686,1.41650000000008,-0.499999999999975)); #39510=CARTESIAN_POINT('',(13.7772071844686,1.00000000000008,-0.499999999999975)); #39511=CARTESIAN_POINT('Origin',(13.6437071844686,1.00000000000008,-0.499999999999975)); #39512=CARTESIAN_POINT('Origin',(19.6437071844686,1.87310744632017,-0.499999999999975)); #39513=CARTESIAN_POINT('',(19.7772071844686,1.83300000000008,-0.499999999999975)); #39514=CARTESIAN_POINT('Origin',(19.6437071844686,1.83300000000008,-0.499999999999975)); #39515=CARTESIAN_POINT('Origin',(19.6437071844686,1.41650000000008,-0.499999999999975)); #39516=CARTESIAN_POINT('',(19.7772071844686,1.00000000000008,-0.499999999999975)); #39517=CARTESIAN_POINT('Origin',(19.6437071844686,1.00000000000008,-0.499999999999975)); #39518=CARTESIAN_POINT('Origin',(25.6437071844686,1.87310744632017,-0.499999999999959)); #39519=CARTESIAN_POINT('',(25.7772071844686,1.83300000000008,-0.499999999999959)); #39520=CARTESIAN_POINT('Origin',(25.6437071844686,1.83300000000008,-0.499999999999959)); #39521=CARTESIAN_POINT('Origin',(25.6437071844686,1.41650000000008,-0.499999999999959)); #39522=CARTESIAN_POINT('',(25.7772071844686,1.00000000000008,-0.499999999999959)); #39523=CARTESIAN_POINT('Origin',(25.6437071844686,1.00000000000008,-0.499999999999959)); #39524=CARTESIAN_POINT('Origin',(31.6437071844686,1.87310744632017,-0.499999999999953)); #39525=CARTESIAN_POINT('',(31.7772071844686,1.83300000000008,-0.499999999999953)); #39526=CARTESIAN_POINT('Origin',(31.6437071844686,1.83300000000008,-0.499999999999953)); #39527=CARTESIAN_POINT('Origin',(31.6437071844686,1.41650000000008,-0.499999999999953)); #39528=CARTESIAN_POINT('',(31.7772071844686,1.00000000000008,-0.499999999999953)); #39529=CARTESIAN_POINT('Origin',(31.6437071844686,1.00000000000008,-0.499999999999953)); #39530=CARTESIAN_POINT('Origin',(37.6437071844686,1.87310744632017,-0.499999999999945)); #39531=CARTESIAN_POINT('',(37.7772071844686,1.83300000000008,-0.499999999999945)); #39532=CARTESIAN_POINT('Origin',(37.6437071844686,1.83300000000008,-0.499999999999945)); #39533=CARTESIAN_POINT('Origin',(37.6437071844686,1.41650000000008,-0.499999999999945)); #39534=CARTESIAN_POINT('',(37.7772071844686,1.00000000000008,-0.499999999999945)); #39535=CARTESIAN_POINT('Origin',(37.6437071844686,1.00000000000008,-0.499999999999945)); #39536=CARTESIAN_POINT('Origin',(43.6437071844686,1.41650000000008,-0.499999999999936)); #39537=CARTESIAN_POINT('',(43.7772071844686,1.00000000000008,-0.499999999999936)); #39538=CARTESIAN_POINT('Origin',(43.6437071844686,1.00000000000008,-0.499999999999936)); #39539=CARTESIAN_POINT('Origin',(-15.7237399632465,3.5435152904548E-7,-1.65263166435295)); #39540=CARTESIAN_POINT('',(-15.8970788601322,10.8251984471929,-0.00341990418756021)); #39541=CARTESIAN_POINT('',(-15.7923340712839,10.8250653353448,-0.999999999999974)); #39542=CARTESIAN_POINT('',(-15.7235894145374,10.8249779732105,-1.65406171858541)); #39543=CARTESIAN_POINT('',(-15.8970788601322,37.4370078740146,-0.00341419817619935)); #39544=CARTESIAN_POINT('',(-15.8970788601322,18.7185039377402,-0.00341821173252696)); #39545=CARTESIAN_POINT('',(-15.7923334715549,37.4370078740146,-1.)); #39546=CARTESIAN_POINT('',(-15.7516346356764,37.4370078740146,-1.38722355741109)); #39547=CARTESIAN_POINT('',(-15.7923338933967,18.7185042434339,-0.999999999999974)); #39548=CARTESIAN_POINT('Origin',(-5.10616826196132,37.4370078740146,0.)); #39549=CARTESIAN_POINT('',(-15.8970788601322,37.4370078740146,0.)); #39550=CARTESIAN_POINT('',(-15.8970788601322,37.4370078740146,0.)); #39551=CARTESIAN_POINT('',(-4.39056585225258,37.4370078740146,7.88520604891253E-14)); #39552=CARTESIAN_POINT('',(-15.8970788601322,37.4370078740146,0.)); #39553=CARTESIAN_POINT('',(-4.39056585225274,37.4370078740146,-0.999999999999974)); #39554=CARTESIAN_POINT('',(-4.39056585225274,37.4370078740146,-0.249999999998793)); #39555=CARTESIAN_POINT('',(2.51793400308577,37.4370078740146,-1.)); #39556=CARTESIAN_POINT('Origin',(-15.8970788601322,37.4370078740146,0.)); #39557=CARTESIAN_POINT('',(-15.8970788601322,10.8249096359415,0.)); #39558=CARTESIAN_POINT('',(-15.8970788601322,10.8808066775348,-0.661894319139126)); #39559=CARTESIAN_POINT('',(-15.8970788601322,10.8091416444476,0.)); #39560=CARTESIAN_POINT('Origin',(-4.39056585225274,38.4370078740133,-0.499999999997587)); #39561=CARTESIAN_POINT('',(-4.39056585225274,39.4370078740151,-0.999999999990501)); #39562=CARTESIAN_POINT('',(-4.39056585225274,39.4370078740151,-0.999999999990501)); #39563=CARTESIAN_POINT('',(-4.39056585225258,39.437007874015,7.83275456743417E-14)); #39564=CARTESIAN_POINT('',(-4.39056585225258,37.4370078740146,7.88520604891253E-14)); #39565=CARTESIAN_POINT('',(-4.39056585225258,39.437007874015,7.83275456743417E-14)); #39566=CARTESIAN_POINT('Origin',(-0.957296861685319,-1.18954351247947,-0.100457068857486)); #39567=CARTESIAN_POINT('',(-3.583641870235,1.00000000000009,-1.)); #39568=CARTESIAN_POINT('',(-11.3952354293372,7.28645688067591,-0.999999999999974)); #39569=CARTESIAN_POINT('',(-3.68858013220803,1.00000000000009,0.)); #39570=CARTESIAN_POINT('',(-3.66859751242157,1.00000000000009,-0.190422629560907)); #39571=CARTESIAN_POINT('',(-1.34333388812709,-0.887360021541546,0.)); #39572=CARTESIAN_POINT('Origin',(12.646137759581,17.2779271380141,0.)); #39573=CARTESIAN_POINT('',(44.3452862765084,1.00000000000008,0.)); #39574=CARTESIAN_POINT('',(45.6430320861992,1.00000000000008,0.)); #39575=CARTESIAN_POINT('',(41.1150650565499,27.9410501147081,0.)); #39576=CARTESIAN_POINT('',(42.9035004061556,13.0249427958225,0.)); #39577=CARTESIAN_POINT('',(-4.06544615592089,39.4370078740147,0.)); #39578=CARTESIAN_POINT('Origin',(-4.06544615592127,-54.1233663829335,0.)); #39579=CARTESIAN_POINT('',(8.84691829945298,39.4370078740034,0.)); #39580=CARTESIAN_POINT('Origin',(43.3627818921558,5.19918034479294,4.55761202976736)); #39581=CARTESIAN_POINT('',(44.450390511774,1.00000000000008,-1.)); #39582=CARTESIAN_POINT('',(43.8405038517,1.00000000000008,4.80268395971321)); #39583=CARTESIAN_POINT('',(41.2201692918158,27.941050114708,-0.999999999999973)); #39584=CARTESIAN_POINT('',(41.6949875653194,23.9809179656147,-0.999999999999973)); #39585=CARTESIAN_POINT('',(41.5008991090396,27.9410501147078,-3.67096579423532)); #39586=CARTESIAN_POINT('Origin',(-3.7079633989208,1.00000000000009,0.)); #39587=CARTESIAN_POINT('',(45.6430320861992,1.00000000000008,-1.)); #39588=CARTESIAN_POINT('Origin',(-4.39036585225274,37.4370078740146,-0.999999999999974)); #39589=CARTESIAN_POINT('',(-3.96034192065538,39.4370078740147,-0.999999999999973)); #39590=CARTESIAN_POINT('',(-1.59298040918307,39.4370078740127,-0.999999999999973)); #39591=CARTESIAN_POINT('Origin',(-3.96034192065577,-54.1233663829335,-0.999999999999973)); #39592=CARTESIAN_POINT('',(-11.1470788601322,35.9370078740146,-1.)); #39593=CARTESIAN_POINT('',(0.374529449724436,35.9370078740146,-1.)); #39594=CARTESIAN_POINT('',(-11.8970788601322,35.1870078740146,-1.)); #39595=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-1.)); #39596=CARTESIAN_POINT('',(-11.8970788601322,33.4141978363089,-1.)); #39597=CARTESIAN_POINT('',(-11.8970788601323,25.2800211717562,-1.)); #39598=CARTESIAN_POINT('',(-11.8519561416317,33.1579791445123,-1.)); #39599=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-1.)); #39600=CARTESIAN_POINT('',(-6.84550173432602,19.3848389109527,-1.)); #39601=CARTESIAN_POINT('',(-5.28011264881803,15.078333420096,-1.)); #39602=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-1.)); #39603=CARTESIAN_POINT('Origin',(-3.18596081840062,39.4370078740147,-8.36774403332593)); #39604=CARTESIAN_POINT('',(-3.18596081840062,39.4370078740147,-8.36774403332593)); #39605=CARTESIAN_POINT('Origin',(-3.18596081840099,-54.1233663829335,-8.36774403332609)); #39606=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-1.)); #39607=CARTESIAN_POINT('',(-6.84550173432602,19.3848389109527,-1.)); #39608=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-1.)); #39609=CARTESIAN_POINT('',(-11.8970788601322,35.1870078740146,-1.)); #39610=CARTESIAN_POINT('',(-11.1470788601322,35.9370078740146,-1.)); #39611=CARTESIAN_POINT('Origin',(-11.8970788601322,33.282115205498,-1.)); #39612=CARTESIAN_POINT('',(-11.8970788601322,33.4141978363089,-1.)); #39613=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-1.)); #39614=CARTESIAN_POINT('',(-11.8519561416317,33.1579791445123,-1.)); #39615=CARTESIAN_POINT('Origin',(-6.66601517100207,18.8910576027492,-1.)); #39616=CARTESIAN_POINT('Origin',(-11.8970788601322,35.9370078740146,-1.)); #39617=CARTESIAN_POINT('',(0.,0.,0.)); #39618=CARTESIAN_POINT('Origin',(64.72774542056,34.2695087055343,-1.)); #39619=CARTESIAN_POINT('',(64.72774542056,27.7982885549471,0.)); #39620=CARTESIAN_POINT('',(64.72774542056,34.2695087055344,0.)); #39621=CARTESIAN_POINT('',(64.72774542056,31.0338986302407,0.)); #39622=CARTESIAN_POINT('',(64.72774542056,27.7982885549471,-1.)); #39623=CARTESIAN_POINT('',(64.72774542056,27.7982885549471,-0.5)); #39624=CARTESIAN_POINT('',(64.72774542056,34.2695087055343,-1.)); #39625=CARTESIAN_POINT('',(64.72774542056,31.0338986302407,-1.)); #39626=CARTESIAN_POINT('',(64.72774542056,34.2695087055344,-0.5)); #39627=CARTESIAN_POINT('Origin',(15.8157420626192,39.410367413783,0.)); #39628=CARTESIAN_POINT('',(17.0515629581416,39.2804774036337,-0.999999999999998)); #39629=CARTESIAN_POINT('',(50.2749330023453,35.7885605021891,-1.)); #39630=CARTESIAN_POINT('',(15.8157420626192,39.410367413783,0.)); #39631=CARTESIAN_POINT('',(16.0799974615484,39.3825930521637,-0.213829851790682)); #39632=CARTESIAN_POINT('',(15.8157420626192,39.410367413783,0.)); #39633=CARTESIAN_POINT('Origin',(19.9080544704664,31.0338986302407,-1.)); #39634=CARTESIAN_POINT('',(17.0515629581421,22.7873198568478,-0.999999999999998)); #39635=CARTESIAN_POINT('',(42.3179948387475,25.4429288575511,-1.)); #39636=CARTESIAN_POINT('',(17.0515629581418,31.03389863024,-1.)); #39637=CARTESIAN_POINT('',(17.9366214233534,23.4005446928351,-1.)); #39638=CARTESIAN_POINT('Origin',(18.1026214233534,23.4005446928351,-0.999999999999997)); #39639=CARTESIAN_POINT('',(23.9037527955631,24.027715472441,-1.)); #39640=CARTESIAN_POINT('Origin',(24.0697527955631,24.027715472441,-0.999999999999997)); #39641=CARTESIAN_POINT('',(29.8708841677727,24.6548862520469,-1.)); #39642=CARTESIAN_POINT('Origin',(30.0368841677727,24.6548862520469,-0.999999999999997)); #39643=CARTESIAN_POINT('',(35.8380155399823,25.2820570316529,-1.)); #39644=CARTESIAN_POINT('Origin',(36.0040155399823,25.2820570316529,-0.999999999999997)); #39645=CARTESIAN_POINT('',(41.805146912192,25.9092278112588,-1.)); #39646=CARTESIAN_POINT('Origin',(41.971146912192,25.9092278112588,-0.999999999999997)); #39647=CARTESIAN_POINT('',(47.7722782844016,26.5363985908647,-1.)); #39648=CARTESIAN_POINT('Origin',(47.9382782844016,26.5363985908647,-0.999999999999997)); #39649=CARTESIAN_POINT('',(53.7394096566112,27.1635693704707,-1.)); #39650=CARTESIAN_POINT('Origin',(53.9054096566112,27.1635693704707,-0.999999999999997)); #39651=CARTESIAN_POINT('',(59.7065410288209,27.7907401500766,-1.)); #39652=CARTESIAN_POINT('Origin',(59.8725410288209,27.7907401500766,-0.999999999999997)); #39653=CARTESIAN_POINT('',(17.9366214233504,38.6672510079465,-1.)); #39654=CARTESIAN_POINT('Origin',(18.1026214233504,38.6672510079465,-0.999999999999997)); #39655=CARTESIAN_POINT('',(23.9037527955601,38.0400802283406,-1.)); #39656=CARTESIAN_POINT('Origin',(24.0697527955601,38.0400802283406,-0.999999999999997)); #39657=CARTESIAN_POINT('',(29.8708841677697,37.4129094487347,-1.)); #39658=CARTESIAN_POINT('Origin',(30.0368841677697,37.4129094487347,-0.999999999999997)); #39659=CARTESIAN_POINT('',(35.8380155399793,36.7857386691288,-1.)); #39660=CARTESIAN_POINT('Origin',(36.0040155399793,36.7857386691288,-0.999999999999997)); #39661=CARTESIAN_POINT('',(41.805146912189,36.1585678895229,-1.)); #39662=CARTESIAN_POINT('Origin',(41.971146912189,36.1585678895229,-0.999999999999997)); #39663=CARTESIAN_POINT('',(47.7722782843986,35.531397109917,-1.)); #39664=CARTESIAN_POINT('Origin',(47.9382782843986,35.531397109917,-0.999999999999997)); #39665=CARTESIAN_POINT('',(53.7394096566083,34.9042263303111,-1.)); #39666=CARTESIAN_POINT('Origin',(53.9054096566082,34.9042263303111,-0.999999999999997)); #39667=CARTESIAN_POINT('',(59.7065410288179,34.2770555507052,-1.)); #39668=CARTESIAN_POINT('Origin',(59.8725410288179,34.2770555507052,-0.999999999999997)); #39669=CARTESIAN_POINT('',(63.8151067149222,28.1452291027471,-1.)); #39670=CARTESIAN_POINT('Origin',(63.9811067149222,28.1452291027471,-0.999999999999997)); #39671=CARTESIAN_POINT('',(63.8151067149222,31.9452291027471,-1.)); #39672=CARTESIAN_POINT('Origin',(63.9811067149222,31.9452291027471,-0.999999999999997)); #39673=CARTESIAN_POINT('',(63.8151067149222,33.8452291027471,-1.)); #39674=CARTESIAN_POINT('Origin',(63.9811067149222,33.8452291027471,-0.999999999999997)); #39675=CARTESIAN_POINT('',(63.8151067149222,30.0452291027471,-1.)); #39676=CARTESIAN_POINT('Origin',(63.9811067149222,30.0452291027471,-0.999999999999997)); #39677=CARTESIAN_POINT('',(62.6901067149227,33.9634701609022,-1.)); #39678=CARTESIAN_POINT('Origin',(62.8561067149227,33.9634701609022,-0.999999999999997)); #39679=CARTESIAN_POINT('',(56.7229753427131,34.5906409405081,-1.)); #39680=CARTESIAN_POINT('Origin',(56.8889753427131,34.5906409405081,-0.999999999999997)); #39681=CARTESIAN_POINT('',(50.7558439705034,35.217811720114,-1.)); #39682=CARTESIAN_POINT('Origin',(50.9218439705034,35.217811720114,-0.999999999999997)); #39683=CARTESIAN_POINT('',(44.7887125982938,35.8449824997199,-1.)); #39684=CARTESIAN_POINT('Origin',(44.9547125982938,35.8449824997199,-0.999999999999997)); #39685=CARTESIAN_POINT('',(38.8215812260842,36.4721532793258,-1.)); #39686=CARTESIAN_POINT('Origin',(38.9875812260842,36.4721532793258,-0.999999999999997)); #39687=CARTESIAN_POINT('',(32.8544498538745,37.0993240589317,-1.)); #39688=CARTESIAN_POINT('Origin',(33.0204498538745,37.0993240589317,-0.999999999999997)); #39689=CARTESIAN_POINT('',(26.8873184816649,37.7264948385376,-1.)); #39690=CARTESIAN_POINT('Origin',(27.0533184816649,37.7264948385376,-0.999999999999997)); #39691=CARTESIAN_POINT('',(20.9201871094552,38.3536656181435,-1.)); #39692=CARTESIAN_POINT('Origin',(21.0861871094552,38.3536656181435,-0.999999999999997)); #39693=CARTESIAN_POINT('',(62.6901067149257,28.1043255398796,-1.)); #39694=CARTESIAN_POINT('Origin',(62.8561067149257,28.1043255398796,-0.999999999999997)); #39695=CARTESIAN_POINT('',(56.7229753427161,27.4771547602736,-1.)); #39696=CARTESIAN_POINT('Origin',(56.8889753427161,27.4771547602736,-0.999999999999997)); #39697=CARTESIAN_POINT('',(50.7558439705064,26.8499839806677,-1.)); #39698=CARTESIAN_POINT('Origin',(50.9218439705064,26.8499839806677,-0.999999999999997)); #39699=CARTESIAN_POINT('',(44.7887125982968,26.2228132010618,-1.)); #39700=CARTESIAN_POINT('Origin',(44.9547125982968,26.2228132010618,-0.999999999999997)); #39701=CARTESIAN_POINT('',(38.8215812260871,25.5956424214558,-1.)); #39702=CARTESIAN_POINT('Origin',(38.9875812260871,25.5956424214558,-0.999999999999997)); #39703=CARTESIAN_POINT('',(32.8544498538775,24.9684716418499,-1.)); #39704=CARTESIAN_POINT('Origin',(33.0204498538775,24.9684716418499,-0.999999999999997)); #39705=CARTESIAN_POINT('',(26.8873184816679,24.341300862244,-1.)); #39706=CARTESIAN_POINT('Origin',(27.0533184816679,24.341300862244,-0.999999999999997)); #39707=CARTESIAN_POINT('',(20.9201871094582,23.714130082638,-1.)); #39708=CARTESIAN_POINT('Origin',(21.0861871094582,23.714130082638,-0.999999999999997)); #39709=CARTESIAN_POINT('Origin',(21.0861871094582,23.714130082638,50.8707112590064)); #39710=CARTESIAN_POINT('',(21.2521871094582,23.714130082638,0.)); #39711=CARTESIAN_POINT('Origin',(21.0861871094582,23.714130082638,0.)); #39712=CARTESIAN_POINT('Origin',(27.0533184816679,24.341300862244,50.8707112590064)); #39713=CARTESIAN_POINT('',(27.2193184816679,24.341300862244,0.)); #39714=CARTESIAN_POINT('Origin',(27.0533184816679,24.341300862244,0.)); #39715=CARTESIAN_POINT('Origin',(33.0204498538775,24.9684716418499,50.8707112590064)); #39716=CARTESIAN_POINT('',(33.1864498538775,24.9684716418499,0.)); #39717=CARTESIAN_POINT('Origin',(33.0204498538775,24.9684716418499,0.)); #39718=CARTESIAN_POINT('Origin',(38.9875812260871,25.5956424214558,50.8707112590064)); #39719=CARTESIAN_POINT('',(39.1535812260871,25.5956424214558,0.)); #39720=CARTESIAN_POINT('Origin',(38.9875812260871,25.5956424214558,0.)); #39721=CARTESIAN_POINT('Origin',(44.9547125982968,26.2228132010618,50.8707112590064)); #39722=CARTESIAN_POINT('',(45.1207125982968,26.2228132010618,0.)); #39723=CARTESIAN_POINT('Origin',(44.9547125982968,26.2228132010618,0.)); #39724=CARTESIAN_POINT('Origin',(50.9218439705064,26.8499839806677,50.8707112590064)); #39725=CARTESIAN_POINT('',(51.0878439705064,26.8499839806677,0.)); #39726=CARTESIAN_POINT('Origin',(50.9218439705064,26.8499839806677,0.)); #39727=CARTESIAN_POINT('Origin',(56.8889753427161,27.4771547602736,50.8707112590064)); #39728=CARTESIAN_POINT('',(57.0549753427161,27.4771547602736,0.)); #39729=CARTESIAN_POINT('Origin',(56.8889753427161,27.4771547602736,0.)); #39730=CARTESIAN_POINT('Origin',(62.8561067149257,28.1043255398796,50.8707112590064)); #39731=CARTESIAN_POINT('',(63.0221067149257,28.1043255398796,0.)); #39732=CARTESIAN_POINT('Origin',(62.8561067149257,28.1043255398796,0.)); #39733=CARTESIAN_POINT('Origin',(21.0861871094552,38.3536656181435,50.8707112590064)); #39734=CARTESIAN_POINT('',(21.2521871094552,38.3536656181435,0.)); #39735=CARTESIAN_POINT('Origin',(21.0861871094552,38.3536656181435,0.)); #39736=CARTESIAN_POINT('Origin',(27.0533184816649,37.7264948385376,50.8707112590064)); #39737=CARTESIAN_POINT('',(27.2193184816649,37.7264948385376,0.)); #39738=CARTESIAN_POINT('Origin',(27.0533184816649,37.7264948385376,0.)); #39739=CARTESIAN_POINT('Origin',(33.0204498538745,37.0993240589317,50.8707112590064)); #39740=CARTESIAN_POINT('',(33.1864498538745,37.0993240589317,0.)); #39741=CARTESIAN_POINT('Origin',(33.0204498538745,37.0993240589317,0.)); #39742=CARTESIAN_POINT('Origin',(38.9875812260842,36.4721532793258,50.8707112590064)); #39743=CARTESIAN_POINT('',(39.1535812260841,36.4721532793258,0.)); #39744=CARTESIAN_POINT('Origin',(38.9875812260842,36.4721532793258,0.)); #39745=CARTESIAN_POINT('Origin',(44.9547125982938,35.8449824997199,50.8707112590064)); #39746=CARTESIAN_POINT('',(45.1207125982938,35.8449824997199,0.)); #39747=CARTESIAN_POINT('Origin',(44.9547125982938,35.8449824997199,0.)); #39748=CARTESIAN_POINT('Origin',(50.9218439705034,35.217811720114,50.8707112590064)); #39749=CARTESIAN_POINT('',(51.0878439705034,35.217811720114,0.)); #39750=CARTESIAN_POINT('Origin',(50.9218439705034,35.217811720114,0.)); #39751=CARTESIAN_POINT('Origin',(56.8889753427131,34.5906409405081,50.8707112590064)); #39752=CARTESIAN_POINT('',(57.0549753427131,34.5906409405081,0.)); #39753=CARTESIAN_POINT('Origin',(56.8889753427131,34.5906409405081,0.)); #39754=CARTESIAN_POINT('Origin',(62.8561067149227,33.9634701609022,50.8707112590064)); #39755=CARTESIAN_POINT('',(63.0221067149227,33.9634701609022,0.)); #39756=CARTESIAN_POINT('Origin',(62.8561067149227,33.9634701609022,0.)); #39757=CARTESIAN_POINT('Origin',(63.9811067149222,30.0452291027471,50.8707112590064)); #39758=CARTESIAN_POINT('',(64.1471067149222,30.0452291027471,0.)); #39759=CARTESIAN_POINT('Origin',(63.9811067149222,30.0452291027471,0.)); #39760=CARTESIAN_POINT('Origin',(63.9811067149222,33.8452291027471,50.8707112590064)); #39761=CARTESIAN_POINT('',(64.1471067149222,33.8452291027471,0.)); #39762=CARTESIAN_POINT('Origin',(63.9811067149222,33.8452291027471,0.)); #39763=CARTESIAN_POINT('Origin',(63.9811067149222,31.9452291027471,50.8707112590064)); #39764=CARTESIAN_POINT('',(64.1471067149222,31.9452291027471,0.)); #39765=CARTESIAN_POINT('Origin',(63.9811067149222,31.9452291027471,0.)); #39766=CARTESIAN_POINT('Origin',(63.9811067149222,28.1452291027471,50.8707112590064)); #39767=CARTESIAN_POINT('',(64.1471067149222,28.1452291027471,0.)); #39768=CARTESIAN_POINT('Origin',(63.9811067149222,28.1452291027471,0.)); #39769=CARTESIAN_POINT('Origin',(59.8725410288179,34.2770555507052,50.8707112590064)); #39770=CARTESIAN_POINT('',(60.0385410288179,34.2770555507052,0.)); #39771=CARTESIAN_POINT('Origin',(59.8725410288179,34.2770555507052,0.)); #39772=CARTESIAN_POINT('Origin',(53.9054096566082,34.9042263303111,50.8707112590064)); #39773=CARTESIAN_POINT('',(54.0714096566082,34.9042263303111,0.)); #39774=CARTESIAN_POINT('Origin',(53.9054096566082,34.9042263303111,0.)); #39775=CARTESIAN_POINT('Origin',(47.9382782843986,35.531397109917,50.8707112590064)); #39776=CARTESIAN_POINT('',(48.1042782843986,35.531397109917,0.)); #39777=CARTESIAN_POINT('Origin',(47.9382782843986,35.531397109917,0.)); #39778=CARTESIAN_POINT('Origin',(41.971146912189,36.1585678895229,50.8707112590064)); #39779=CARTESIAN_POINT('',(42.137146912189,36.1585678895229,0.)); #39780=CARTESIAN_POINT('Origin',(41.971146912189,36.1585678895229,0.)); #39781=CARTESIAN_POINT('Origin',(36.0040155399793,36.7857386691288,50.8707112590064)); #39782=CARTESIAN_POINT('',(36.1700155399793,36.7857386691288,0.)); #39783=CARTESIAN_POINT('Origin',(36.0040155399793,36.7857386691288,0.)); #39784=CARTESIAN_POINT('Origin',(30.0368841677697,37.4129094487347,50.8707112590064)); #39785=CARTESIAN_POINT('',(30.2028841677697,37.4129094487347,0.)); #39786=CARTESIAN_POINT('Origin',(30.0368841677697,37.4129094487347,0.)); #39787=CARTESIAN_POINT('Origin',(24.0697527955601,38.0400802283406,50.8707112590064)); #39788=CARTESIAN_POINT('',(24.2357527955601,38.0400802283406,0.)); #39789=CARTESIAN_POINT('Origin',(24.0697527955601,38.0400802283406,0.)); #39790=CARTESIAN_POINT('Origin',(18.1026214233504,38.6672510079465,50.8707112590064)); #39791=CARTESIAN_POINT('',(18.2686214233504,38.6672510079465,0.)); #39792=CARTESIAN_POINT('Origin',(18.1026214233504,38.6672510079465,0.)); #39793=CARTESIAN_POINT('Origin',(59.8725410288209,27.7907401500766,50.8707112590064)); #39794=CARTESIAN_POINT('',(60.0385410288209,27.7907401500766,0.)); #39795=CARTESIAN_POINT('Origin',(59.8725410288209,27.7907401500766,0.)); #39796=CARTESIAN_POINT('Origin',(53.9054096566112,27.1635693704707,50.8707112590064)); #39797=CARTESIAN_POINT('',(54.0714096566112,27.1635693704707,0.)); #39798=CARTESIAN_POINT('Origin',(53.9054096566112,27.1635693704707,0.)); #39799=CARTESIAN_POINT('Origin',(47.9382782844016,26.5363985908647,50.8707112590064)); #39800=CARTESIAN_POINT('',(48.1042782844016,26.5363985908647,0.)); #39801=CARTESIAN_POINT('Origin',(47.9382782844016,26.5363985908647,0.)); #39802=CARTESIAN_POINT('Origin',(41.971146912192,25.9092278112588,50.8707112590064)); #39803=CARTESIAN_POINT('',(42.137146912192,25.9092278112588,0.)); #39804=CARTESIAN_POINT('Origin',(41.971146912192,25.9092278112588,0.)); #39805=CARTESIAN_POINT('Origin',(36.0040155399823,25.2820570316529,50.8707112590064)); #39806=CARTESIAN_POINT('',(36.1700155399823,25.2820570316529,0.)); #39807=CARTESIAN_POINT('Origin',(36.0040155399823,25.2820570316529,0.)); #39808=CARTESIAN_POINT('Origin',(30.0368841677727,24.6548862520469,50.8707112590064)); #39809=CARTESIAN_POINT('',(30.2028841677727,24.6548862520469,0.)); #39810=CARTESIAN_POINT('Origin',(30.0368841677727,24.6548862520469,0.)); #39811=CARTESIAN_POINT('Origin',(24.0697527955631,24.027715472441,50.8707112590064)); #39812=CARTESIAN_POINT('',(24.235752795563,24.027715472441,0.)); #39813=CARTESIAN_POINT('Origin',(24.0697527955631,24.027715472441,0.)); #39814=CARTESIAN_POINT('Origin',(18.1026214233534,23.4005446928351,50.8707112590064)); #39815=CARTESIAN_POINT('',(18.2686214233534,23.4005446928351,0.)); #39816=CARTESIAN_POINT('Origin',(18.1026214233534,23.4005446928351,0.)); #39817=CARTESIAN_POINT('Origin',(17.4453013671481,26.0338985815748,-0.195457902387833)); #39818=CARTESIAN_POINT('',(17.3162926319817,26.0338985815748,-0.14266014200844)); #39819=CARTESIAN_POINT('Origin',(17.4200723329192,26.0338985815748,-0.226636470061744)); #39820=CARTESIAN_POINT('Origin',(17.1580787701162,26.0338985815748,-0.550413589466109)); #39821=CARTESIAN_POINT('',(16.7923055063757,26.0338985815748,-0.790214380817171)); #39822=CARTESIAN_POINT('Origin',(16.8960852073132,26.0338985815748,-0.874190708870477)); #39823=CARTESIAN_POINT('Origin',(17.4453013728312,31.0338985815748,-0.195457906986606)); #39824=CARTESIAN_POINT('',(17.3162926376648,31.0338985815748,-0.142660146607213)); #39825=CARTESIAN_POINT('Origin',(17.4200723386023,31.0338985815748,-0.226636474660517)); #39826=CARTESIAN_POINT('Origin',(17.1580787757993,31.0338985815748,-0.550413594064882)); #39827=CARTESIAN_POINT('',(16.7923055120588,31.0338985815748,-0.790214385415944)); #39828=CARTESIAN_POINT('Origin',(16.8960852129963,31.0338985815748,-0.87419071346925)); #39829=CARTESIAN_POINT('Origin',(17.4453013785144,36.0338985815748,-0.195457911585388)); #39830=CARTESIAN_POINT('',(17.3162926433479,36.0338985815748,-0.142660151205995)); #39831=CARTESIAN_POINT('Origin',(17.4200723442855,36.0338985815748,-0.226636479259299)); #39832=CARTESIAN_POINT('Origin',(17.1580787814825,36.0338985815748,-0.550413598663665)); #39833=CARTESIAN_POINT('',(16.7923055177419,36.0338985815748,-0.790214390014726)); #39834=CARTESIAN_POINT('Origin',(16.8960852186795,36.0338985815748,-0.874190718068031)); #39835=CARTESIAN_POINT('Origin',(17.4453013813559,38.5338985815748,-0.195457913884776)); #39836=CARTESIAN_POINT('',(17.3162926461895,38.5338985815748,-0.142660153505384)); #39837=CARTESIAN_POINT('Origin',(17.420072347127,38.5338985815748,-0.226636481558687)); #39838=CARTESIAN_POINT('Origin',(17.158078784324,38.5338985815748,-0.550413600963053)); #39839=CARTESIAN_POINT('',(16.7923055205835,38.5338985815748,-0.790214392314115)); #39840=CARTESIAN_POINT('Origin',(16.896085221521,38.5338985815748,-0.87419072036742)); #39841=CARTESIAN_POINT('Origin',(17.4453013756728,33.5338985815748,-0.195457909285995)); #39842=CARTESIAN_POINT('',(17.3162926405064,33.5338985815748,-0.142660148906602)); #39843=CARTESIAN_POINT('Origin',(17.4200723414439,33.5338985815748,-0.226636476959905)); #39844=CARTESIAN_POINT('Origin',(17.1580787786409,33.5338985815748,-0.550413596364271)); #39845=CARTESIAN_POINT('',(16.7923055149004,33.5338985815748,-0.790214387715333)); #39846=CARTESIAN_POINT('Origin',(16.8960852158379,33.5338985815748,-0.874190715768638)); #39847=CARTESIAN_POINT('Origin',(17.4453013699897,28.5338985815748,-0.19545790468723)); #39848=CARTESIAN_POINT('',(17.3162926348233,28.5338985815748,-0.142660144307837)); #39849=CARTESIAN_POINT('Origin',(17.4200723357608,28.5338985815748,-0.226636472361141)); #39850=CARTESIAN_POINT('Origin',(17.1580787729578,28.5338985815748,-0.550413591765506)); #39851=CARTESIAN_POINT('',(16.7923055092173,28.5338985815748,-0.790214383116568)); #39852=CARTESIAN_POINT('Origin',(16.8960852101548,28.5338985815748,-0.874190711169874)); #39853=CARTESIAN_POINT('Origin',(17.4453013643066,23.5338985815748,-0.19545790008845)); #39854=CARTESIAN_POINT('',(17.3162926291401,23.5338985815748,-0.142660139709057)); #39855=CARTESIAN_POINT('Origin',(17.4200723300777,23.5338985815748,-0.226636467762361)); #39856=CARTESIAN_POINT('Origin',(17.1580787672747,23.5338985815748,-0.550413587166726)); #39857=CARTESIAN_POINT('',(16.7923055035341,23.5338985815748,-0.790214378517788)); #39858=CARTESIAN_POINT('Origin',(16.8960852044717,23.5338985815748,-0.874190706571093)); #39859=CARTESIAN_POINT('Origin',(15.8157420626192,31.0338986302394,0.)); #39860=CARTESIAN_POINT('',(15.8157420626192,22.6574298466985,0.)); #39861=CARTESIAN_POINT('',(30.9744397670516,24.2506731765464,-12.2660959685601)); #39862=CARTESIAN_POINT('',(15.8157420626192,22.6574298466985,0.)); #39863=CARTESIAN_POINT('Origin',(64.8963876307918,27.8160135654871,0.)); #39864=CARTESIAN_POINT('',(42.3179948387475,25.4429288575511,0.)); #39865=CARTESIAN_POINT('Origin',(19.9080544704664,31.0338986302407,0.)); #39866=CARTESIAN_POINT('',(0.,0.,0.)); #39867=CARTESIAN_POINT('Origin',(-75.2529455383725,166.080825005317,1.50000006668569)); #39868=CARTESIAN_POINT('',(-94.8916028288289,142.074857762521,1.50000006668569)); #39869=CARTESIAN_POINT('Origin',(-94.7625584066894,141.970437759099,1.50000006668569)); #39870=CARTESIAN_POINT('',(-95.2625501497432,141.088641718691,1.50000006668569)); #39871=CARTESIAN_POINT('Origin',(-95.3915945718827,141.193061722114,1.50000006668569)); #39872=CARTESIAN_POINT('Origin',(-74.0868816947246,165.137270928936,-1.49999993567018)); #39873=CARTESIAN_POINT('',(-93.7255389851811,141.131303686139,-1.49999993567018)); #39874=CARTESIAN_POINT('Origin',(-93.5964945630415,141.026883682717,-1.49999993567018)); #39875=CARTESIAN_POINT('',(-94.0964863060953,140.14508764231,-1.49999993567018)); #39876=CARTESIAN_POINT('Origin',(-94.2255307282349,140.249507645732,-1.49999993567018)); #39877=CARTESIAN_POINT('Origin',(-76.4190093887108,167.024379087113,-1.49999993136216)); #39878=CARTESIAN_POINT('',(-96.0576666791672,143.018411844316,-1.49999993136216)); #39879=CARTESIAN_POINT('Origin',(-95.9286222570277,142.913991840894,-1.49999993136216)); #39880=CARTESIAN_POINT('',(-96.4286140000815,142.032195800487,-1.49999993136216)); #39881=CARTESIAN_POINT('Origin',(-96.557658422221,142.136615803909,-1.49999993136216)); #39882=CARTESIAN_POINT('Origin',(-75.2529455417177,166.080825008024,-1.49999993351616)); #39883=CARTESIAN_POINT('',(-94.8916028321741,142.074857765228,-1.49999993351616)); #39884=CARTESIAN_POINT('Origin',(-94.7625584100346,141.970437761806,-1.49999993351616)); #39885=CARTESIAN_POINT('',(-95.2625501530884,141.088641721398,-1.49999993351616)); #39886=CARTESIAN_POINT('Origin',(-95.3915945752279,141.19306172482,-1.49999993351616)); #39887=CARTESIAN_POINT('Origin',(-74.0868816913794,165.137270926229,1.50000006448176)); #39888=CARTESIAN_POINT('',(-93.7255389818358,141.131303683432,1.50000006448176)); #39889=CARTESIAN_POINT('Origin',(-93.5964945596963,141.02688368001,1.50000006448176)); #39890=CARTESIAN_POINT('',(-94.0964863027501,140.145087639603,1.50000006448176)); #39891=CARTESIAN_POINT('Origin',(-94.2255307248896,140.249507643025,1.50000006448176)); #39892=CARTESIAN_POINT('Origin',(-76.4190093853656,167.024379084406,1.50000006888961)); #39893=CARTESIAN_POINT('',(-96.057666675822,143.018411841609,1.50000006888961)); #39894=CARTESIAN_POINT('Origin',(-95.9286222536825,142.913991838187,1.50000006888961)); #39895=CARTESIAN_POINT('',(-96.4286139967363,142.03219579778,1.50000006888961)); #39896=CARTESIAN_POINT('Origin',(-96.5576584188758,142.136615801202,1.50000006888961)); #39897=CARTESIAN_POINT('Origin',(-63.00939442701,156.173603695398,-8.17034299034812)); #39898=CARTESIAN_POINT('',(-82.6480517174664,132.167636452602,-8.17034299034812)); #39899=CARTESIAN_POINT('Origin',(-82.5190072953268,132.06321644918,-8.17034299034812)); #39900=CARTESIAN_POINT('',(-83.0189990383806,131.181420408773,-8.17034299034812)); #39901=CARTESIAN_POINT('Origin',(-83.1480434605202,131.285840412195,-8.17034299034812)); #39902=CARTESIAN_POINT('Origin',(-60.8787104942605,154.449499546918,-7.94639910471031)); #39903=CARTESIAN_POINT('',(-80.517367784717,130.443532304121,-7.94639910471031)); #39904=CARTESIAN_POINT('Origin',(-80.3883233625774,130.339112300699,-7.94639910471031)); #39905=CARTESIAN_POINT('',(-80.8883151056312,129.457316260292,-7.94639910471031)); #39906=CARTESIAN_POINT('Origin',(-81.0173595277708,129.561736263714,-7.94639910471031)); #39907=CARTESIAN_POINT('Origin',(-65.1400783597594,157.897707843879,-8.39428687598592)); #39908=CARTESIAN_POINT('',(-84.7787356502159,133.891740601083,-8.39428687598592)); #39909=CARTESIAN_POINT('Origin',(-84.6496912280763,133.787320597661,-8.39428687598592)); #39910=CARTESIAN_POINT('',(-85.1496829711301,132.905524557253,-8.39428687598592)); #39911=CARTESIAN_POINT('Origin',(-85.2787273932697,133.009944560675,-8.39428687598592)); #39912=CARTESIAN_POINT('Origin',(-69.4014462252583,161.345916140841,-8.84217464726153)); #39913=CARTESIAN_POINT('',(-89.0401035157147,137.339948898044,-8.84217464726153)); #39914=CARTESIAN_POINT('Origin',(-88.9110590935752,137.235528894622,-8.84217464726153)); #39915=CARTESIAN_POINT('',(-89.411050836629,136.353732854215,-8.84217464726153)); #39916=CARTESIAN_POINT('Origin',(-89.5400952587685,136.458152857637,-8.84217464726153)); #39917=CARTESIAN_POINT('Origin',(-71.5321301580077,163.070020289322,-9.06611853289934)); #39918=CARTESIAN_POINT('',(-91.1707874484642,139.064053046525,-9.06611853289934)); #39919=CARTESIAN_POINT('Origin',(-91.0417430263246,138.959633043103,-9.06611853289934)); #39920=CARTESIAN_POINT('',(-91.5417347693785,138.077837002696,-9.06611853289934)); #39921=CARTESIAN_POINT('Origin',(-91.670779191518,138.182257006118,-9.06611853289934)); #39922=CARTESIAN_POINT('Origin',(-67.2707622925088,159.62181199236,-8.61823076162373)); #39923=CARTESIAN_POINT('',(-86.9094195829653,135.615844749564,-8.61823076162373)); #39924=CARTESIAN_POINT('Origin',(-86.7803751608258,135.511424746142,-8.61823076162373)); #39925=CARTESIAN_POINT('',(-87.2803669038795,134.629628705734,-8.61823076162373)); #39926=CARTESIAN_POINT('Origin',(-87.4094113260191,134.734048709156,-8.61823076162373)); #39927=CARTESIAN_POINT('Origin',(-63.00939442701,156.173603695398,8.17034299034812)); #39928=CARTESIAN_POINT('',(-82.6480517174664,132.167636452602,8.17034299034812)); #39929=CARTESIAN_POINT('Origin',(-82.5190072953268,132.06321644918,8.17034299034812)); #39930=CARTESIAN_POINT('',(-83.0189990383806,131.181420408773,8.17034299034812)); #39931=CARTESIAN_POINT('Origin',(-83.1480434605202,131.285840412195,8.17034299034812)); #39932=CARTESIAN_POINT('Origin',(-67.2707622925088,159.62181199236,8.61823076162373)); #39933=CARTESIAN_POINT('',(-86.9094195829653,135.615844749564,8.61823076162373)); #39934=CARTESIAN_POINT('Origin',(-86.7803751608258,135.511424746142,8.61823076162373)); #39935=CARTESIAN_POINT('',(-87.2803669038795,134.629628705734,8.61823076162373)); #39936=CARTESIAN_POINT('Origin',(-87.4094113260191,134.734048709156,8.61823076162373)); #39937=CARTESIAN_POINT('Origin',(-71.5321301580077,163.070020289322,9.06611853289934)); #39938=CARTESIAN_POINT('',(-91.1707874484642,139.064053046525,9.06611853289934)); #39939=CARTESIAN_POINT('Origin',(-91.0417430263246,138.959633043103,9.06611853289934)); #39940=CARTESIAN_POINT('',(-91.5417347693785,138.077837002696,9.06611853289934)); #39941=CARTESIAN_POINT('Origin',(-91.670779191518,138.182257006118,9.06611853289934)); #39942=CARTESIAN_POINT('Origin',(-69.4014462252583,161.345916140841,8.84217464726153)); #39943=CARTESIAN_POINT('',(-89.0401035157147,137.339948898044,8.84217464726153)); #39944=CARTESIAN_POINT('Origin',(-88.9110590935752,137.235528894622,8.84217464726153)); #39945=CARTESIAN_POINT('',(-89.411050836629,136.353732854215,8.84217464726153)); #39946=CARTESIAN_POINT('Origin',(-89.5400952587685,136.458152857637,8.84217464726153)); #39947=CARTESIAN_POINT('Origin',(-65.1400783597594,157.897707843879,8.39428687598592)); #39948=CARTESIAN_POINT('',(-84.7787356502159,133.891740601083,8.39428687598592)); #39949=CARTESIAN_POINT('Origin',(-84.6496912280763,133.787320597661,8.39428687598592)); #39950=CARTESIAN_POINT('',(-85.1496829711301,132.905524557253,8.39428687598592)); #39951=CARTESIAN_POINT('Origin',(-85.2787273932697,133.009944560675,8.39428687598592)); #39952=CARTESIAN_POINT('Origin',(-60.8787104942605,154.449499546918,7.94639910471031)); #39953=CARTESIAN_POINT('',(-80.517367784717,130.443532304121,7.94639910471031)); #39954=CARTESIAN_POINT('Origin',(-80.3883233625774,130.339112300699,7.94639910471031)); #39955=CARTESIAN_POINT('',(-80.8883151056312,129.457316260292,7.94639910471031)); #39956=CARTESIAN_POINT('Origin',(-81.0173595277708,129.561736263714,7.94639910471031)); #39957=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,-5.)); #39958=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,-5.)); #39959=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,-5.)); #39960=CARTESIAN_POINT('',(-79.116422900967,128.023538751687,-5.)); #39961=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,-5.)); #39962=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,0.)); #39963=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,-2.03291368658461E-17)); #39964=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,0.)); #39965=CARTESIAN_POINT('',(-79.116422900967,128.023538751687,0.)); #39966=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,0.)); #39967=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,5.)); #39968=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,5.)); #39969=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,5.)); #39970=CARTESIAN_POINT('',(-79.116422900967,128.023538751687,5.)); #39971=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,5.)); #39972=CARTESIAN_POINT('Origin',(-73.1151622136159,164.350976179729,8.99999999980005)); #39973=CARTESIAN_POINT('',(-92.7538195040723,140.345008936933,8.99999999980005)); #39974=CARTESIAN_POINT('Origin',(-92.6247750819328,140.240588933511,8.99999999980005)); #39975=CARTESIAN_POINT('',(-93.1247668249866,139.358792893103,8.99999999980005)); #39976=CARTESIAN_POINT('Origin',(-93.2538112471261,139.463212896526,8.99999999980005)); #39977=CARTESIAN_POINT('Origin',(-73.1151622135949,164.350976179712,2.99999999980013)); #39978=CARTESIAN_POINT('',(-92.7538195040513,140.345008936916,2.99999999980013)); #39979=CARTESIAN_POINT('Origin',(-92.6247750819118,140.240588933494,2.99999999980013)); #39980=CARTESIAN_POINT('',(-93.1247668249656,139.358792893086,2.99999999980013)); #39981=CARTESIAN_POINT('Origin',(-93.2538112471051,139.463212896509,2.99999999980013)); #39982=CARTESIAN_POINT('Origin',(-73.1151622135739,164.350976179695,-3.00000000019979)); #39983=CARTESIAN_POINT('',(-92.7538195040303,140.345008936899,-3.00000000019979)); #39984=CARTESIAN_POINT('Origin',(-92.6247750818908,140.240588933477,-3.00000000019979)); #39985=CARTESIAN_POINT('',(-93.1247668249446,139.358792893069,-3.00000000019979)); #39986=CARTESIAN_POINT('Origin',(-93.2538112470841,139.463212896492,-3.00000000019979)); #39987=CARTESIAN_POINT('Origin',(-73.1151622135528,164.350976179678,-9.0000000001997)); #39988=CARTESIAN_POINT('',(-92.7538195040093,140.345008936882,-9.0000000001997)); #39989=CARTESIAN_POINT('Origin',(-92.6247750818697,140.24058893346,-9.0000000001997)); #39990=CARTESIAN_POINT('',(-93.1247668249235,139.358792893052,-9.0000000001997)); #39991=CARTESIAN_POINT('Origin',(-93.2538112470631,139.463212896474,-9.0000000001997)); #39992=CARTESIAN_POINT('Origin',(-73.1151622135633,164.350976179687,-6.00000000019974)); #39993=CARTESIAN_POINT('',(-92.7538195040198,140.34500893689,-6.00000000019974)); #39994=CARTESIAN_POINT('Origin',(-92.6247750818803,140.240588933468,-6.00000000019974)); #39995=CARTESIAN_POINT('',(-93.124766824934,139.358792893061,-6.00000000019974)); #39996=CARTESIAN_POINT('Origin',(-93.2538112470736,139.463212896483,-6.00000000019974)); #39997=CARTESIAN_POINT('Origin',(-73.1151622135844,164.350976179704,-1.99826157370801E-10)); #39998=CARTESIAN_POINT('',(-92.7538195040408,140.345008936907,-1.99826177699937E-10)); #39999=CARTESIAN_POINT('Origin',(-92.6247750819013,140.240588933485,-1.99826157370801E-10)); #40000=CARTESIAN_POINT('',(-93.124766824955,139.358792893078,-1.99826157370801E-10)); #40001=CARTESIAN_POINT('Origin',(-93.2538112470946,139.4632128965,-1.99826157370801E-10)); #40002=CARTESIAN_POINT('Origin',(-73.1151622136054,164.350976179721,5.99999999980009)); #40003=CARTESIAN_POINT('',(-92.7538195040618,140.345008936924,5.99999999980009)); #40004=CARTESIAN_POINT('Origin',(-92.6247750819223,140.240588933502,5.99999999980009)); #40005=CARTESIAN_POINT('',(-93.124766824976,139.358792893095,5.99999999980009)); #40006=CARTESIAN_POINT('Origin',(-93.2538112471156,139.463212896517,5.99999999980009)); #40007=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,7.5)); #40008=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,7.5)); #40009=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,7.5)); #40010=CARTESIAN_POINT('',(-79.116422900967,128.023538751687,7.5)); #40011=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,7.5)); #40012=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,2.5)); #40013=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,2.5)); #40014=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,2.5)); #40015=CARTESIAN_POINT('',(-79.116422900967,128.023538751687,2.5)); #40016=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,2.5)); #40017=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,-2.5)); #40018=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,-2.5)); #40019=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,-2.5)); #40020=CARTESIAN_POINT('',(-79.116422900967,128.023538751687,-2.5)); #40021=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,-2.5)); #40022=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,-7.5)); #40023=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,-7.5)); #40024=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,-7.5)); #40025=CARTESIAN_POINT('',(-79.116422900967,128.023538751687,-7.5)); #40026=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,-7.5)); #40027=CARTESIAN_POINT('Origin',(-78.0722679320234,128.465009476458,0.)); #40028=CARTESIAN_POINT('',(-78.0722679320234,128.465009476458,-8.20572601645234)); #40029=CARTESIAN_POINT('',(-78.7013040972167,127.687633439473,-8.27184038154944)); #40030=CARTESIAN_POINT('',(-78.0600612687552,128.48009472599,-8.2044430444444)); #40031=CARTESIAN_POINT('',(-78.0722679320234,128.465009476458,8.20572601645234)); #40032=CARTESIAN_POINT('',(-78.0722679320234,128.465009476458,0.)); #40033=CARTESIAN_POINT('',(-78.7013040972167,127.687633439473,8.27184038154944)); #40034=CARTESIAN_POINT('',(-78.0600612687552,128.48009472599,8.2044430444444)); #40035=CARTESIAN_POINT('',(-78.7013040972167,127.687633439473,0.)); #40036=CARTESIAN_POINT('Origin',(-96.4833752792967,143.362886194663,0.)); #40037=CARTESIAN_POINT('',(-97.11241144449,142.585510157678,10.2069257396789)); #40038=CARTESIAN_POINT('',(-96.4833752792967,143.362886194663,10.1408113745818)); #40039=CARTESIAN_POINT('',(-96.4110735764854,143.452238149779,10.1332121593994)); #40040=CARTESIAN_POINT('',(-96.4833752792967,143.362886194663,-10.1408113745818)); #40041=CARTESIAN_POINT('',(-96.4833752792967,143.362886194663,0.)); #40042=CARTESIAN_POINT('',(-97.11241144449,142.585510157678,-10.2069257396789)); #40043=CARTESIAN_POINT('',(-96.4110735764854,143.452238149779,-10.1332121593994)); #40044=CARTESIAN_POINT('',(-97.11241144449,142.585510157678,0.)); #40045=CARTESIAN_POINT('Origin',(-81.2865105614164,129.779527559055,0.)); #40046=CARTESIAN_POINT('',(-92.2837622791675,138.678269891052,-9.69941426179142)); #40047=CARTESIAN_POINT('',(-78.5364785852755,127.554260138748,8.25451652216459)); #40048=CARTESIAN_POINT('Origin',(-90.0622912101675,137.912580105706,-9.46592824391935)); #40049=CARTESIAN_POINT('',(-82.707445936027,132.215696991775,-8.69290285588343)); #40050=CARTESIAN_POINT('Origin',(-99.7987694091033,146.045632652356,0.)); #40051=CARTESIAN_POINT('',(-94.5378088932938,141.788575230143,9.93632410742235)); #40052=CARTESIAN_POINT('Origin',(-90.0622912101675,137.912580105706,9.46592824391935)); #40053=CARTESIAN_POINT('',(0.,0.,0.)); #40054=CARTESIAN_POINT('Origin',(-91.873003004875,164.443736639515,1.50000000016824)); #40055=CARTESIAN_POINT('',(-91.9191190573854,164.443736639515,1.65350000016824)); #40056=CARTESIAN_POINT('Origin',(-91.9191190573854,164.443736639515,1.50000000016824)); #40057=CARTESIAN_POINT('Origin',(-92.3691190573854,164.443736639515,1.50000000016824)); #40058=CARTESIAN_POINT('',(-92.8191190573854,164.443736639515,1.65350000016824)); #40059=CARTESIAN_POINT('Origin',(-92.8191190573854,164.443736639515,1.50000000016824)); #40060=CARTESIAN_POINT('Origin',(-91.873003004875,159.693736639515,-1.50000000558275)); #40061=CARTESIAN_POINT('',(-91.9191190573854,159.693736639515,-1.34650000558275)); #40062=CARTESIAN_POINT('Origin',(-91.9191190573854,159.693736639515,-1.50000000558275)); #40063=CARTESIAN_POINT('Origin',(-92.3691190573854,159.693736639515,-1.50000000558275)); #40064=CARTESIAN_POINT('',(-92.8191190573854,159.693736639515,-1.34650000558275)); #40065=CARTESIAN_POINT('Origin',(-92.8191190573854,159.693736639515,-1.50000000558275)); #40066=CARTESIAN_POINT('Origin',(-91.873003004875,154.943736639515,-1.50000000558279)); #40067=CARTESIAN_POINT('',(-91.9191190573854,154.943736639515,-1.34650000558279)); #40068=CARTESIAN_POINT('Origin',(-91.9191190573854,154.943736639515,-1.50000000558279)); #40069=CARTESIAN_POINT('Origin',(-92.3691190573854,154.943736639515,-1.50000000558279)); #40070=CARTESIAN_POINT('',(-92.8191190573854,154.943736639515,-1.34650000558279)); #40071=CARTESIAN_POINT('Origin',(-92.8191190573854,154.943736639515,-1.50000000558279)); #40072=CARTESIAN_POINT('Origin',(-91.873003004875,150.193736639515,-1.50000000558285)); #40073=CARTESIAN_POINT('',(-91.9191190573854,150.193736639515,-1.34650000558285)); #40074=CARTESIAN_POINT('Origin',(-91.9191190573854,150.193736639515,-1.50000000558285)); #40075=CARTESIAN_POINT('Origin',(-92.3691190573854,150.193736639515,-1.50000000558285)); #40076=CARTESIAN_POINT('',(-92.8191190573854,150.193736639515,-1.34650000558285)); #40077=CARTESIAN_POINT('Origin',(-92.8191190573854,150.193736639515,-1.50000000558285)); #40078=CARTESIAN_POINT('Origin',(-91.873003004875,145.443736639515,-1.5000000055829)); #40079=CARTESIAN_POINT('',(-91.9191190573854,145.443736639515,-1.3465000055829)); #40080=CARTESIAN_POINT('Origin',(-91.9191190573854,145.443736639515,-1.5000000055829)); #40081=CARTESIAN_POINT('Origin',(-92.3691190573854,145.443736639515,-1.5000000055829)); #40082=CARTESIAN_POINT('',(-92.8191190573854,145.443736639515,-1.3465000055829)); #40083=CARTESIAN_POINT('Origin',(-92.8191190573854,145.443736639515,-1.5000000055829)); #40084=CARTESIAN_POINT('Origin',(-91.873003004875,145.443736639515,1.50000000016784)); #40085=CARTESIAN_POINT('',(-91.9191190573854,145.443736639515,1.65350000016784)); #40086=CARTESIAN_POINT('Origin',(-91.9191190573854,145.443736639515,1.50000000016784)); #40087=CARTESIAN_POINT('Origin',(-92.3691190573854,145.443736639515,1.50000000016784)); #40088=CARTESIAN_POINT('',(-92.8191190573854,145.443736639515,1.65350000016784)); #40089=CARTESIAN_POINT('Origin',(-92.8191190573854,145.443736639515,1.50000000016784)); #40090=CARTESIAN_POINT('Origin',(-91.873003004875,150.193736639515,1.50000000016794)); #40091=CARTESIAN_POINT('',(-91.9191190573854,150.193736639515,1.65350000016794)); #40092=CARTESIAN_POINT('Origin',(-91.9191190573854,150.193736639515,1.50000000016794)); #40093=CARTESIAN_POINT('Origin',(-92.3691190573854,150.193736639515,1.50000000016794)); #40094=CARTESIAN_POINT('',(-92.8191190573854,150.193736639515,1.65350000016794)); #40095=CARTESIAN_POINT('Origin',(-92.8191190573854,150.193736639515,1.50000000016794)); #40096=CARTESIAN_POINT('Origin',(-91.873003004875,154.943736639515,1.50000000016804)); #40097=CARTESIAN_POINT('',(-91.9191190573854,154.943736639515,1.65350000016804)); #40098=CARTESIAN_POINT('Origin',(-91.9191190573854,154.943736639515,1.50000000016804)); #40099=CARTESIAN_POINT('Origin',(-92.3691190573854,154.943736639515,1.50000000016804)); #40100=CARTESIAN_POINT('',(-92.8191190573854,154.943736639515,1.65350000016804)); #40101=CARTESIAN_POINT('Origin',(-92.8191190573854,154.943736639515,1.50000000016804)); #40102=CARTESIAN_POINT('Origin',(-91.873003004875,164.443736639515,-1.50000000558269)); #40103=CARTESIAN_POINT('',(-91.9191190573854,164.443736639515,-1.34650000558269)); #40104=CARTESIAN_POINT('Origin',(-91.9191190573854,164.443736639515,-1.50000000558269)); #40105=CARTESIAN_POINT('Origin',(-92.3691190573854,164.443736639515,-1.50000000558269)); #40106=CARTESIAN_POINT('',(-92.8191190573854,164.443736639515,-1.34650000558269)); #40107=CARTESIAN_POINT('Origin',(-92.8191190573854,164.443736639515,-1.50000000558269)); #40108=CARTESIAN_POINT('Origin',(-91.873003004875,159.693736639515,1.50000000016814)); #40109=CARTESIAN_POINT('',(-91.9191190573854,159.693736639515,1.65350000016814)); #40110=CARTESIAN_POINT('Origin',(-91.9191190573854,159.693736639515,1.50000000016814)); #40111=CARTESIAN_POINT('Origin',(-92.3691190573854,159.693736639515,1.50000000016814)); #40112=CARTESIAN_POINT('',(-92.8191190573854,159.693736639515,1.65350000016814)); #40113=CARTESIAN_POINT('Origin',(-92.8191190573854,159.693736639515,1.50000000016814)); #40114=CARTESIAN_POINT('Origin',(-92.3191190966065,165.59342798675,-5.60000005786605)); #40115=CARTESIAN_POINT('',(-92.1856190966065,165.63353543307,-5.60000005786605)); #40116=CARTESIAN_POINT('Origin',(-92.3191190966065,165.63353543307,-5.60000005786605)); #40117=CARTESIAN_POINT('Origin',(-92.3191190966065,166.05003543307,-5.60000005786605)); #40118=CARTESIAN_POINT('',(-92.1856190966065,166.46653543307,-5.60000005786605)); #40119=CARTESIAN_POINT('Origin',(-92.3191190966065,166.46653543307,-5.60000005786605)); #40120=CARTESIAN_POINT('Origin',(-92.3191190966067,165.59342798675,-5.7866085676431E-8)); #40121=CARTESIAN_POINT('',(-92.1856190966067,165.63353543307,-5.7866085676431E-8)); #40122=CARTESIAN_POINT('Origin',(-92.3191190966067,165.63353543307,-5.7866085676431E-8)); #40123=CARTESIAN_POINT('Origin',(-92.3191190966067,166.05003543307,-5.7866085676431E-8)); #40124=CARTESIAN_POINT('',(-92.1856190966067,166.46653543307,-5.7866085676431E-8)); #40125=CARTESIAN_POINT('Origin',(-92.3191190966067,166.46653543307,-5.7866085676431E-8)); #40126=CARTESIAN_POINT('Origin',(-92.3191190966067,165.59342798675,5.59999994213387)); #40127=CARTESIAN_POINT('',(-92.1856190966067,165.63353543307,5.59999994213387)); #40128=CARTESIAN_POINT('Origin',(-92.3191190966067,165.63353543307,5.59999994213387)); #40129=CARTESIAN_POINT('Origin',(-92.3191190966067,166.05003543307,5.59999994213387)); #40130=CARTESIAN_POINT('',(-92.1856190966067,166.46653543307,5.59999994213387)); #40131=CARTESIAN_POINT('Origin',(-92.3191190966067,166.46653543307,5.59999994213387)); #40132=CARTESIAN_POINT('Origin',(-92.3191190966067,165.59342798675,8.39999994213385)); #40133=CARTESIAN_POINT('',(-92.1856190966067,165.63353543307,8.39999994213385)); #40134=CARTESIAN_POINT('Origin',(-92.3191190966067,165.63353543307,8.39999994213385)); #40135=CARTESIAN_POINT('Origin',(-92.3191190966067,166.05003543307,8.39999994213385)); #40136=CARTESIAN_POINT('',(-92.1856190966067,166.46653543307,8.39999994213385)); #40137=CARTESIAN_POINT('Origin',(-92.3191190966067,166.46653543307,8.39999994213385)); #40138=CARTESIAN_POINT('Origin',(-92.3191190966067,165.59342798675,2.79999994213388)); #40139=CARTESIAN_POINT('',(-92.1856190966067,165.63353543307,2.79999994213388)); #40140=CARTESIAN_POINT('Origin',(-92.3191190966067,165.63353543307,2.79999994213388)); #40141=CARTESIAN_POINT('Origin',(-92.3191190966067,166.05003543307,2.79999994213388)); #40142=CARTESIAN_POINT('',(-92.1856190966067,166.46653543307,2.79999994213388)); #40143=CARTESIAN_POINT('Origin',(-92.3191190966067,166.46653543307,2.79999994213388)); #40144=CARTESIAN_POINT('Origin',(-92.3191190966066,165.59342798675,-2.80000005786607)); #40145=CARTESIAN_POINT('',(-92.1856190966066,165.63353543307,-2.80000005786607)); #40146=CARTESIAN_POINT('Origin',(-92.3191190966066,165.63353543307,-2.80000005786607)); #40147=CARTESIAN_POINT('Origin',(-92.3191190966066,166.05003543307,-2.80000005786607)); #40148=CARTESIAN_POINT('',(-92.1856190966066,166.46653543307,-2.80000005786607)); #40149=CARTESIAN_POINT('Origin',(-92.3191190966066,166.46653543307,-2.80000005786607)); #40150=CARTESIAN_POINT('Origin',(-92.3191190966063,165.59342798675,-8.40000005786602)); #40151=CARTESIAN_POINT('',(-92.1856190966063,165.63353543307,-8.40000005786602)); #40152=CARTESIAN_POINT('Origin',(-92.3191190966063,165.63353543307,-8.40000005786602)); #40153=CARTESIAN_POINT('Origin',(-92.3191190966063,166.05003543307,-8.40000005786602)); #40154=CARTESIAN_POINT('',(-92.1856190966063,166.46653543307,-8.40000005786602)); #40155=CARTESIAN_POINT('Origin',(-92.3191190966063,166.46653543307,-8.40000005786602)); #40156=CARTESIAN_POINT('Origin',(-92.0755589220866,140.919321739984,5.99999999980198)); #40157=CARTESIAN_POINT('',(-91.997008255378,140.804166844257,5.99999999980198)); #40158=CARTESIAN_POINT('Origin',(-92.1007879563155,140.88814317231,5.99999999980198)); #40159=CARTESIAN_POINT('Origin',(-92.3627815191186,140.564366052906,5.99999999980198)); #40160=CARTESIAN_POINT('',(-92.5209953809841,140.156612605448,5.99999999980198)); #40161=CARTESIAN_POINT('Origin',(-92.6247750819216,140.240588933502,5.99999999980198)); #40162=CARTESIAN_POINT('Origin',(-92.0755589220657,140.919321739967,-1.97963080748689E-10)); #40163=CARTESIAN_POINT('',(-91.997008255357,140.80416684424,-1.97963080748689E-10)); #40164=CARTESIAN_POINT('Origin',(-92.1007879562945,140.888143172293,-1.97963080748689E-10)); #40165=CARTESIAN_POINT('Origin',(-92.3627815190976,140.564366052889,-1.97963080748689E-10)); #40166=CARTESIAN_POINT('',(-92.5209953809631,140.156612605431,-1.97963080748689E-10)); #40167=CARTESIAN_POINT('Origin',(-92.6247750819006,140.240588933485,-1.97963080748689E-10)); #40168=CARTESIAN_POINT('Origin',(-92.0755589220446,140.91932173995,-6.0000000001979)); #40169=CARTESIAN_POINT('',(-91.997008255336,140.804166844223,-6.0000000001979)); #40170=CARTESIAN_POINT('Origin',(-92.1007879562735,140.888143172276,-6.0000000001979)); #40171=CARTESIAN_POINT('Origin',(-92.3627815190766,140.564366052872,-6.0000000001979)); #40172=CARTESIAN_POINT('',(-92.5209953809421,140.156612605414,-6.0000000001979)); #40173=CARTESIAN_POINT('Origin',(-92.6247750818796,140.240588933468,-6.0000000001979)); #40174=CARTESIAN_POINT('Origin',(-92.0755589220341,140.919321739942,-9.00000000019787)); #40175=CARTESIAN_POINT('',(-91.9970082553255,140.804166844215,-9.00000000019787)); #40176=CARTESIAN_POINT('Origin',(-92.100787956263,140.888143172268,-9.00000000019787)); #40177=CARTESIAN_POINT('Origin',(-92.3627815190661,140.564366052863,-9.00000000019787)); #40178=CARTESIAN_POINT('',(-92.5209953809316,140.156612605406,-9.00000000019787)); #40179=CARTESIAN_POINT('Origin',(-92.6247750818691,140.240588933459,-9.00000000019787)); #40180=CARTESIAN_POINT('Origin',(-92.0755589220552,140.919321739959,-3.00000000019793)); #40181=CARTESIAN_POINT('',(-91.9970082553465,140.804166844232,-3.00000000019793)); #40182=CARTESIAN_POINT('Origin',(-92.100787956284,140.888143172285,-3.00000000019793)); #40183=CARTESIAN_POINT('Origin',(-92.3627815190871,140.564366052881,-3.00000000019793)); #40184=CARTESIAN_POINT('',(-92.5209953809526,140.156612605423,-3.00000000019793)); #40185=CARTESIAN_POINT('Origin',(-92.6247750818901,140.240588933476,-3.00000000019793)); #40186=CARTESIAN_POINT('Origin',(-92.0755589220762,140.919321739976,2.99999999980201)); #40187=CARTESIAN_POINT('',(-91.9970082553675,140.804166844249,2.99999999980201)); #40188=CARTESIAN_POINT('Origin',(-92.100787956305,140.888143172302,2.99999999980201)); #40189=CARTESIAN_POINT('Origin',(-92.3627815191081,140.564366052897,2.99999999980201)); #40190=CARTESIAN_POINT('',(-92.5209953809736,140.15661260544,2.99999999980201)); #40191=CARTESIAN_POINT('Origin',(-92.6247750819111,140.240588933493,2.99999999980201)); #40192=CARTESIAN_POINT('Origin',(-92.0755589220972,140.919321739993,8.99999999980194)); #40193=CARTESIAN_POINT('',(-91.9970082553885,140.804166844265,8.99999999980194)); #40194=CARTESIAN_POINT('Origin',(-92.100787956326,140.888143172319,8.99999999980194)); #40195=CARTESIAN_POINT('Origin',(-92.3627815191291,140.564366052914,8.99999999980194)); #40196=CARTESIAN_POINT('',(-92.5209953809946,140.156612605457,8.99999999980194)); #40197=CARTESIAN_POINT('Origin',(-92.6247750819321,140.24058893351,8.99999999980194)); #40198=CARTESIAN_POINT('Origin',(-59.5909223068747,162.216535433231,9.14782415649294)); #40199=CARTESIAN_POINT('',(-91.8191190573854,162.216535433231,8.98182415649294)); #40200=CARTESIAN_POINT('Origin',(-91.8191190573854,162.216535433231,9.14782415649294)); #40201=CARTESIAN_POINT('',(-92.8191190573854,162.216535433231,9.31382415649294)); #40202=CARTESIAN_POINT('Origin',(-92.8191190573854,162.216535433231,9.14782415649294)); #40203=CARTESIAN_POINT('Origin',(-59.5909223068747,156.216535433231,9.14782415655665)); #40204=CARTESIAN_POINT('',(-91.8191190573854,156.216535433231,8.98182415655665)); #40205=CARTESIAN_POINT('Origin',(-91.8191190573854,156.216535433231,9.14782415655665)); #40206=CARTESIAN_POINT('',(-92.8191190573854,156.216535433231,9.31382415655665)); #40207=CARTESIAN_POINT('Origin',(-92.8191190573854,156.216535433231,9.14782415655665)); #40208=CARTESIAN_POINT('Origin',(-59.5909223068747,150.216535433231,9.14782415662035)); #40209=CARTESIAN_POINT('',(-91.8191190573854,150.216535433231,8.98182415662035)); #40210=CARTESIAN_POINT('Origin',(-91.8191190573854,150.216535433231,9.14782415662035)); #40211=CARTESIAN_POINT('',(-92.8191190573854,150.216535433231,9.31382415662035)); #40212=CARTESIAN_POINT('Origin',(-92.8191190573854,150.216535433231,9.14782415662035)); #40213=CARTESIAN_POINT('Origin',(-59.5909223068747,144.216535433231,9.14782415668405)); #40214=CARTESIAN_POINT('',(-91.8191190573854,144.216535433231,8.98182415668405)); #40215=CARTESIAN_POINT('Origin',(-91.8191190573854,144.216535433231,9.14782415668405)); #40216=CARTESIAN_POINT('',(-92.8191190573854,144.216535433231,9.31382415668405)); #40217=CARTESIAN_POINT('Origin',(-92.8191190573854,144.216535433231,9.14782415668405)); #40218=CARTESIAN_POINT('Origin',(-59.5909223068747,141.216535433037,-9.14782404038146)); #40219=CARTESIAN_POINT('',(-91.8191190573854,141.216535433037,-9.31382404038146)); #40220=CARTESIAN_POINT('Origin',(-91.8191190573854,141.216535433037,-9.14782404038146)); #40221=CARTESIAN_POINT('',(-92.8191190573854,141.216535433037,-8.98182404038146)); #40222=CARTESIAN_POINT('Origin',(-92.8191190573854,141.216535433037,-9.14782404038146)); #40223=CARTESIAN_POINT('Origin',(-59.5909223068747,147.216535433037,-9.14782404044532)); #40224=CARTESIAN_POINT('',(-91.8191190573854,147.216535433037,-9.31382404044532)); #40225=CARTESIAN_POINT('Origin',(-91.8191190573854,147.216535433037,-9.14782404044532)); #40226=CARTESIAN_POINT('',(-92.8191190573854,147.216535433037,-8.98182404044532)); #40227=CARTESIAN_POINT('Origin',(-92.8191190573854,147.216535433037,-9.14782404044532)); #40228=CARTESIAN_POINT('Origin',(-59.5909223068747,153.216535433037,-9.14782404050919)); #40229=CARTESIAN_POINT('',(-91.8191190573854,153.216535433037,-9.31382404050919)); #40230=CARTESIAN_POINT('Origin',(-91.8191190573854,153.216535433037,-9.14782404050919)); #40231=CARTESIAN_POINT('',(-92.8191190573854,153.216535433037,-8.98182404050919)); #40232=CARTESIAN_POINT('Origin',(-92.8191190573854,153.216535433037,-9.14782404050919)); #40233=CARTESIAN_POINT('Origin',(-59.5909223068747,159.216535433037,-9.14782404057305)); #40234=CARTESIAN_POINT('',(-91.8191190573854,159.216535433037,-9.31382404057305)); #40235=CARTESIAN_POINT('Origin',(-91.8191190573854,159.216535433037,-9.14782404057305)); #40236=CARTESIAN_POINT('',(-92.8191190573854,159.216535433037,-8.98182404057305)); #40237=CARTESIAN_POINT('Origin',(-92.8191190573854,159.216535433037,-9.14782404057305)); #40238=CARTESIAN_POINT('Origin',(-59.5909223068747,165.216535433037,-9.14782404063686)); #40239=CARTESIAN_POINT('',(-91.8191190573854,165.216535433037,-9.31382404063686)); #40240=CARTESIAN_POINT('Origin',(-91.8191190573854,165.216535433037,-9.14782404063686)); #40241=CARTESIAN_POINT('',(-92.8191190573854,165.216535433037,-8.98182404063686)); #40242=CARTESIAN_POINT('Origin',(-92.8191190573854,165.216535433037,-9.14782404063686)); #40243=CARTESIAN_POINT('Origin',(-59.5909223068747,162.216535433037,-9.14782404060498)); #40244=CARTESIAN_POINT('',(-91.8191190573854,162.216535433037,-9.31382404060498)); #40245=CARTESIAN_POINT('Origin',(-91.8191190573854,162.216535433037,-9.14782404060498)); #40246=CARTESIAN_POINT('',(-92.8191190573854,162.216535433037,-8.98182404060498)); #40247=CARTESIAN_POINT('Origin',(-92.8191190573854,162.216535433037,-9.14782404060498)); #40248=CARTESIAN_POINT('Origin',(-59.5909223068747,156.216535433037,-9.14782404054112)); #40249=CARTESIAN_POINT('',(-91.8191190573854,156.216535433037,-9.31382404054112)); #40250=CARTESIAN_POINT('Origin',(-91.8191190573854,156.216535433037,-9.14782404054112)); #40251=CARTESIAN_POINT('',(-92.8191190573854,156.216535433037,-8.98182404054112)); #40252=CARTESIAN_POINT('Origin',(-92.8191190573854,156.216535433037,-9.14782404054112)); #40253=CARTESIAN_POINT('Origin',(-59.5909223068747,150.216535433037,-9.14782404047726)); #40254=CARTESIAN_POINT('',(-91.8191190573854,150.216535433037,-9.31382404047725)); #40255=CARTESIAN_POINT('Origin',(-91.8191190573854,150.216535433037,-9.14782404047726)); #40256=CARTESIAN_POINT('',(-92.8191190573854,150.216535433037,-8.98182404047725)); #40257=CARTESIAN_POINT('Origin',(-92.8191190573854,150.216535433037,-9.14782404047726)); #40258=CARTESIAN_POINT('Origin',(-59.5909223068747,144.216535433037,-9.14782404041339)); #40259=CARTESIAN_POINT('',(-91.8191190573854,144.216535433037,-9.31382404041339)); #40260=CARTESIAN_POINT('Origin',(-91.8191190573854,144.216535433037,-9.14782404041339)); #40261=CARTESIAN_POINT('',(-92.8191190573854,144.216535433037,-8.98182404041339)); #40262=CARTESIAN_POINT('Origin',(-92.8191190573854,144.216535433037,-9.14782404041339)); #40263=CARTESIAN_POINT('Origin',(-59.5909223068747,141.216535433231,9.1478241567159)); #40264=CARTESIAN_POINT('',(-91.8191190573854,141.216535433231,8.9818241567159)); #40265=CARTESIAN_POINT('Origin',(-91.8191190573854,141.216535433231,9.1478241567159)); #40266=CARTESIAN_POINT('',(-92.8191190573854,141.216535433231,9.3138241567159)); #40267=CARTESIAN_POINT('Origin',(-92.8191190573854,141.216535433231,9.1478241567159)); #40268=CARTESIAN_POINT('Origin',(-59.5909223068747,147.216535433231,9.1478241566522)); #40269=CARTESIAN_POINT('',(-91.8191190573854,147.216535433231,8.9818241566522)); #40270=CARTESIAN_POINT('Origin',(-91.8191190573854,147.216535433231,9.1478241566522)); #40271=CARTESIAN_POINT('',(-92.8191190573854,147.216535433231,9.3138241566522)); #40272=CARTESIAN_POINT('Origin',(-92.8191190573854,147.216535433231,9.1478241566522)); #40273=CARTESIAN_POINT('Origin',(-59.5909223068747,153.216535433231,9.1478241565885)); #40274=CARTESIAN_POINT('',(-91.8191190573854,153.216535433231,8.9818241565885)); #40275=CARTESIAN_POINT('Origin',(-91.8191190573854,153.216535433231,9.1478241565885)); #40276=CARTESIAN_POINT('',(-92.8191190573854,153.216535433231,9.3138241565885)); #40277=CARTESIAN_POINT('Origin',(-92.8191190573854,153.216535433231,9.1478241565885)); #40278=CARTESIAN_POINT('Origin',(-59.5909223068747,159.216535433231,9.14782415652479)); #40279=CARTESIAN_POINT('',(-91.8191190573854,159.216535433231,8.98182415652479)); #40280=CARTESIAN_POINT('Origin',(-91.8191190573854,159.216535433231,9.14782415652479)); #40281=CARTESIAN_POINT('',(-92.8191190573854,159.216535433231,9.3138241565248)); #40282=CARTESIAN_POINT('Origin',(-92.8191190573854,159.216535433231,9.14782415652479)); #40283=CARTESIAN_POINT('Origin',(-59.5909223068747,165.216535433231,9.14782415646104)); #40284=CARTESIAN_POINT('',(-91.8191190573854,165.216535433231,8.98182415646104)); #40285=CARTESIAN_POINT('Origin',(-91.8191190573854,165.216535433231,9.14782415646104)); #40286=CARTESIAN_POINT('',(-92.8191190573854,165.216535433231,9.31382415646104)); #40287=CARTESIAN_POINT('Origin',(-92.8191190573854,165.216535433231,9.14782415646104)); #40288=CARTESIAN_POINT('Origin',(-92.8191190573854,166.46653543307,-9.75568252656031)); #40289=CARTESIAN_POINT('',(-91.8191190573854,166.46653543307,-9.65057829129463)); #40290=CARTESIAN_POINT('',(-92.8191190573854,166.46653543307,-9.75568252656031)); #40291=CARTESIAN_POINT('',(-46.4095595286927,166.46653543307,-4.87784126328015)); #40292=CARTESIAN_POINT('',(-92.8191190573854,166.46653543307,9.75568252656031)); #40293=CARTESIAN_POINT('',(-92.8191190573854,166.46653543307,9.75568252656031)); #40294=CARTESIAN_POINT('',(-91.8191190573854,166.46653543307,9.65057829129464)); #40295=CARTESIAN_POINT('',(-45.3953993041035,166.46653543307,4.77124872843783)); #40296=CARTESIAN_POINT('',(-91.8191190573854,166.46653543307,-4.87784126328015)); #40297=CARTESIAN_POINT('Origin',(-91.8191190573854,138.30229047246,0.)); #40298=CARTESIAN_POINT('',(-91.8191190573854,139.588669203503,-9.65057829129463)); #40299=CARTESIAN_POINT('',(-91.8191190573854,69.15114523623,-9.65057829129463)); #40300=CARTESIAN_POINT('',(-91.8191190573854,139.588669203503,9.65057829129463)); #40301=CARTESIAN_POINT('',(-91.8191190573854,69.15114523623,9.65057829129463)); #40302=CARTESIAN_POINT('',(-91.8191190573854,139.588669203503,0.)); #40303=CARTESIAN_POINT('Origin',(4.53234281083647E-15,0.,4.31223613337738E-14)); #40304=CARTESIAN_POINT('',(-92.8191190573854,140.397847947464,9.75568252656031)); #40305=CARTESIAN_POINT('',(-92.8191190573854,166.21653543307,9.75568252656031)); #40306=CARTESIAN_POINT('',(-29.7474992446256,89.3616338477663,3.1265881591727)); #40307=CARTESIAN_POINT('Origin',(-91.8191190573854,139.588669203503,0.)); #40308=CARTESIAN_POINT('',(-92.8191190573854,140.397847947464,-9.75568252656031)); #40309=CARTESIAN_POINT('',(-29.7474992446256,89.3616338477663,-3.12658815917269)); #40310=CARTESIAN_POINT('',(-92.8191190573854,140.397847947464,0.)); #40311=CARTESIAN_POINT('Origin',(-92.8191190573854,167.71653543307,0.)); #40312=CARTESIAN_POINT('',(-92.8191190573854,83.8582677165351,-9.75568252656031)); #40313=CARTESIAN_POINT('Origin',(2.19306910201765E-15,0.,-2.08656587098905E-14)); #40314=CARTESIAN_POINT('',(0.,0.,0.)); #40315=CARTESIAN_POINT('Origin',(91.8091193229525,-9.64952726583326,1.)); #40316=CARTESIAN_POINT('',(91.8091193229528,9.64952723209955,0.75)); #40317=CARTESIAN_POINT('',(91.8091193229525,-9.64952726583326,0.75)); #40318=CARTESIAN_POINT('',(91.8091193229526,-4.82476364133898,0.75)); #40319=CARTESIAN_POINT('',(91.8091193229528,9.64952723209955,1.)); #40320=CARTESIAN_POINT('',(91.8091193229528,9.6495272768542,0.5)); #40321=CARTESIAN_POINT('',(91.8091193229525,-9.64952726583326,1.)); #40322=CARTESIAN_POINT('',(91.8091193229526,-4.82476363291664,1.)); #40323=CARTESIAN_POINT('',(91.8091193229525,-9.64952727685416,0.5)); #40324=CARTESIAN_POINT('Origin',(92.8291193229528,9.75673355212932,1.)); #40325=CARTESIAN_POINT('',(92.8291193229524,-9.75673358581873,0.75)); #40326=CARTESIAN_POINT('',(92.8291193229528,9.75673355212932,0.75)); #40327=CARTESIAN_POINT('',(92.8291193229527,4.8783667676423,0.75)); #40328=CARTESIAN_POINT('',(92.8291193229524,-9.75673358581873,1.)); #40329=CARTESIAN_POINT('',(92.8291193229524,-9.75673359682514,0.5)); #40330=CARTESIAN_POINT('',(92.8291193229528,9.75673355212932,1.)); #40331=CARTESIAN_POINT('',(92.8291193229527,4.87836677606463,1.)); #40332=CARTESIAN_POINT('',(92.8291193229528,9.75673359682518,0.5)); #40333=CARTESIAN_POINT('Origin',(98.8833230126767,10.3930560457762,0.)); #40334=CARTESIAN_POINT('',(95.0968726031019,9.99508407110648,0.75)); #40335=CARTESIAN_POINT('',(97.3915401696242,10.2362633508748,1.)); #40336=CARTESIAN_POINT('',(98.8833230126767,10.3930560457762,1.)); #40337=CARTESIAN_POINT('',(97.3915401696242,10.2362633508748,-1.)); #40338=CARTESIAN_POINT('',(97.3915401696242,10.2362633508748,-1.)); #40339=CARTESIAN_POINT('',(80.3754410273311,8.44779926331911,-1.)); #40340=CARTESIAN_POINT('',(80.3754410273311,8.44779926331911,-1.)); #40341=CARTESIAN_POINT('',(80.3754410273311,8.44779926331911,1.)); #40342=CARTESIAN_POINT('',(80.3754410273311,8.44779926331911,0.)); #40343=CARTESIAN_POINT('',(98.8833230126767,10.3930560457762,1.)); #40344=CARTESIAN_POINT('Origin',(92.3216939218216,-1.68816086219465E-8, 0.75)); #40345=CARTESIAN_POINT('',(85.8428463684513,-9.02244672058502,0.75)); #40346=CARTESIAN_POINT('',(92.4851185460318,5.6,0.75)); #40347=CARTESIAN_POINT('Origin',(92.3191185460318,5.6,0.75)); #40348=CARTESIAN_POINT('',(92.4851185460317,-8.4,0.75)); #40349=CARTESIAN_POINT('Origin',(92.3191185460317,-8.4,0.75)); #40350=CARTESIAN_POINT('',(92.4851185460319,-2.8,0.75)); #40351=CARTESIAN_POINT('Origin',(92.3191185460319,-2.8,0.75)); #40352=CARTESIAN_POINT('',(92.4851185460319,-1.37837703589026E-15,0.75)); #40353=CARTESIAN_POINT('Origin',(92.3191185460319,-1.3987061727561E-15, 0.75)); #40354=CARTESIAN_POINT('',(92.4851185460318,-5.6,0.75)); #40355=CARTESIAN_POINT('Origin',(92.3191185460318,-5.6,0.75)); #40356=CARTESIAN_POINT('',(92.4851185460317,8.4,0.75)); #40357=CARTESIAN_POINT('Origin',(92.3191185460317,8.4,0.75)); #40358=CARTESIAN_POINT('',(92.4851185460319,2.8,0.75)); #40359=CARTESIAN_POINT('Origin',(92.3191185460319,2.8,0.75)); #40360=CARTESIAN_POINT('Origin',(90.1857841825165,-1.71420617465817E-15, 1.)); #40361=CARTESIAN_POINT('',(97.3915401696243,-10.2362633508747,1.)); #40362=CARTESIAN_POINT('',(80.3752705398731,-8.44778134436522,1.)); #40363=CARTESIAN_POINT('Origin',(0.,0.,1.)); #40364=CARTESIAN_POINT('',(94.9988929759005,1.49999999363422,1.)); #40365=CARTESIAN_POINT('Origin',(94.8328929759005,1.49999999363422,1.)); #40366=CARTESIAN_POINT('',(93.6238929759019,-1.50000000795034,1.)); #40367=CARTESIAN_POINT('Origin',(93.4578929759019,-1.50000000795034,1.)); #40368=CARTESIAN_POINT('',(96.3738929759019,-1.50000000636587,1.)); #40369=CARTESIAN_POINT('Origin',(96.2078929759019,-1.50000000636587,1.)); #40370=CARTESIAN_POINT('',(94.9988929759019,-1.50000000636583,1.)); #40371=CARTESIAN_POINT('Origin',(94.8328929759019,-1.50000000636583,1.)); #40372=CARTESIAN_POINT('',(93.6238929759005,1.4999999920497,1.)); #40373=CARTESIAN_POINT('Origin',(93.4578929759005,1.4999999920497,1.)); #40374=CARTESIAN_POINT('',(96.3738929759006,1.49999999363418,1.)); #40375=CARTESIAN_POINT('Origin',(96.2078929759006,1.49999999363418,1.)); #40376=CARTESIAN_POINT('Origin',(90.1857841825165,-1.71420617465817E-15, 1.)); #40377=CARTESIAN_POINT('',(80.4799694905988,7.45327736795049,1.)); #40378=CARTESIAN_POINT('',(80.9203991999877,3.26286859635668,1.)); #40379=CARTESIAN_POINT('',(79.9763466634906,7.40034447584495,1.)); #40380=CARTESIAN_POINT('',(80.8544703224386,7.49263899148737,1.)); #40381=CARTESIAN_POINT('',(79.9763466634906,-7.40034447584534,1.)); #40382=CARTESIAN_POINT('Origin',(0.,0.,1.)); #40383=CARTESIAN_POINT('',(80.4799694905988,-7.45327736795049,1.)); #40384=CARTESIAN_POINT('',(84.8924471271687,-7.91704745556904,1.)); #40385=CARTESIAN_POINT('',(80.3754410273311,-8.44779926331911,1.)); #40386=CARTESIAN_POINT('',(80.81587073672,-4.25739049172511,1.)); #40387=CARTESIAN_POINT('',(80.3752705398731,-8.44778134436522,1.)); #40388=CARTESIAN_POINT('',(81.5880842507575,8.0549019004587,1.)); #40389=CARTESIAN_POINT('Origin',(81.4220842507575,8.0549019004587,1.)); #40390=CARTESIAN_POINT('',(87.5553090288047,8.68118334538603,1.)); #40391=CARTESIAN_POINT('Origin',(87.3893090288047,8.68118334538603,1.)); #40392=CARTESIAN_POINT('',(90.5389214178282,-8.99432406784969,1.)); #40393=CARTESIAN_POINT('Origin',(90.3729214178282,-8.99432406784969,1.)); #40394=CARTESIAN_POINT('',(84.5716966397811,-8.36804262292236,1.)); #40395=CARTESIAN_POINT('Origin',(84.4056966397811,-8.36804262292236,1.)); #40396=CARTESIAN_POINT('',(81.5880842507575,-8.0549019004587,1.)); #40397=CARTESIAN_POINT('Origin',(81.4220842507575,-8.0549019004587,1.)); #40398=CARTESIAN_POINT('',(87.5553090288047,-8.68118334538603,1.)); #40399=CARTESIAN_POINT('Origin',(87.3893090288047,-8.68118334538603,1.)); #40400=CARTESIAN_POINT('',(90.5389214178282,8.99432406784969,1.)); #40401=CARTESIAN_POINT('Origin',(90.3729214178282,8.99432406784969,1.)); #40402=CARTESIAN_POINT('',(84.5716966397811,8.36804262292236,1.)); #40403=CARTESIAN_POINT('Origin',(84.4056966397811,8.36804262292236,1.)); #40404=CARTESIAN_POINT('Origin',(94.8328929759005,1.49999999363422,26.3017619773533)); #40405=CARTESIAN_POINT('',(94.6668929759005,1.49999999363422,-1.)); #40406=CARTESIAN_POINT('Origin',(94.8328929759005,1.49999999363422,-1.)); #40407=CARTESIAN_POINT('Origin',(93.4578929759019,-1.50000000795034,26.3017619773533)); #40408=CARTESIAN_POINT('',(93.2918929759019,-1.50000000795034,-1.)); #40409=CARTESIAN_POINT('Origin',(93.4578929759019,-1.50000000795034,-1.)); #40410=CARTESIAN_POINT('Origin',(96.2078929759019,-1.50000000636587,26.3017619773533)); #40411=CARTESIAN_POINT('',(96.0418929759019,-1.50000000636587,-1.)); #40412=CARTESIAN_POINT('Origin',(96.2078929759019,-1.50000000636587,-1.)); #40413=CARTESIAN_POINT('Origin',(94.8328929759019,-1.50000000636583,26.3017619773533)); #40414=CARTESIAN_POINT('',(94.6668929759019,-1.50000000636583,-1.)); #40415=CARTESIAN_POINT('Origin',(94.8328929759019,-1.50000000636583,-1.)); #40416=CARTESIAN_POINT('Origin',(93.4578929759005,1.4999999920497,26.3017619773533)); #40417=CARTESIAN_POINT('',(93.2918929759005,1.4999999920497,-1.)); #40418=CARTESIAN_POINT('Origin',(93.4578929759005,1.4999999920497,-1.)); #40419=CARTESIAN_POINT('Origin',(96.2078929759006,1.49999999363418,26.3017619773533)); #40420=CARTESIAN_POINT('',(96.0418929759006,1.49999999363418,-1.)); #40421=CARTESIAN_POINT('Origin',(96.2078929759006,1.49999999363418,-1.)); #40422=CARTESIAN_POINT('Origin',(0.,0.,-1.)); #40423=CARTESIAN_POINT('',(97.3915401696243,-10.2362633508747,-1.)); #40424=CARTESIAN_POINT('',(97.3915401696242,-10.2362633508748,-1.)); #40425=CARTESIAN_POINT('Origin',(0.,0.,-1.)); #40426=CARTESIAN_POINT('Origin',(89.8907074994672,-3.65596791719126E-14, -1.)); #40427=CARTESIAN_POINT('',(80.3754410273311,-8.44779926331911,-1.)); #40428=CARTESIAN_POINT('',(98.8833230126767,-10.3930560457762,-1.)); #40429=CARTESIAN_POINT('',(80.4799694905988,-7.45327736795049,-1.)); #40430=CARTESIAN_POINT('',(80.3754410273311,-8.44779926331911,-1.)); #40431=CARTESIAN_POINT('',(79.9763466634906,-7.40034447584534,-1.)); #40432=CARTESIAN_POINT('',(80.4799694905988,-7.45327736795049,-1.)); #40433=CARTESIAN_POINT('',(79.9763466634906,7.40034447584495,-1.)); #40434=CARTESIAN_POINT('Origin',(0.,0.,-1.)); #40435=CARTESIAN_POINT('',(80.4799694905988,7.45327736795049,-1.)); #40436=CARTESIAN_POINT('',(79.9763466634906,7.40034447584495,-1.)); #40437=CARTESIAN_POINT('',(80.4799694905988,7.45327736795049,-1.)); #40438=CARTESIAN_POINT('',(81.2560842507575,8.0549019004587,-1.)); #40439=CARTESIAN_POINT('Origin',(81.4220842507575,8.0549019004587,-1.)); #40440=CARTESIAN_POINT('',(87.2233090288047,8.68118334538603,-1.)); #40441=CARTESIAN_POINT('Origin',(87.3893090288047,8.68118334538603,-1.)); #40442=CARTESIAN_POINT('',(90.2069214178282,-8.99432406784969,-1.)); #40443=CARTESIAN_POINT('Origin',(90.3729214178282,-8.99432406784969,-1.)); #40444=CARTESIAN_POINT('',(84.2396966397811,-8.36804262292236,-1.)); #40445=CARTESIAN_POINT('Origin',(84.4056966397811,-8.36804262292236,-1.)); #40446=CARTESIAN_POINT('',(92.1531185460319,2.8,-1.)); #40447=CARTESIAN_POINT('Origin',(92.3191185460319,2.8,-1.)); #40448=CARTESIAN_POINT('',(92.1531185460317,8.4,-1.)); #40449=CARTESIAN_POINT('Origin',(92.3191185460317,8.4,-1.)); #40450=CARTESIAN_POINT('',(92.1531185460318,-5.6,-1.)); #40451=CARTESIAN_POINT('Origin',(92.3191185460318,-5.6,-1.)); #40452=CARTESIAN_POINT('',(92.1531185460319,-1.3987061727561E-15,-1.)); #40453=CARTESIAN_POINT('Origin',(92.3191185460319,-1.3987061727561E-15, -1.)); #40454=CARTESIAN_POINT('',(92.1531185460319,-2.8,-1.)); #40455=CARTESIAN_POINT('Origin',(92.3191185460319,-2.8,-1.)); #40456=CARTESIAN_POINT('',(92.1531185460317,-8.4,-1.)); #40457=CARTESIAN_POINT('Origin',(92.3191185460317,-8.4,-1.)); #40458=CARTESIAN_POINT('',(92.1531185460318,5.6,-1.)); #40459=CARTESIAN_POINT('Origin',(92.3191185460318,5.6,-1.)); #40460=CARTESIAN_POINT('',(81.2560842507575,-8.0549019004587,-1.)); #40461=CARTESIAN_POINT('Origin',(81.4220842507575,-8.0549019004587,-1.)); #40462=CARTESIAN_POINT('',(87.2233090288047,-8.68118334538603,-1.)); #40463=CARTESIAN_POINT('Origin',(87.3893090288047,-8.68118334538603,-1.)); #40464=CARTESIAN_POINT('',(90.2069214178282,8.99432406784969,-1.)); #40465=CARTESIAN_POINT('Origin',(90.3729214178282,8.99432406784969,-1.)); #40466=CARTESIAN_POINT('',(84.2396966397811,8.36804262292236,-1.)); #40467=CARTESIAN_POINT('Origin',(84.4056966397811,8.36804262292236,-1.)); #40468=CARTESIAN_POINT('Origin',(80.3752705398731,-8.44778134436522,0.)); #40469=CARTESIAN_POINT('',(80.3754410273311,-8.44779926331911,0.)); #40470=CARTESIAN_POINT('Origin',(84.4056966397811,8.36804262292236,27.5382072563489)); #40471=CARTESIAN_POINT('Origin',(90.3729214178282,8.99432406784969,27.5382072563489)); #40472=CARTESIAN_POINT('Origin',(87.3893090288047,-8.68118334538603,27.5382072563489)); #40473=CARTESIAN_POINT('Origin',(81.4220842507575,-8.0549019004587,27.5382072563489)); #40474=CARTESIAN_POINT('Origin',(92.3191185460318,5.6,27.5382072563489)); #40475=CARTESIAN_POINT('Origin',(92.3191185460317,-8.4,27.5382072563489)); #40476=CARTESIAN_POINT('Origin',(92.3191185460319,-2.8,27.5382072563489)); #40477=CARTESIAN_POINT('Origin',(92.3191185460319,-1.3987061727561E-15, 27.5382072563489)); #40478=CARTESIAN_POINT('Origin',(92.3191185460318,-5.6,27.5382072563489)); #40479=CARTESIAN_POINT('Origin',(92.3191185460317,8.4,27.5382072563489)); #40480=CARTESIAN_POINT('Origin',(92.3191185460319,2.8,27.5382072563489)); #40481=CARTESIAN_POINT('Origin',(84.4056966397811,-8.36804262292236,27.5382072563489)); #40482=CARTESIAN_POINT('Origin',(90.3729214178282,-8.99432406784969,27.5382072563489)); #40483=CARTESIAN_POINT('Origin',(87.3893090288047,8.68118334538603,27.5382072563489)); #40484=CARTESIAN_POINT('Origin',(81.4220842507575,8.0549019004587,27.5382072563489)); #40485=CARTESIAN_POINT('Origin',(0.,0.,0.)); #40486=CARTESIAN_POINT('',(79.9763466634906,7.40034447584495,0.)); #40487=CARTESIAN_POINT('',(79.9763466634906,-7.40034447584534,0.)); #40488=CARTESIAN_POINT('Origin',(80.4799694905988,7.45327736795049,0.)); #40489=CARTESIAN_POINT('',(80.4799694905988,7.45327736795049,0.)); #40490=CARTESIAN_POINT('Origin',(79.4854475952305,7.34874890468284,0.)); #40491=CARTESIAN_POINT('Origin',(80.4799694905988,-7.45327736795049,0.)); #40492=CARTESIAN_POINT('',(80.4799694905988,-7.45327736795049,0.)); #40493=CARTESIAN_POINT('Origin',(80.2709125640634,-9.44232115868733,0.)); #40494=CARTESIAN_POINT('',(0.,0.,0.)); #40495=CARTESIAN_POINT('Origin',(-14.021375175278,-44.6416475354807,-0.5)); #40496=CARTESIAN_POINT('',(-14.0614826215981,-44.6416475354807,-0.6335)); #40497=CARTESIAN_POINT('Origin',(-14.0614826215981,-44.6416475354807,-0.5)); #40498=CARTESIAN_POINT('Origin',(-14.4779826215981,-44.6416475354807,-0.5)); #40499=CARTESIAN_POINT('',(-14.8944826215981,-44.6416475354807,-0.6335)); #40500=CARTESIAN_POINT('Origin',(-14.8944826215981,-44.6416475354807,-0.5)); #40501=CARTESIAN_POINT('Origin',(-13.6561650734739,-46.9882436690161,-0.442591285193723)); #40502=CARTESIAN_POINT('',(-13.6805051004752,-47.007831207853,-0.578439541193593)); #40503=CARTESIAN_POINT('Origin',(-13.6873436411478,-47.0133344959557,-0.445228437371396)); #40504=CARTESIAN_POINT('Origin',(-14.0111207605522,-47.2738928306088,-0.472614221877214)); #40505=CARTESIAN_POINT('',(-14.3280593392839,-47.5289478771594,-0.633211110205229)); #40506=CARTESIAN_POINT('Origin',(-14.3348978799564,-47.5344511652619,-0.500000006383026)); #40507=CARTESIAN_POINT('Origin',(-10.2079567765122,-51.2730843359213,-0.442591324391162)); #40508=CARTESIAN_POINT('',(-10.2322968035135,-51.2926718747583,-0.578439580391031)); #40509=CARTESIAN_POINT('Origin',(-10.2391353441862,-51.2981751628609,-0.445228476568835)); #40510=CARTESIAN_POINT('Origin',(-10.5629124635905,-51.558733497514,-0.472614261074653)); #40511=CARTESIAN_POINT('',(-10.8798510423222,-51.8137885440646,-0.633211149402668)); #40512=CARTESIAN_POINT('Origin',(-10.8866895829948,-51.8192918321671,-0.500000045580464)); #40513=CARTESIAN_POINT('Origin',(-6.75974847955059,-55.5579250028265,-0.442591363588601)); #40514=CARTESIAN_POINT('',(-6.78408850655185,-55.5775125416635,-0.57843961958847)); #40515=CARTESIAN_POINT('Origin',(-6.79092704722449,-55.5830158297661,-0.445228515766273)); #40516=CARTESIAN_POINT('Origin',(-7.11470416662884,-55.8435741644193,-0.472614300272092)); #40517=CARTESIAN_POINT('',(-7.43164274536053,-56.0986292109698,-0.633211188600107)); #40518=CARTESIAN_POINT('Origin',(-7.4384812860331,-56.1041324990723,-0.500000084777903)); #40519=CARTESIAN_POINT('Origin',(-5.03564433106975,-57.7003453362791,-0.44259138318732)); #40520=CARTESIAN_POINT('',(-5.05998435807102,-57.7199328751161,-0.578439639187189)); #40521=CARTESIAN_POINT('Origin',(-5.06682289874366,-57.7254361632187,-0.445228535364993)); #40522=CARTESIAN_POINT('Origin',(-5.390600018148,-57.9859944978719,-0.472614319870811)); #40523=CARTESIAN_POINT('',(-5.7075385968797,-58.2410495444224,-0.633211208198826)); #40524=CARTESIAN_POINT('Origin',(-5.71437713755226,-58.246552832525,-0.500000104376623)); #40525=CARTESIAN_POINT('Origin',(-8.48385262803142,-53.4155046693739,-0.442591343989881)); #40526=CARTESIAN_POINT('',(-8.50819265503268,-53.4350922082109,-0.578439599989751)); #40527=CARTESIAN_POINT('Origin',(-8.51503119570532,-53.4405954963135,-0.445228496167554)); #40528=CARTESIAN_POINT('Origin',(-8.83880831510967,-53.7011538309667,-0.472614280673373)); #40529=CARTESIAN_POINT('',(-9.15574689384136,-53.9562088775172,-0.633211169001387)); #40530=CARTESIAN_POINT('Origin',(-9.16258543451393,-53.9617121656197,-0.500000065179184)); #40531=CARTESIAN_POINT('Origin',(-11.9320609249931,-49.1306640024687,-0.442591304792443)); #40532=CARTESIAN_POINT('',(-11.9564009519943,-49.1502515413056,-0.578439560792312)); #40533=CARTESIAN_POINT('Origin',(-11.963239492667,-49.1557548294083,-0.445228456970115)); #40534=CARTESIAN_POINT('Origin',(-12.2870166120713,-49.4163131640614,-0.472614241475934)); #40535=CARTESIAN_POINT('',(-12.603955190803,-49.671368210612,-0.633211129803949)); #40536=CARTESIAN_POINT('Origin',(-12.6107937314756,-49.6768714987145,-0.500000025981745)); #40537=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.1598863950408,-0.408735531156106)); #40538=CARTESIAN_POINT('',(-3.32397474758395,-58.1997741285734,-0.412927900885535)); #40539=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.1997741285734,-0.412927900885535)); #40540=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.6139924979943,-0.456464005836512)); #40541=CARTESIAN_POINT('',(-3.32397474758395,-59.0282108674152,-0.500000110787489)); #40542=CARTESIAN_POINT('Origin',(-3.45747474758395,-59.0282108438592,-0.50000010831166)); #40543=CARTESIAN_POINT('Origin',(2.54252525241605,-58.1598863950409,-0.408735531156028)); #40544=CARTESIAN_POINT('',(2.67602525241605,-58.1997741285735,-0.412927900885457)); #40545=CARTESIAN_POINT('Origin',(2.54252525241605,-58.1997741285735,-0.412927900885457)); #40546=CARTESIAN_POINT('Origin',(2.54252525241605,-58.6139924979944,-0.456464005836433)); #40547=CARTESIAN_POINT('',(2.67602525241605,-59.0282108674153,-0.50000011078741)); #40548=CARTESIAN_POINT('Origin',(2.54252525241605,-59.0282108428583,-0.500000108206367)); #40549=CARTESIAN_POINT('Origin',(8.54252525241605,-58.1598863950409,-0.40873553115595)); #40550=CARTESIAN_POINT('',(8.67602525241605,-58.1997741285735,-0.412927900885378)); #40551=CARTESIAN_POINT('Origin',(8.54252525241605,-58.1997741285735,-0.412927900885378)); #40552=CARTESIAN_POINT('Origin',(8.54252525241605,-58.6139924979944,-0.456464005836355)); #40553=CARTESIAN_POINT('',(8.67602525241605,-59.0282108674153,-0.500000110787332)); #40554=CARTESIAN_POINT('Origin',(8.54252525241605,-59.0282108418573,-0.500000108101074)); #40555=CARTESIAN_POINT('Origin',(14.5425252524161,-58.1598863950409,-0.408735531155872)); #40556=CARTESIAN_POINT('',(14.676025252416,-58.1997741285736,-0.4129279008853)); #40557=CARTESIAN_POINT('Origin',(14.5425252524161,-58.1997741285736,-0.4129279008853)); #40558=CARTESIAN_POINT('Origin',(14.542525252416,-58.6139924979945,-0.456464005836277)); #40559=CARTESIAN_POINT('',(14.676025252416,-59.0282108674154,-0.500000110787254)); #40560=CARTESIAN_POINT('Origin',(14.542525252416,-59.0282108408563,-0.500000107995781)); #40561=CARTESIAN_POINT('Origin',(20.542525252416,-58.159886395041,-0.408735531155844)); #40562=CARTESIAN_POINT('',(20.676025252416,-58.1997741285736,-0.412927900885272)); #40563=CARTESIAN_POINT('Origin',(20.542525252416,-58.1997741285736,-0.412927900885272)); #40564=CARTESIAN_POINT('Origin',(20.542525252416,-58.6139924979945,-0.456464005836249)); #40565=CARTESIAN_POINT('',(20.676025252416,-59.0282108674154,-0.500000110787226)); #40566=CARTESIAN_POINT('Origin',(20.542525252416,-59.0282108398553,-0.500000107890539)); #40567=CARTESIAN_POINT('Origin',(17.542525252416,-58.159886395041,-0.408735531155832)); #40568=CARTESIAN_POINT('',(17.676025252416,-58.1997741285736,-0.412927900885261)); #40569=CARTESIAN_POINT('Origin',(17.542525252416,-58.1997741285736,-0.412927900885261)); #40570=CARTESIAN_POINT('Origin',(17.542525252416,-58.6139924979945,-0.456464005836238)); #40571=CARTESIAN_POINT('',(17.676025252416,-59.0282108674154,-0.500000110787215)); #40572=CARTESIAN_POINT('Origin',(17.542525252416,-59.0282108403558,-0.500000107943135)); #40573=CARTESIAN_POINT('Origin',(11.5425252524161,-58.1598863950409,-0.408735531155911)); #40574=CARTESIAN_POINT('',(11.6760252524161,-58.1997741285736,-0.412927900885339)); #40575=CARTESIAN_POINT('Origin',(11.5425252524161,-58.1997741285736,-0.412927900885339)); #40576=CARTESIAN_POINT('Origin',(11.542525252416,-58.6139924979944,-0.456464005836316)); #40577=CARTESIAN_POINT('',(11.676025252416,-59.0282108674153,-0.500000110787293)); #40578=CARTESIAN_POINT('Origin',(11.542525252416,-59.0282108413568,-0.500000108048428)); #40579=CARTESIAN_POINT('Origin',(5.54252525241605,-58.1598863950409,-0.408735531155989)); #40580=CARTESIAN_POINT('',(5.67602525241605,-58.1997741285735,-0.412927900885418)); #40581=CARTESIAN_POINT('Origin',(5.54252525241605,-58.1997741285735,-0.412927900885418)); #40582=CARTESIAN_POINT('Origin',(5.54252525241605,-58.6139924979944,-0.456464005836394)); #40583=CARTESIAN_POINT('',(5.67602525241605,-59.0282108674153,-0.500000110787371)); #40584=CARTESIAN_POINT('Origin',(5.54252525241605,-59.0282108423578,-0.500000108153721)); #40585=CARTESIAN_POINT('Origin',(-0.457474747583948,-58.1598863950408,-0.408735531156067)); #40586=CARTESIAN_POINT('',(-0.323974747583948,-58.1997741285735,-0.412927900885496)); #40587=CARTESIAN_POINT('Origin',(-0.457474747583948,-58.1997741285735,-0.412927900885496)); #40588=CARTESIAN_POINT('Origin',(-0.457474747583951,-58.6139924979943,-0.456464005836473)); #40589=CARTESIAN_POINT('',(-0.323974747583954,-59.0282108674152,-0.500000110787449)); #40590=CARTESIAN_POINT('Origin',(-0.457474747583954,-59.0282108433588,-0.500000108259014)); #40591=CARTESIAN_POINT('Origin',(20.669417806096,-57.8307629966923,-0.500000061615787)); #40592=CARTESIAN_POINT('',(20.709525252416,-57.8307629966923,-0.366500061615787)); #40593=CARTESIAN_POINT('Origin',(20.709525252416,-57.8307629966923,-0.500000061615787)); #40594=CARTESIAN_POINT('Origin',(21.126025252416,-57.8307629966923,-0.500000061615787)); #40595=CARTESIAN_POINT('',(21.542525252416,-57.8307629966923,-0.366500061615787)); #40596=CARTESIAN_POINT('Origin',(21.542525252416,-57.8307629966923,-0.500000061615787)); #40597=CARTESIAN_POINT('Origin',(20.669417806096,-55.8307629966923,-0.500000061615787)); #40598=CARTESIAN_POINT('',(20.7095252524161,-55.8307629966923,-0.366500061615787)); #40599=CARTESIAN_POINT('Origin',(20.7095252524161,-55.8307629966923,-0.500000061615787)); #40600=CARTESIAN_POINT('Origin',(21.1260252524161,-55.8307629966923,-0.500000061615787)); #40601=CARTESIAN_POINT('',(21.5425252524161,-55.8307629966923,-0.366500061615787)); #40602=CARTESIAN_POINT('Origin',(21.5425252524161,-55.8307629966923,-0.500000061615787)); #40603=CARTESIAN_POINT('Origin',(20.669417806096,-55.8307629966923,0.500000061615787)); #40604=CARTESIAN_POINT('',(20.7095252524161,-55.8307629966923,0.366500061615787)); #40605=CARTESIAN_POINT('Origin',(20.7095252524161,-55.8307629966923,0.500000061615787)); #40606=CARTESIAN_POINT('Origin',(21.1260252524161,-55.8307629966923,0.500000061615787)); #40607=CARTESIAN_POINT('',(21.5425252524161,-55.8307629966923,0.366500061615787)); #40608=CARTESIAN_POINT('Origin',(21.5425252524161,-55.8307629966923,0.500000061615787)); #40609=CARTESIAN_POINT('Origin',(20.669417806096,-57.8307629966923,0.500000061615787)); #40610=CARTESIAN_POINT('',(20.709525252416,-57.8307629966923,0.366500061615787)); #40611=CARTESIAN_POINT('Origin',(20.709525252416,-57.8307629966923,0.500000061615787)); #40612=CARTESIAN_POINT('Origin',(21.126025252416,-57.8307629966923,0.500000061615787)); #40613=CARTESIAN_POINT('',(21.542525252416,-57.8307629966923,0.366500061615787)); #40614=CARTESIAN_POINT('Origin',(21.542525252416,-57.8307629966923,0.500000061615787)); #40615=CARTESIAN_POINT('Origin',(-14.021375175278,-44.6416475354807,0.5)); #40616=CARTESIAN_POINT('',(-14.0614826215981,-44.6416475354807,0.6335)); #40617=CARTESIAN_POINT('Origin',(-14.0614826215981,-44.6416475354807,0.5)); #40618=CARTESIAN_POINT('Origin',(-14.4779826215981,-44.6416475354807,0.5)); #40619=CARTESIAN_POINT('',(-14.8944826215981,-44.6416475354807,0.6335)); #40620=CARTESIAN_POINT('Origin',(-14.8944826215981,-44.6416475354807,0.5)); #40621=CARTESIAN_POINT('Origin',(-0.457474747583948,-58.1598863950408,0.408735531156067)); #40622=CARTESIAN_POINT('',(-0.323974747583948,-58.1997741285735,0.412927900885496)); #40623=CARTESIAN_POINT('Origin',(-0.457474747583948,-58.1997741285735,0.412927900885496)); #40624=CARTESIAN_POINT('Origin',(-0.457474747583951,-58.6139924979943,0.456464005836473)); #40625=CARTESIAN_POINT('',(-0.323974747583954,-59.0282108674152,0.500000110787449)); #40626=CARTESIAN_POINT('Origin',(-0.457474747583954,-59.0282108674152,0.50000011078745)); #40627=CARTESIAN_POINT('Origin',(5.54252525241605,-58.1598863950409,0.408735531155989)); #40628=CARTESIAN_POINT('',(5.67602525241605,-58.1997741285735,0.412927900885418)); #40629=CARTESIAN_POINT('Origin',(5.54252525241605,-58.1997741285735,0.412927900885418)); #40630=CARTESIAN_POINT('Origin',(5.54252525241605,-58.6139924979944,0.456464005836394)); #40631=CARTESIAN_POINT('',(5.67602525241605,-59.0282108674153,0.500000110787371)); #40632=CARTESIAN_POINT('Origin',(5.54252525241605,-59.0282108674153,0.500000110787371)); #40633=CARTESIAN_POINT('Origin',(11.5425252524161,-58.1598863950409,0.408735531155911)); #40634=CARTESIAN_POINT('',(11.6760252524161,-58.1997741285736,0.412927900885339)); #40635=CARTESIAN_POINT('Origin',(11.5425252524161,-58.1997741285736,0.412927900885339)); #40636=CARTESIAN_POINT('Origin',(11.542525252416,-58.6139924979944,0.456464005836316)); #40637=CARTESIAN_POINT('',(11.676025252416,-59.0282108674153,0.500000110787293)); #40638=CARTESIAN_POINT('Origin',(11.542525252416,-59.0282108674153,0.500000110787293)); #40639=CARTESIAN_POINT('Origin',(17.542525252416,-58.159886395041,0.408735531155832)); #40640=CARTESIAN_POINT('',(17.676025252416,-58.1997741285736,0.412927900885261)); #40641=CARTESIAN_POINT('Origin',(17.542525252416,-58.1997741285736,0.412927900885261)); #40642=CARTESIAN_POINT('Origin',(17.542525252416,-58.6139924979945,0.456464005836238)); #40643=CARTESIAN_POINT('',(17.676025252416,-59.0282108674154,0.500000110787215)); #40644=CARTESIAN_POINT('Origin',(17.542525252416,-59.0282108674154,0.500000110787215)); #40645=CARTESIAN_POINT('Origin',(20.542525252416,-58.159886395041,0.408735531155844)); #40646=CARTESIAN_POINT('',(20.676025252416,-58.1997741285736,0.412927900885272)); #40647=CARTESIAN_POINT('Origin',(20.542525252416,-58.1997741285736,0.412927900885272)); #40648=CARTESIAN_POINT('Origin',(20.542525252416,-58.6139924979945,0.456464005836249)); #40649=CARTESIAN_POINT('',(20.676025252416,-59.0282108674154,0.500000110787226)); #40650=CARTESIAN_POINT('Origin',(20.542525252416,-59.0282108674154,0.500000110787226)); #40651=CARTESIAN_POINT('Origin',(14.5425252524161,-58.1598863950409,0.408735531155872)); #40652=CARTESIAN_POINT('',(14.676025252416,-58.1997741285736,0.4129279008853)); #40653=CARTESIAN_POINT('Origin',(14.5425252524161,-58.1997741285736,0.4129279008853)); #40654=CARTESIAN_POINT('Origin',(14.542525252416,-58.6139924979945,0.456464005836277)); #40655=CARTESIAN_POINT('',(14.676025252416,-59.0282108674154,0.500000110787254)); #40656=CARTESIAN_POINT('Origin',(14.542525252416,-59.0282108674153,0.500000110787253)); #40657=CARTESIAN_POINT('Origin',(8.54252525241605,-58.1598863950409,0.40873553115595)); #40658=CARTESIAN_POINT('',(8.67602525241605,-58.1997741285735,0.412927900885378)); #40659=CARTESIAN_POINT('Origin',(8.54252525241605,-58.1997741285735,0.412927900885378)); #40660=CARTESIAN_POINT('Origin',(8.54252525241605,-58.6139924979944,0.456464005836355)); #40661=CARTESIAN_POINT('',(8.67602525241605,-59.0282108674153,0.500000110787332)); #40662=CARTESIAN_POINT('Origin',(8.54252525241605,-59.0282108674153,0.500000110787332)); #40663=CARTESIAN_POINT('Origin',(2.54252525241605,-58.1598863950409,0.408735531156028)); #40664=CARTESIAN_POINT('',(2.67602525241605,-58.1997741285735,0.412927900885457)); #40665=CARTESIAN_POINT('Origin',(2.54252525241605,-58.1997741285735,0.412927900885457)); #40666=CARTESIAN_POINT('Origin',(2.54252525241605,-58.6139924979944,0.456464005836433)); #40667=CARTESIAN_POINT('',(2.67602525241605,-59.0282108674153,0.50000011078741)); #40668=CARTESIAN_POINT('Origin',(2.54252525241605,-59.0282108674152,0.50000011078741)); #40669=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.1598863950408,0.408735531156106)); #40670=CARTESIAN_POINT('',(-3.32397474758395,-58.1997741285734,0.412927900885535)); #40671=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.1997741285734,0.412927900885535)); #40672=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.6139924979943,0.456464005836512)); #40673=CARTESIAN_POINT('',(-3.32397474758395,-59.0282108674152,0.500000110787489)); #40674=CARTESIAN_POINT('Origin',(-3.45747474758395,-59.0282108674152,0.500000110787489)); #40675=CARTESIAN_POINT('Origin',(-11.9320609249931,-49.1306640024687,0.442591304792443)); #40676=CARTESIAN_POINT('',(-11.9564009519943,-49.1502515413056,0.578439560792312)); #40677=CARTESIAN_POINT('Origin',(-11.963239492667,-49.1557548294083,0.445228456970115)); #40678=CARTESIAN_POINT('Origin',(-12.2870166120713,-49.4163131640614,0.472614241475934)); #40679=CARTESIAN_POINT('',(-12.603955190803,-49.671368210612,0.633211129803949)); #40680=CARTESIAN_POINT('Origin',(-12.6107937314757,-49.6768714987146,0.500000025981752)); #40681=CARTESIAN_POINT('Origin',(-8.48385262803142,-53.4155046693739,0.442591343989881)); #40682=CARTESIAN_POINT('',(-8.50819265503268,-53.4350922082109,0.578439599989751)); #40683=CARTESIAN_POINT('Origin',(-8.51503119570532,-53.4405954963135,0.445228496167554)); #40684=CARTESIAN_POINT('Origin',(-8.83880831510967,-53.7011538309667,0.472614280673373)); #40685=CARTESIAN_POINT('',(-9.15574689384136,-53.9562088775172,0.633211169001387)); #40686=CARTESIAN_POINT('Origin',(-9.16258543451401,-53.9617121656198,0.500000065179191)); #40687=CARTESIAN_POINT('Origin',(-5.03564433106975,-57.7003453362791,0.44259138318732)); #40688=CARTESIAN_POINT('',(-5.05998435807102,-57.7199328751161,0.578439639187189)); #40689=CARTESIAN_POINT('Origin',(-5.06682289874366,-57.7254361632187,0.445228535364993)); #40690=CARTESIAN_POINT('Origin',(-5.390600018148,-57.9859944978719,0.472614319870811)); #40691=CARTESIAN_POINT('',(-5.7075385968797,-58.2410495444224,0.633211208198826)); #40692=CARTESIAN_POINT('Origin',(-5.71437713755234,-58.246552832525,0.500000104376629)); #40693=CARTESIAN_POINT('Origin',(-6.75974847955059,-55.5579250028265,0.442591363588601)); #40694=CARTESIAN_POINT('',(-6.78408850655185,-55.5775125416635,0.57843961958847)); #40695=CARTESIAN_POINT('Origin',(-6.79092704722449,-55.5830158297661,0.445228515766273)); #40696=CARTESIAN_POINT('Origin',(-7.11470416662884,-55.8435741644193,0.472614300272092)); #40697=CARTESIAN_POINT('',(-7.43164274536053,-56.0986292109698,0.633211188600107)); #40698=CARTESIAN_POINT('Origin',(-7.43848128603318,-56.1041324990724,0.50000008477791)); #40699=CARTESIAN_POINT('Origin',(-10.2079567765122,-51.2730843359213,0.442591324391162)); #40700=CARTESIAN_POINT('',(-10.2322968035135,-51.2926718747583,0.578439580391031)); #40701=CARTESIAN_POINT('Origin',(-10.2391353441862,-51.2981751628609,0.445228476568835)); #40702=CARTESIAN_POINT('Origin',(-10.5629124635905,-51.558733497514,0.472614261074653)); #40703=CARTESIAN_POINT('',(-10.8798510423222,-51.8137885440646,0.633211149402668)); #40704=CARTESIAN_POINT('Origin',(-10.8866895829948,-51.8192918321672,0.500000045580471)); #40705=CARTESIAN_POINT('Origin',(-13.6561650734739,-46.9882436690161,0.442591285193723)); #40706=CARTESIAN_POINT('',(-13.6805051004752,-47.007831207853,0.578439541193593)); #40707=CARTESIAN_POINT('Origin',(-13.6873436411478,-47.0133344959557,0.445228437371396)); #40708=CARTESIAN_POINT('Origin',(-14.0111207605522,-47.2738928306088,0.472614221877214)); #40709=CARTESIAN_POINT('',(-14.3280593392839,-47.5289478771594,0.633211110205229)); #40710=CARTESIAN_POINT('Origin',(-14.3348978799565,-47.534451165262,0.500000006383033)); #40711=CARTESIAN_POINT('Origin',(-31.8052295853301,-25.5951305924918,-2.69015662744893)); #40712=CARTESIAN_POINT('',(-5.085340977151,-59.0807629966921,2.24022717816377E-7)); #40713=CARTESIAN_POINT('',(-14.8944826215981,-46.8916475354807,4.39298144676036E-11)); #40714=CARTESIAN_POINT('',(-23.4189071997483,-36.2989576560067,4.55486916775309E-11)); #40715=CARTESIAN_POINT('',(-14.8944826215981,-46.7865433002195,-1.)); #40716=CARTESIAN_POINT('',(-14.8944826215981,-46.3722968403727,-4.94129179285367)); #40717=CARTESIAN_POINT('',(-5.08534099609581,-58.9756587378893,-1.)); #40718=CARTESIAN_POINT('',(-24.1417123797579,-35.2956753625171,-1.)); #40719=CARTESIAN_POINT('',(-5.08534099605999,-58.4352347625276,-6.14179066181529)); #40720=CARTESIAN_POINT('Origin',(-14.8944826215981,1.28190830299342,0.)); #40721=CARTESIAN_POINT('',(-14.8944826215981,-46.7865433002196,1.)); #40722=CARTESIAN_POINT('',(-14.8944826215981,-46.3722968403729,4.94129179285377)); #40723=CARTESIAN_POINT('',(-14.8944826215981,-43.8916475354807,1.)); #40724=CARTESIAN_POINT('',(-14.8944826215981,1.28190830299342,1.)); #40725=CARTESIAN_POINT('',(-14.8944826215981,-43.8916475354807,-1.)); #40726=CARTESIAN_POINT('',(-14.8944826215981,-43.8916475354807,0.5)); #40727=CARTESIAN_POINT('',(-14.8944826215981,1.28190830299342,-1.)); #40728=CARTESIAN_POINT('Origin',(-31.8052295853302,-25.5951305924918,2.69015662744898)); #40729=CARTESIAN_POINT('',(-5.08534097715444,-58.9756587614266,1.)); #40730=CARTESIAN_POINT('',(-5.08534097715443,-58.4352347857147,6.14179066472269)); #40731=CARTESIAN_POINT('',(-24.141712379758,-35.2956753625172,1.)); #40732=CARTESIAN_POINT('Origin',(1.19913770671985,-30.7704575924595,1.)); #40733=CARTESIAN_POINT('',(21.542525252416,-58.9756587614268,1.)); #40734=CARTESIAN_POINT('',(0.59956885335962,-58.9756587614266,1.)); #40735=CARTESIAN_POINT('',(21.542525252416,-55.0807629966923,1.)); #40736=CARTESIAN_POINT('',(21.542525252416,-56.0807629966923,1.)); #40737=CARTESIAN_POINT('',(17.3876325838994,-55.0807629966923,1.)); #40738=CARTESIAN_POINT('',(21.542525252416,-55.0807629966923,1.)); #40739=CARTESIAN_POINT('',(17.2163863313156,-55.0505232770226,1.)); #40740=CARTESIAN_POINT('Origin',(17.3876325838994,-54.5807629966923,1.)); #40741=CARTESIAN_POINT('',(-13.3944826215981,-43.8916475354807,1.)); #40742=CARTESIAN_POINT('',(20.0425252524161,-56.0807629966923,1.)); #40743=CARTESIAN_POINT('',(1.6523275425609,-43.8916475354807,1.)); #40744=CARTESIAN_POINT('Origin',(-4.11533043492993E-13,-58.4352347857148, 6.14179066472268)); #40745=CARTESIAN_POINT('',(21.542525252416,-59.0807629966924,2.66759347670868E-7)); #40746=CARTESIAN_POINT('',(-2.54267048857581,-59.0807629966921,2.28103695512124E-7)); #40747=CARTESIAN_POINT('',(21.542525252416,-58.7579988912037,3.07089533236134)); #40748=CARTESIAN_POINT('Origin',(21.542525252416,-59.0807629966924,0.)); #40749=CARTESIAN_POINT('',(21.542525252416,-58.9756587333978,-1.)); #40750=CARTESIAN_POINT('',(21.542525252416,-58.757998863525,-3.07089532919933)); #40751=CARTESIAN_POINT('',(21.542525252416,-55.0807629966923,-1.)); #40752=CARTESIAN_POINT('',(21.542525252416,-59.0807629966924,-1.)); #40753=CARTESIAN_POINT('',(21.542525252416,-55.0807629966923,1.)); #40754=CARTESIAN_POINT('Origin',(9.85670755870407E-9,-58.4352347616828, -6.14179066169109)); #40755=CARTESIAN_POINT('',(0.599568860712651,-58.9756587369304,-1.)); #40756=CARTESIAN_POINT('Origin',(17.3876325838994,-54.5807629966923,1.)); #40757=CARTESIAN_POINT('',(17.3876325838994,-55.0807629966923,-1.)); #40758=CARTESIAN_POINT('',(17.3876325838994,-55.0807629966923,1.)); #40759=CARTESIAN_POINT('',(17.2163863313156,-55.0505232770226,-1.)); #40760=CARTESIAN_POINT('Origin',(17.3876325838994,-54.5807629966923,-1.)); #40761=CARTESIAN_POINT('',(17.2163863313156,-55.0505232770226,1.)); #40762=CARTESIAN_POINT('Origin',(17.2993394994861,-55.0807629966923,1.)); #40763=CARTESIAN_POINT('',(18.3601359377186,-55.0807629966923,-1.)); #40764=CARTESIAN_POINT('Origin',(-13.3944826215981,-43.8916475354807,1.)); #40765=CARTESIAN_POINT('',(-13.3944826215981,-43.8916475354807,-1.)); #40766=CARTESIAN_POINT('',(-4.91456362166571,-46.9829143193195,-1.)); #40767=CARTESIAN_POINT('',(-13.3944826215981,-43.8916475354807,0.5)); #40768=CARTESIAN_POINT('Origin',(2.10551737840195,-43.8916475354807,1.)); #40769=CARTESIAN_POINT('',(1.6523275425609,-43.8916475354807,-1.)); #40770=CARTESIAN_POINT('Origin',(1.19913770671985,-30.7704575924595,-1.)); #40771=CARTESIAN_POINT('',(0.,0.,0.)); #40772=CARTESIAN_POINT('Origin',(-3.13450367320561,-40.7432192769241,1.)); #40773=CARTESIAN_POINT('',(-2.68339985127586,-40.5275683046555,0.75)); #40774=CARTESIAN_POINT('',(-2.73809391037079,-41.0479475163921,0.75)); #40775=CARTESIAN_POINT('Origin',(-3.13450367320561,-40.7432192769241,0.75)); #40776=CARTESIAN_POINT('',(-2.73809391037079,-41.0479475163921,1.)); #40777=CARTESIAN_POINT('',(-2.73809391037056,-41.0479475163918,1.)); #40778=CARTESIAN_POINT('',(-2.68339985127586,-40.5275683046555,1.)); #40779=CARTESIAN_POINT('Origin',(-3.13450367320561,-40.7432192769241,1.)); #40780=CARTESIAN_POINT('',(-2.68339985127354,-40.5275683046604,1.)); #40781=CARTESIAN_POINT('Origin',(-4.58137445780076,-31.0338986302412,0.75)); #40782=CARTESIAN_POINT('',(-2.68339985127592,-21.540228955826,0.75)); #40783=CARTESIAN_POINT('',(-2.68339985127354,-26.1555318457849,0.75)); #40784=CARTESIAN_POINT('',(-2.73809391037086,-21.0198497440894,0.75)); #40785=CARTESIAN_POINT('Origin',(-3.13450367320567,-21.3245779835574,0.75)); #40786=CARTESIAN_POINT('',(-6.74047779761581,-2.19011399846608,0.75)); #40787=CARTESIAN_POINT('',(-3.77421885994856,-16.1452651091245,0.75)); #40788=CARTESIAN_POINT('',(-7.71589890311278,-2.50704752776321,0.75)); #40789=CARTESIAN_POINT('',(-13.3836461263366,-4.34861023385802,0.75)); #40790=CARTESIAN_POINT('',(-3.70339985127374,-21.3843713812983,0.75)); #40791=CARTESIAN_POINT('',(-2.75453516315176,-25.8484287628576,0.75)); #40792=CARTESIAN_POINT('',(-3.70339985127354,-40.6834258791846,0.75)); #40793=CARTESIAN_POINT('',(-3.70339985127359,-35.858662254713,0.75)); #40794=CARTESIAN_POINT('',(-7.71589890311203,-59.5607497327186,0.75)); #40795=CARTESIAN_POINT('',(-4.76078468907089,-45.6580304243922,0.75)); #40796=CARTESIAN_POINT('',(-6.74047779761529,-59.8776832620169,0.75)); #40797=CARTESIAN_POINT('',(-7.38740876417957,-59.667482648876,0.75)); #40798=CARTESIAN_POINT('',(-1.74567988677759,-36.3790066199271,0.75)); #40799=CARTESIAN_POINT('',(-3.29833589794961,-20.0561143383769,0.75)); #40800=CARTESIAN_POINT('Origin',(-3.46433589794961,-20.0561143383769,0.75)); #40801=CARTESIAN_POINT('',(-4.46264136652897,-14.5784877742676,0.75)); #40802=CARTESIAN_POINT('Origin',(-4.62864136652897,-14.5784877742676,0.75)); #40803=CARTESIAN_POINT('',(-5.62694683510833,-9.10086121015826,0.75)); #40804=CARTESIAN_POINT('Origin',(-5.79294683510833,-9.10086121015826,0.75)); #40805=CARTESIAN_POINT('',(-6.79125230368769,-3.62323464604894,0.75)); #40806=CARTESIAN_POINT('Origin',(-6.95725230368769,-3.62323464604894,0.75)); #40807=CARTESIAN_POINT('',(-3.88048863223921,-44.7504962041592,0.75)); #40808=CARTESIAN_POINT('Origin',(-4.04648863223921,-44.7504962041592,0.75)); #40809=CARTESIAN_POINT('',(-6.79125230368752,-58.4445626144326,0.75)); #40810=CARTESIAN_POINT('Origin',(-6.95725230368752,-58.4445626144326,0.75)); #40811=CARTESIAN_POINT('',(-5.6269468351082,-52.9669360503233,0.75)); #40812=CARTESIAN_POINT('Origin',(-5.7929468351082,-52.9669360503233,0.75)); #40813=CARTESIAN_POINT('',(-3.0273998512735,-28.2338986302402,0.75)); #40814=CARTESIAN_POINT('Origin',(-3.1933998512735,-28.2338986302402,0.75)); #40815=CARTESIAN_POINT('',(-3.02739985127347,-22.6338986302402,0.75)); #40816=CARTESIAN_POINT('Origin',(-3.19339985127347,-22.6338986302402,0.75)); #40817=CARTESIAN_POINT('',(-3.02739985127345,-36.6338986302414,0.75)); #40818=CARTESIAN_POINT('Origin',(-3.19339985127345,-36.6338986302414,0.75)); #40819=CARTESIAN_POINT('',(-3.02739985127352,-31.0338986302414,0.75)); #40820=CARTESIAN_POINT('Origin',(-3.19339985127352,-31.0338986302414,0.75)); #40821=CARTESIAN_POINT('',(-3.02739985127348,-33.8338986302414,0.75)); #40822=CARTESIAN_POINT('Origin',(-3.19339985127348,-33.8338986302414,0.75)); #40823=CARTESIAN_POINT('',(-3.02739985127342,-39.4338986302414,0.75)); #40824=CARTESIAN_POINT('Origin',(-3.19339985127342,-39.4338986302414,0.75)); #40825=CARTESIAN_POINT('',(-3.02739985127348,-25.4338986302402,0.75)); #40826=CARTESIAN_POINT('Origin',(-3.19339985127348,-25.4338986302402,0.75)); #40827=CARTESIAN_POINT('',(-5.04479410081853,-50.2281227682686,0.75)); #40828=CARTESIAN_POINT('Origin',(-5.21079410081853,-50.2281227682686,0.75)); #40829=CARTESIAN_POINT('',(-6.20909956939786,-55.7057493323779,0.75)); #40830=CARTESIAN_POINT('Origin',(-6.37509956939786,-55.7057493323779,0.75)); #40831=CARTESIAN_POINT('',(-4.46264136652887,-47.4893094862139,0.75)); #40832=CARTESIAN_POINT('Origin',(-4.62864136652887,-47.4893094862139,0.75)); #40833=CARTESIAN_POINT('',(-3.29833589794954,-42.0116829221046,0.75)); #40834=CARTESIAN_POINT('Origin',(-3.46433589794954,-42.0116829221046,0.75)); #40835=CARTESIAN_POINT('',(-6.20909956939801,-6.3620479281036,0.75)); #40836=CARTESIAN_POINT('Origin',(-6.37509956939801,-6.3620479281036,0.75)); #40837=CARTESIAN_POINT('',(-5.04479410081865,-11.8396744922129,0.75)); #40838=CARTESIAN_POINT('Origin',(-5.21079410081865,-11.8396744922129,0.75)); #40839=CARTESIAN_POINT('',(-3.88048863223929,-17.3173010563223,0.75)); #40840=CARTESIAN_POINT('Origin',(-4.04648863223929,-17.3173010563223,0.75)); #40841=CARTESIAN_POINT('Origin',(-2.68339985127354,-21.2771650613283,1.)); #40842=CARTESIAN_POINT('',(-2.68339985127592,-21.540228955826,1.)); #40843=CARTESIAN_POINT('',(-2.68339985127354,-26.1555318457845,1.)); #40844=CARTESIAN_POINT('',(-2.68339985127354,-21.540228955821,1.)); #40845=CARTESIAN_POINT('Origin',(-3.13450367320567,-21.3245779835574,1.)); #40846=CARTESIAN_POINT('',(-2.73809391037086,-21.0198497440894,1.)); #40847=CARTESIAN_POINT('Origin',(-3.13450367320567,-21.3245779835574,1.)); #40848=CARTESIAN_POINT('',(-2.73809391037086,-21.0198497440894,1.)); #40849=CARTESIAN_POINT('Origin',(-6.74047779761581,-2.19011399846608,1.)); #40850=CARTESIAN_POINT('',(-6.74047779761581,-2.19011399846608,1.)); #40851=CARTESIAN_POINT('',(-3.82113690772133,-15.9245330488948,1.)); #40852=CARTESIAN_POINT('',(-6.74047779761583,-2.19011399846598,0.5)); #40853=CARTESIAN_POINT('Origin',(-7.37041086610295,-31.0338986302408,1.)); #40854=CARTESIAN_POINT('',(-6.74047779761529,-59.8776832620169,1.)); #40855=CARTESIAN_POINT('',(-1.7925979345502,-36.5997386801561,1.)); #40856=CARTESIAN_POINT('',(-2.37764129073785,-61.2952547745441,1.)); #40857=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,1.)); #40858=CARTESIAN_POINT('',(-2.37764129073785,-0.77254248593735,1.)); #40859=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,1.)); #40860=CARTESIAN_POINT('',(-0.951056516295154,-0.309016994374935,1.)); #40861=CARTESIAN_POINT('',(-2.89782285910927,-9.84981077477657,0.999999999999997)); #40862=CARTESIAN_POINT('Origin',(-3.06382285910927,-9.84981077477657,0.999999999999997)); #40863=CARTESIAN_POINT('',(-3.6190407373342,-13.070132153402,0.999999999999997)); #40864=CARTESIAN_POINT('Origin',(-3.7850407373342,-13.070132153402,0.999999999999997)); #40865=CARTESIAN_POINT('',(-0.929134835645639,-12.4983750021033,0.999999999999997)); #40866=CARTESIAN_POINT('Origin',(-1.09513483564564,-12.4983750021033,0.999999999999997)); #40867=CARTESIAN_POINT('',(-2.27408781456994,-49.2835438148283,0.999999999999997)); #40868=CARTESIAN_POINT('Origin',(-2.44008781456994,-49.2835438148283,0.999999999999997)); #40869=CARTESIAN_POINT('',(-4.24277583836031,-51.9321080437055,0.999999999999997)); #40870=CARTESIAN_POINT('Origin',(-4.40877583836031,-51.9321080437055,0.999999999999997)); #40871=CARTESIAN_POINT('',(-1.55286993601288,-52.5038651919045,0.999999999999997)); #40872=CARTESIAN_POINT('Origin',(-1.71886993601288,-52.5038651919045,0.999999999999997)); #40873=CARTESIAN_POINT('',(-2.89782288702186,-52.2179866170301,0.999999999999997)); #40874=CARTESIAN_POINT('Origin',(-3.06382288702186,-52.2179866170301,0.999999999999997)); #40875=CARTESIAN_POINT('',(-0.929134863560949,-49.5694223897028,0.999999999999997)); #40876=CARTESIAN_POINT('Origin',(-1.09513486356095,-49.5694223897028,0.999999999999997)); #40877=CARTESIAN_POINT('',(-3.61904076590838,-48.9976652415038,0.999999999999997)); #40878=CARTESIAN_POINT('Origin',(-3.78504076590838,-48.9976652415038,0.999999999999997)); #40879=CARTESIAN_POINT('',(-2.27408778665464,-12.7842535769777,0.999999999999997)); #40880=CARTESIAN_POINT('Origin',(-2.44008778665464,-12.7842535769777,0.999999999999997)); #40881=CARTESIAN_POINT('',(-1.55286990810027,-9.56393219990219,0.999999999999997)); #40882=CARTESIAN_POINT('Origin',(-1.71886990810027,-9.56393219990219,0.999999999999997)); #40883=CARTESIAN_POINT('',(-4.24277580978883,-10.1356893512009,0.999999999999997)); #40884=CARTESIAN_POINT('Origin',(-4.40877580978883,-10.1356893512009,0.999999999999997)); #40885=CARTESIAN_POINT('Origin',(-3.70339985127374,-21.3843713812983,1.)); #40886=CARTESIAN_POINT('',(-7.71589890311278,-2.50704752776321,1.)); #40887=CARTESIAN_POINT('',(-7.71589890311278,-2.50704752776322,0.5)); #40888=CARTESIAN_POINT('',(-3.70339985127374,-21.3843713812983,1.)); #40889=CARTESIAN_POINT('',(-2.80145321092453,-25.6276967026279,1.)); #40890=CARTESIAN_POINT('',(-3.70339985127374,-21.3843713812983,1.)); #40891=CARTESIAN_POINT('Origin',(-3.70339985127354,-40.6834258791846,1.)); #40892=CARTESIAN_POINT('',(-3.70339985127354,-40.6834258791846,1.)); #40893=CARTESIAN_POINT('',(-3.70339985127359,-35.8586622547127,1.)); #40894=CARTESIAN_POINT('',(-3.70339985127354,-40.6834258791846,1.)); #40895=CARTESIAN_POINT('Origin',(-7.71589890311203,-59.5607497327186,1.)); #40896=CARTESIAN_POINT('',(-7.71589890311203,-59.5607497327186,1.)); #40897=CARTESIAN_POINT('',(-4.8077027368435,-45.8787624846212,1.)); #40898=CARTESIAN_POINT('',(-7.71589890311202,-59.5607497327185,0.5)); #40899=CARTESIAN_POINT('Origin',(-2.68339985127354,-40.7906321991543,1.)); #40900=CARTESIAN_POINT('',(-6.74047779761506,-59.8776832620158,0.5)); #40901=CARTESIAN_POINT('Origin',(-7.37041086610295,-31.0338986302408,1.)); #40902=CARTESIAN_POINT('',(-18.6498703383796,-6.05971020512937,1.)); #40903=CARTESIAN_POINT('',(-0.951056516295154,-0.309016994374935,1.)); #40904=CARTESIAN_POINT('',(-18.3408533440046,-7.01076672142453,1.)); #40905=CARTESIAN_POINT('',(-18.6498703383796,-6.05971020512937,1.)); #40906=CARTESIAN_POINT('',(-18.8223023879418,-7.1671989985319,1.)); #40907=CARTESIAN_POINT('',(-18.3408533440046,-7.01076672142453,1.)); #40908=CARTESIAN_POINT('',(-18.8223023879417,-54.9005982619494,1.)); #40909=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,1.)); #40910=CARTESIAN_POINT('',(-18.3408533440045,-55.0570305390571,1.)); #40911=CARTESIAN_POINT('',(-18.8223023879417,-54.9005982619494,1.)); #40912=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,1.)); #40913=CARTESIAN_POINT('',(-18.3408533440045,-55.0570305390571,1.)); #40914=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,1.)); #40915=CARTESIAN_POINT('',(-8.81879667824043,-58.6227287409545,0.999999999999997)); #40916=CARTESIAN_POINT('Origin',(-8.98479667824043,-58.6227287409545,0.999999999999997)); #40917=CARTESIAN_POINT('',(-14.5251357760114,-56.7686267747049,0.999999999999997)); #40918=CARTESIAN_POINT('Origin',(-14.6911357760114,-56.7686267747049,0.999999999999997)); #40919=CARTESIAN_POINT('',(-8.8187966782406,-3.44506851952699,0.999999999999997)); #40920=CARTESIAN_POINT('Origin',(-8.9847966782406,-3.44506851952699,0.999999999999997)); #40921=CARTESIAN_POINT('',(-14.5251357760115,-5.29917048577667,0.999999999999997)); #40922=CARTESIAN_POINT('Origin',(-14.6911357760115,-5.29917048577667,0.999999999999997)); #40923=CARTESIAN_POINT('',(-17.378305324897,-6.2262214689015,0.999999999999997)); #40924=CARTESIAN_POINT('Origin',(-17.544305324897,-6.2262214689015,0.999999999999997)); #40925=CARTESIAN_POINT('',(-11.6719662271261,-4.37211950265183,0.999999999999997)); #40926=CARTESIAN_POINT('Origin',(-11.8379662271261,-4.37211950265183,0.999999999999997)); #40927=CARTESIAN_POINT('',(-17.3783053248968,-55.8415757915801,0.999999999999997)); #40928=CARTESIAN_POINT('Origin',(-17.5443053248968,-55.8415757915801,0.999999999999997)); #40929=CARTESIAN_POINT('',(-11.6719662271259,-57.6956777578297,0.999999999999997)); #40930=CARTESIAN_POINT('Origin',(-11.8379662271259,-57.6956777578297,0.999999999999997)); #40931=CARTESIAN_POINT('Origin',(-12.9435312406086,-4.2056082388797,0.)); #40932=CARTESIAN_POINT('',(-2.37764129073785,-0.77254248593735,-1.)); #40933=CARTESIAN_POINT('',(-2.37764129073784,-0.772542485937344,-1.)); #40934=CARTESIAN_POINT('',(-18.6498703383796,-6.05971020512937,-1.)); #40935=CARTESIAN_POINT('',(-20.0685214950827,-6.52065790802582,-1.)); #40936=CARTESIAN_POINT('',(-18.6498703383796,-6.05971020512937,0.)); #40937=CARTESIAN_POINT('Origin',(-3.06382285910927,-9.84981077477657,63.1719088977276)); #40938=CARTESIAN_POINT('',(-3.22982285910927,-9.84981077477657,-1.)); #40939=CARTESIAN_POINT('Origin',(-3.06382285910927,-9.84981077477657,-0.999999999999997)); #40940=CARTESIAN_POINT('Origin',(-3.7850407373342,-13.070132153402,63.1719088977276)); #40941=CARTESIAN_POINT('',(-3.9510407373342,-13.070132153402,-1.)); #40942=CARTESIAN_POINT('Origin',(-3.7850407373342,-13.070132153402,-0.999999999999997)); #40943=CARTESIAN_POINT('Origin',(-1.09513483564564,-12.4983750021033,63.1719088977276)); #40944=CARTESIAN_POINT('',(-1.26113483564564,-12.4983750021033,-1.)); #40945=CARTESIAN_POINT('Origin',(-1.09513483564564,-12.4983750021033,-0.999999999999997)); #40946=CARTESIAN_POINT('Origin',(-2.44008781456994,-49.2835438148283,63.1719088977276)); #40947=CARTESIAN_POINT('',(-2.60608781456994,-49.2835438148283,-1.)); #40948=CARTESIAN_POINT('Origin',(-2.44008781456994,-49.2835438148283,-0.999999999999997)); #40949=CARTESIAN_POINT('Origin',(-4.40877583836031,-51.9321080437055,63.1719088977276)); #40950=CARTESIAN_POINT('',(-4.57477583836031,-51.9321080437055,-1.)); #40951=CARTESIAN_POINT('Origin',(-4.40877583836031,-51.9321080437055,-0.999999999999997)); #40952=CARTESIAN_POINT('Origin',(-1.71886993601288,-52.5038651919045,63.1719088977276)); #40953=CARTESIAN_POINT('',(-1.88486993601288,-52.5038651919045,-1.)); #40954=CARTESIAN_POINT('Origin',(-1.71886993601288,-52.5038651919045,-0.999999999999997)); #40955=CARTESIAN_POINT('Origin',(-3.06382288702186,-52.2179866170301,63.1719088977276)); #40956=CARTESIAN_POINT('',(-3.22982288702186,-52.2179866170301,-1.)); #40957=CARTESIAN_POINT('Origin',(-3.06382288702186,-52.2179866170301,-0.999999999999997)); #40958=CARTESIAN_POINT('Origin',(-1.09513486356095,-49.5694223897028,63.1719088977276)); #40959=CARTESIAN_POINT('',(-1.26113486356095,-49.5694223897028,-1.)); #40960=CARTESIAN_POINT('Origin',(-1.09513486356095,-49.5694223897028,-0.999999999999997)); #40961=CARTESIAN_POINT('Origin',(-3.78504076590838,-48.9976652415038,63.1719088977276)); #40962=CARTESIAN_POINT('',(-3.95104076590838,-48.9976652415038,-1.)); #40963=CARTESIAN_POINT('Origin',(-3.78504076590838,-48.9976652415038,-0.999999999999997)); #40964=CARTESIAN_POINT('Origin',(-2.44008778665464,-12.7842535769777,63.1719088977276)); #40965=CARTESIAN_POINT('',(-2.60608778665464,-12.7842535769777,-1.)); #40966=CARTESIAN_POINT('Origin',(-2.44008778665464,-12.7842535769777,-0.999999999999997)); #40967=CARTESIAN_POINT('Origin',(-1.71886990810027,-9.56393219990219,63.1719088977276)); #40968=CARTESIAN_POINT('',(-1.88486990810027,-9.56393219990219,-1.)); #40969=CARTESIAN_POINT('Origin',(-1.71886990810027,-9.56393219990219,-0.999999999999997)); #40970=CARTESIAN_POINT('Origin',(-4.40877580978883,-10.1356893512009,63.1719088977276)); #40971=CARTESIAN_POINT('',(-4.57477580978883,-10.1356893512009,-1.)); #40972=CARTESIAN_POINT('Origin',(-4.40877580978883,-10.1356893512009,-0.999999999999997)); #40973=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,-1.)); #40974=CARTESIAN_POINT('',(-2.37764129073785,-61.2952547745441,-1.)); #40975=CARTESIAN_POINT('',(-2.37764129073784,-61.2952547745441,-1.)); #40976=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,-1.)); #40977=CARTESIAN_POINT('Origin',(-4.26474298737444,-31.0338986302407,-1.)); #40978=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,-1.)); #40979=CARTESIAN_POINT('',(-0.951056516295131,-61.7587802661065,-1.)); #40980=CARTESIAN_POINT('',(-18.3408533440045,-55.0570305390571,-1.)); #40981=CARTESIAN_POINT('',(-14.2931767128675,-42.5995628075976,-1.)); #40982=CARTESIAN_POINT('',(-18.8223023879417,-54.9005982619494,-1.)); #40983=CARTESIAN_POINT('',(-18.1858983047176,-55.1073784833519,-1.)); #40984=CARTESIAN_POINT('',(-18.8223023879418,-7.1671989985319,-1.)); #40985=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,-1.)); #40986=CARTESIAN_POINT('',(-18.3408533440046,-7.01076672142453,-1.)); #40987=CARTESIAN_POINT('',(-15.504983294014,-6.08933668622559,-1.)); #40988=CARTESIAN_POINT('',(-14.2931767128676,-19.468234452884,-1.)); #40989=CARTESIAN_POINT('',(-9.15079667824043,-58.6227287409545,-1.)); #40990=CARTESIAN_POINT('Origin',(-8.98479667824043,-58.6227287409545,-0.999999999999997)); #40991=CARTESIAN_POINT('',(-14.8571357760114,-56.7686267747049,-1.)); #40992=CARTESIAN_POINT('Origin',(-14.6911357760114,-56.7686267747049,-0.999999999999997)); #40993=CARTESIAN_POINT('',(-9.1507966782406,-3.44506851952699,-1.)); #40994=CARTESIAN_POINT('Origin',(-8.9847966782406,-3.44506851952699,-0.999999999999997)); #40995=CARTESIAN_POINT('',(-14.8571357760115,-5.29917048577667,-1.)); #40996=CARTESIAN_POINT('Origin',(-14.6911357760115,-5.29917048577667,-0.999999999999997)); #40997=CARTESIAN_POINT('',(-3.63033589794961,-20.0561143383769,-1.)); #40998=CARTESIAN_POINT('Origin',(-3.46433589794961,-20.0561143383769,-0.999999999999997)); #40999=CARTESIAN_POINT('',(-4.79464136652897,-14.5784877742676,-1.)); #41000=CARTESIAN_POINT('Origin',(-4.62864136652897,-14.5784877742676,-0.999999999999997)); #41001=CARTESIAN_POINT('',(-5.95894683510833,-9.10086121015826,-1.)); #41002=CARTESIAN_POINT('Origin',(-5.79294683510833,-9.10086121015826,-0.999999999999997)); #41003=CARTESIAN_POINT('',(-7.12325230368769,-3.62323464604894,-1.)); #41004=CARTESIAN_POINT('Origin',(-6.95725230368769,-3.62323464604894,-0.999999999999997)); #41005=CARTESIAN_POINT('',(-4.21248863223921,-44.7504962041592,-1.)); #41006=CARTESIAN_POINT('Origin',(-4.04648863223921,-44.7504962041592,-0.999999999999997)); #41007=CARTESIAN_POINT('',(-7.12325230368752,-58.4445626144326,-1.)); #41008=CARTESIAN_POINT('Origin',(-6.95725230368752,-58.4445626144326,-0.999999999999997)); #41009=CARTESIAN_POINT('',(-5.9589468351082,-52.9669360503233,-1.)); #41010=CARTESIAN_POINT('Origin',(-5.7929468351082,-52.9669360503233,-0.999999999999997)); #41011=CARTESIAN_POINT('',(-3.3593998512735,-28.2338986302402,-1.)); #41012=CARTESIAN_POINT('Origin',(-3.1933998512735,-28.2338986302402,-0.999999999999997)); #41013=CARTESIAN_POINT('',(-3.35939985127347,-22.6338986302402,-1.)); #41014=CARTESIAN_POINT('Origin',(-3.19339985127347,-22.6338986302402,-0.999999999999997)); #41015=CARTESIAN_POINT('',(-3.35939985127345,-36.6338986302414,-1.)); #41016=CARTESIAN_POINT('Origin',(-3.19339985127345,-36.6338986302414,-0.999999999999997)); #41017=CARTESIAN_POINT('',(-3.35939985127352,-31.0338986302414,-1.)); #41018=CARTESIAN_POINT('Origin',(-3.19339985127352,-31.0338986302414,-0.999999999999997)); #41019=CARTESIAN_POINT('',(-3.35939985127348,-33.8338986302414,-1.)); #41020=CARTESIAN_POINT('Origin',(-3.19339985127348,-33.8338986302414,-0.999999999999997)); #41021=CARTESIAN_POINT('',(-3.35939985127342,-39.4338986302414,-1.)); #41022=CARTESIAN_POINT('Origin',(-3.19339985127342,-39.4338986302414,-0.999999999999997)); #41023=CARTESIAN_POINT('',(-3.35939985127348,-25.4338986302402,-1.)); #41024=CARTESIAN_POINT('Origin',(-3.19339985127348,-25.4338986302402,-0.999999999999997)); #41025=CARTESIAN_POINT('',(-5.37679410081853,-50.2281227682686,-1.)); #41026=CARTESIAN_POINT('Origin',(-5.21079410081853,-50.2281227682686,-0.999999999999997)); #41027=CARTESIAN_POINT('',(-6.54109956939786,-55.7057493323779,-1.)); #41028=CARTESIAN_POINT('Origin',(-6.37509956939786,-55.7057493323779,-0.999999999999997)); #41029=CARTESIAN_POINT('',(-4.79464136652887,-47.4893094862139,-1.)); #41030=CARTESIAN_POINT('Origin',(-4.62864136652887,-47.4893094862139,-0.999999999999997)); #41031=CARTESIAN_POINT('',(-3.63033589794954,-42.0116829221046,-1.)); #41032=CARTESIAN_POINT('Origin',(-3.46433589794954,-42.0116829221046,-0.999999999999997)); #41033=CARTESIAN_POINT('',(-6.54109956939801,-6.3620479281036,-1.)); #41034=CARTESIAN_POINT('Origin',(-6.37509956939801,-6.3620479281036,-0.999999999999997)); #41035=CARTESIAN_POINT('',(-5.37679410081865,-11.8396744922129,-1.)); #41036=CARTESIAN_POINT('Origin',(-5.21079410081865,-11.8396744922129,-0.999999999999997)); #41037=CARTESIAN_POINT('',(-4.21248863223929,-17.3173010563223,-1.)); #41038=CARTESIAN_POINT('Origin',(-4.04648863223929,-17.3173010563223,-0.999999999999997)); #41039=CARTESIAN_POINT('',(-17.710305324897,-6.2262214689015,-1.)); #41040=CARTESIAN_POINT('Origin',(-17.544305324897,-6.2262214689015,-0.999999999999997)); #41041=CARTESIAN_POINT('',(-12.0039662271261,-4.37211950265183,-1.)); #41042=CARTESIAN_POINT('Origin',(-11.8379662271261,-4.37211950265183,-0.999999999999997)); #41043=CARTESIAN_POINT('',(-17.7103053248968,-55.8415757915801,-1.)); #41044=CARTESIAN_POINT('Origin',(-17.5443053248968,-55.8415757915801,-0.999999999999997)); #41045=CARTESIAN_POINT('',(-12.0039662271259,-57.6956777578297,-1.)); #41046=CARTESIAN_POINT('Origin',(-11.8379662271259,-57.6956777578297,-0.999999999999997)); #41047=CARTESIAN_POINT('Origin',(-11.8379662271259,-57.6956777578297,64.5520507850165)); #41048=CARTESIAN_POINT('Origin',(-17.5443053248968,-55.8415757915801,64.5520507850165)); #41049=CARTESIAN_POINT('Origin',(-11.8379662271261,-4.37211950265183,64.5520507850165)); #41050=CARTESIAN_POINT('Origin',(-17.544305324897,-6.2262214689015,64.5520507850165)); #41051=CARTESIAN_POINT('Origin',(-4.04648863223929,-17.3173010563223,64.5520507850165)); #41052=CARTESIAN_POINT('Origin',(-5.21079410081865,-11.8396744922129,64.5520507850165)); #41053=CARTESIAN_POINT('Origin',(-6.37509956939801,-6.3620479281036,64.5520507850165)); #41054=CARTESIAN_POINT('Origin',(-3.46433589794954,-42.0116829221046,64.5520507850165)); #41055=CARTESIAN_POINT('Origin',(-4.62864136652887,-47.4893094862139,64.5520507850165)); #41056=CARTESIAN_POINT('Origin',(-6.37509956939786,-55.7057493323779,64.5520507850165)); #41057=CARTESIAN_POINT('Origin',(-5.21079410081853,-50.2281227682686,64.5520507850165)); #41058=CARTESIAN_POINT('Origin',(-3.19339985127348,-25.4338986302402,64.5520507850165)); #41059=CARTESIAN_POINT('Origin',(-3.19339985127342,-39.4338986302414,64.5520507850165)); #41060=CARTESIAN_POINT('Origin',(-3.19339985127348,-33.8338986302414,64.5520507850165)); #41061=CARTESIAN_POINT('Origin',(-3.19339985127352,-31.0338986302414,64.5520507850165)); #41062=CARTESIAN_POINT('Origin',(-3.19339985127345,-36.6338986302414,64.5520507850165)); #41063=CARTESIAN_POINT('Origin',(-3.19339985127347,-22.6338986302402,64.5520507850165)); #41064=CARTESIAN_POINT('Origin',(-3.1933998512735,-28.2338986302402,64.5520507850165)); #41065=CARTESIAN_POINT('Origin',(-5.7929468351082,-52.9669360503233,64.5520507850165)); #41066=CARTESIAN_POINT('Origin',(-6.95725230368752,-58.4445626144326,64.5520507850165)); #41067=CARTESIAN_POINT('Origin',(-4.04648863223921,-44.7504962041592,64.5520507850165)); #41068=CARTESIAN_POINT('Origin',(-6.95725230368769,-3.62323464604894,64.5520507850165)); #41069=CARTESIAN_POINT('Origin',(-5.79294683510833,-9.10086121015826,64.5520507850165)); #41070=CARTESIAN_POINT('Origin',(-4.62864136652897,-14.5784877742676,64.5520507850165)); #41071=CARTESIAN_POINT('Origin',(-3.46433589794961,-20.0561143383769,64.5520507850165)); #41072=CARTESIAN_POINT('Origin',(-14.6911357760115,-5.29917048577667,64.5520507850165)); #41073=CARTESIAN_POINT('Origin',(-8.9847966782406,-3.44506851952699,64.5520507850165)); #41074=CARTESIAN_POINT('Origin',(-14.6911357760114,-56.7686267747049,64.5520507850165)); #41075=CARTESIAN_POINT('Origin',(-8.98479667824043,-58.6227287409545,64.5520507850165)); #41076=CARTESIAN_POINT('Origin',(-0.951056516295131,-61.7587802661065,0.)); #41077=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,0.)); #41078=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,0.)); #41079=CARTESIAN_POINT('',(-18.8223023879417,-54.9005982619494,0.)); #41080=CARTESIAN_POINT('',(-18.8223023879418,-7.1671989985319,0.)); #41081=CARTESIAN_POINT('Origin',(-18.3408533440045,-55.0570305390571,0.)); #41082=CARTESIAN_POINT('',(-18.3408533440045,-55.0570305390571,0.)); #41083=CARTESIAN_POINT('Origin',(-18.6498703383794,-56.0080870553522,0.)); #41084=CARTESIAN_POINT('Origin',(-18.3408533440046,-7.01076672142453,0.)); #41085=CARTESIAN_POINT('',(-18.3408533440046,-7.01076672142453,0.)); #41086=CARTESIAN_POINT('Origin',(-18.6498703383796,-6.05971020512937,0.)); #41087=CARTESIAN_POINT('',(0.,0.,0.)); #41088=CARTESIAN_POINT('Origin',(19.4061615692411,-4.78578392461539,25.1500614376052)); #41089=CARTESIAN_POINT('',(20.4061615692411,-4.78578392461539,0.)); #41090=CARTESIAN_POINT('Origin',(19.4061615692411,-4.78578392461539,0.)); #41091=CARTESIAN_POINT('',(18.4061615692411,-4.78578392461539,-1.)); #41092=CARTESIAN_POINT('Origin',(19.4061615692411,-4.78578392461539,-1.)); #41093=CARTESIAN_POINT('Origin',(9.90616156924114,-4.78578392461539,25.1500614376052)); #41094=CARTESIAN_POINT('',(10.9061615692411,-4.78578392461539,0.)); #41095=CARTESIAN_POINT('Origin',(9.90616156924114,-4.78578392461539,0.)); #41096=CARTESIAN_POINT('',(8.90616156924114,-4.78578392461539,-1.)); #41097=CARTESIAN_POINT('Origin',(9.90616156924114,-4.78578392461539,-1.)); #41098=CARTESIAN_POINT('Origin',(14.6561615692411,-4.78578392461539,25.1500614376052)); #41099=CARTESIAN_POINT('',(15.6561615692411,-4.78578392461539,0.)); #41100=CARTESIAN_POINT('Origin',(14.6561615692411,-4.78578392461539,0.)); #41101=CARTESIAN_POINT('',(13.6561615692411,-4.78578392461539,-1.)); #41102=CARTESIAN_POINT('Origin',(14.6561615692411,-4.78578392461539,-1.)); #41103=CARTESIAN_POINT('Origin',(24.1561615692411,-4.78578392461539,25.1500614376052)); #41104=CARTESIAN_POINT('',(25.1561615692411,-4.78578392461539,0.)); #41105=CARTESIAN_POINT('Origin',(24.1561615692411,-4.78578392461539,0.)); #41106=CARTESIAN_POINT('',(23.1561615692411,-4.78578392461539,-1.)); #41107=CARTESIAN_POINT('Origin',(24.1561615692411,-4.78578392461539,-1.)); #41108=CARTESIAN_POINT('Origin',(27.4308478810402,-4.78578392461544,-0.5)); #41109=CARTESIAN_POINT('',(27.4709553273603,-4.78578392461544,-0.6335)); #41110=CARTESIAN_POINT('Origin',(27.4709553273603,-4.78578392461544,-0.5)); #41111=CARTESIAN_POINT('Origin',(27.8874553273603,-4.78578392461542,-0.5)); #41112=CARTESIAN_POINT('',(28.3039553273603,-4.78578392461539,-0.6335)); #41113=CARTESIAN_POINT('Origin',(28.3039553273603,-4.78578392461539,-0.5)); #41114=CARTESIAN_POINT('Origin',(27.4308478810403,-6.16078392461544,-0.5)); #41115=CARTESIAN_POINT('',(27.4709553273604,-6.16078392461544,-0.6335)); #41116=CARTESIAN_POINT('Origin',(27.4709553273604,-6.16078392461544,-0.5)); #41117=CARTESIAN_POINT('Origin',(27.8874553273604,-6.16078392461542,-0.5)); #41118=CARTESIAN_POINT('',(28.3039553273604,-6.16078392461539,-0.6335)); #41119=CARTESIAN_POINT('Origin',(28.3039553273604,-6.16078392461539,-0.5)); #41120=CARTESIAN_POINT('Origin',(27.4308478810401,-3.41078392461544,-0.500000001584556)); #41121=CARTESIAN_POINT('',(27.4709553273602,-3.41078392461544,-0.633500001584556)); #41122=CARTESIAN_POINT('Origin',(27.4709553273602,-3.41078392461544,-0.500000001584556)); #41123=CARTESIAN_POINT('Origin',(27.8874553273602,-3.41078392461542,-0.500000001584556)); #41124=CARTESIAN_POINT('',(28.3039553273602,-3.41078392461539,-0.633500001584556)); #41125=CARTESIAN_POINT('Origin',(28.3039553273602,-3.41078392461539,-0.500000001584556)); #41126=CARTESIAN_POINT('Origin',(28.3039553273604,-6.79955462352608,-1.)); #41127=CARTESIAN_POINT('',(5.74382489524162,-6.79955462352746,0.)); #41128=CARTESIAN_POINT('',(28.3039553273604,-6.79955462352608,0.)); #41129=CARTESIAN_POINT('',(22.1767969790902,-6.79955462352646,0.)); #41130=CARTESIAN_POINT('',(5.74382489524162,-6.79955462352746,-1.)); #41131=CARTESIAN_POINT('',(5.74382489524162,-6.79955462352746,-0.5)); #41132=CARTESIAN_POINT('',(28.3039553273604,-6.79955462352608,-1.)); #41133=CARTESIAN_POINT('',(22.1767969790902,-6.79955462352646,-1.)); #41134=CARTESIAN_POINT('',(28.3039553273604,-6.79955462352608,-0.5)); #41135=CARTESIAN_POINT('',(21.5826615692411,-6.79955462352592,-0.500000000168143)); #41136=CARTESIAN_POINT('Origin',(21.7811615692411,-6.79955462352593,-0.500000000168143)); #41137=CARTESIAN_POINT('',(16.8326615692411,-6.79955462352581,-0.500000000168145)); #41138=CARTESIAN_POINT('Origin',(17.0311615692411,-6.79955462352581,-0.500000000168145)); #41139=CARTESIAN_POINT('',(7.33266156924108,-6.79955462352558,-0.500000000168146)); #41140=CARTESIAN_POINT('Origin',(7.53116156924108,-6.79955462352559,-0.500000000168146)); #41141=CARTESIAN_POINT('',(12.0826615692411,-6.7995546235257,-0.500000000168146)); #41142=CARTESIAN_POINT('Origin',(12.2811615692411,-6.79955462352571,-0.500000000168146)); #41143=CARTESIAN_POINT('',(26.3326615692411,-6.79955462352604,-0.500000000168143)); #41144=CARTESIAN_POINT('Origin',(26.5311615692411,-6.79955462352604,-0.500000000168143)); #41145=CARTESIAN_POINT('Origin',(16.0496386308199,-5.11361455678524,-1.)); #41146=CARTESIAN_POINT('',(2.48526784175516,-2.77201322570494,-1.)); #41147=CARTESIAN_POINT('',(5.7409477726981,-6.79599853107291,-1.)); #41148=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,-1.)); #41149=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,-1.)); #41150=CARTESIAN_POINT('',(28.3039553273605,-7.79955462352704,-1.)); #41151=CARTESIAN_POINT('Origin',(21.7811615692417,18.5230743482565,-0.500000000168143)); #41152=CARTESIAN_POINT('',(21.5826615692412,-2.7720132257054,-0.500000000168143)); #41153=CARTESIAN_POINT('Origin',(21.7811615692412,-2.7720132257054,-0.500000000168143)); #41154=CARTESIAN_POINT('Origin',(17.0311615692417,18.5230743482566,-0.500000000168145)); #41155=CARTESIAN_POINT('',(16.8326615692412,-2.77201322570528,-0.500000000168145)); #41156=CARTESIAN_POINT('Origin',(17.0311615692412,-2.77201322570529,-0.500000000168145)); #41157=CARTESIAN_POINT('Origin',(7.53116156924169,18.5230743482569,-0.500000000168146)); #41158=CARTESIAN_POINT('',(7.33266156924118,-2.77201322570505,-0.500000000168146)); #41159=CARTESIAN_POINT('Origin',(7.53116156924118,-2.77201322570506,-0.500000000168146)); #41160=CARTESIAN_POINT('Origin',(12.2811615692417,18.5230743482567,-0.500000000168146)); #41161=CARTESIAN_POINT('',(12.0826615692412,-2.77201322570517,-0.500000000168146)); #41162=CARTESIAN_POINT('Origin',(12.2811615692412,-2.77201322570517,-0.500000000168146)); #41163=CARTESIAN_POINT('Origin',(26.5311615692417,18.5230743482564,-0.500000000168143)); #41164=CARTESIAN_POINT('',(26.3326615692412,-2.77201322570551,-0.500000000168143)); #41165=CARTESIAN_POINT('Origin',(26.5311615692412,-2.77201322570551,-0.500000000168143)); #41166=CARTESIAN_POINT('Origin',(2.48526784175516,-2.77201322570494,0.)); #41167=CARTESIAN_POINT('',(2.48526784175516,-2.77201322570494,0.)); #41168=CARTESIAN_POINT('',(5.7409477726981,-6.79599853107291,0.)); #41169=CARTESIAN_POINT('',(2.48526784175516,-2.77201322570494,0.)); #41170=CARTESIAN_POINT('',(4.15427797671681,-4.83489176415227,-0.500000001576277)); #41171=CARTESIAN_POINT('Origin',(4.05772854178814,-4.71555770317717,-0.500000001576277)); #41172=CARTESIAN_POINT('',(5.09775439702038,-6.00101845098637,-0.50000000158676)); #41173=CARTESIAN_POINT('Origin',(5.00120496209171,-5.88168439001127,-0.50000000158676)); #41174=CARTESIAN_POINT('',(3.21080155641325,-3.66876507731819,-0.500000001565788)); #41175=CARTESIAN_POINT('Origin',(3.11425212148458,-3.5494310163431,-0.500000001565788)); #41176=CARTESIAN_POINT('Origin',(16.0496386308199,-5.11361455678524,0.)); #41177=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,0.)); #41178=CARTESIAN_POINT('',(28.3039553273605,-7.79955462352704,0.)); #41179=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,0.)); #41180=CARTESIAN_POINT('Origin',(4.91669970329896,-4.02430411561638,-0.500000001576277)); #41181=CARTESIAN_POINT('',(4.88077244197747,-4.0532164346703,-0.346500001576277)); #41182=CARTESIAN_POINT('Origin',(4.88077244197747,-4.0532164346703,-0.500000001576277)); #41183=CARTESIAN_POINT('Origin',(4.46670102101005,-4.38643874535496,-0.500000001576277)); #41184=CARTESIAN_POINT('Origin',(5.85711675855432,-5.19289281416869,-0.50000000158676)); #41185=CARTESIAN_POINT('',(5.82118949723282,-5.22180513322261,-0.34650000158676)); #41186=CARTESIAN_POINT('Origin',(5.82118949723282,-5.22180513322261,-0.50000000158676)); #41187=CARTESIAN_POINT('Origin',(5.40711807626541,-5.55502744390727,-0.50000000158676)); #41188=CARTESIAN_POINT('Origin',(3.52628396575472,-3.21785004680267,-0.500000001565788)); #41189=CARTESIAN_POINT('',(3.84972931238743,-2.77201322570493,-0.44835567278614)); #41190=CARTESIAN_POINT('',(3.84972931238743,-2.77201322570493,-0.551644330345436)); #41191=CARTESIAN_POINT('Origin',(4.0802933466159,-2.77201322570492,-0.500000001565788)); #41192=CARTESIAN_POINT('Origin',(3.94035538672213,-2.88462773611802,-0.500000001565788)); #41193=CARTESIAN_POINT('Origin',(3.97628264804363,-2.85571541706409,-0.500000001565788)); #41194=CARTESIAN_POINT('Ctrl Pts',(3.84972931238743,-2.77201322570493,-0.551644330345436)); #41195=CARTESIAN_POINT('Ctrl Pts',(3.87433424292351,-2.77201322570493,-0.500000001565779)); #41196=CARTESIAN_POINT('Ctrl Pts',(3.84972931238743,-2.77201322570493,-0.44835567278614)); #41197=CARTESIAN_POINT('Origin',(28.3039553273602,-2.7720132257047,0.)); #41198=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,0.)); #41199=CARTESIAN_POINT('Origin',(2.48526784175516,-2.77201322570494,0.)); #41200=CARTESIAN_POINT('',(0.,0.,0.)); #41201=CARTESIAN_POINT('Origin',(-22.5227937657957,-2.,0.5)); #41202=CARTESIAN_POINT('',(-22.5227937657957,0.,0.)); #41203=CARTESIAN_POINT('',(-22.5227937657957,-4.,0.)); #41204=CARTESIAN_POINT('',(-22.5227937657957,0.,0.)); #41205=CARTESIAN_POINT('',(-22.5227937657957,-4.,1.)); #41206=CARTESIAN_POINT('',(-22.5227937657957,-4.,0.)); #41207=CARTESIAN_POINT('',(-22.5227937657957,0.,1.)); #41208=CARTESIAN_POINT('',(-22.5227937657957,-4.,1.)); #41209=CARTESIAN_POINT('',(-22.5227937657957,0.,1.)); #41210=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.625)); #41211=CARTESIAN_POINT('',(-15.7102937657957,-0.499999997124622,0.625)); #41212=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.625)); #41213=CARTESIAN_POINT('',(-16.2212937657957,-0.499999997124622,0.625)); #41214=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.625)); #41215=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.8125)); #41216=CARTESIAN_POINT('',(-15.7102937657957,-0.499999997124622,1.)); #41217=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,1.)); #41218=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.5)); #41219=CARTESIAN_POINT('',(-15.8242937657957,-0.499999997124622,0.)); #41220=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.)); #41221=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.625)); #41222=CARTESIAN_POINT('',(-6.21029376579572,-0.499999997124568,0.625)); #41223=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.625)); #41224=CARTESIAN_POINT('',(-6.72129376579572,-0.499999997124568,0.625)); #41225=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.625)); #41226=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.8125)); #41227=CARTESIAN_POINT('',(-6.21029376579572,-0.499999997124568,1.)); #41228=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,1.)); #41229=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.5)); #41230=CARTESIAN_POINT('',(-6.32429376579572,-0.499999997124568,0.)); #41231=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.)); #41232=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.625)); #41233=CARTESIAN_POINT('',(-1.46029376579572,-3.50000000287546,0.625)); #41234=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.625)); #41235=CARTESIAN_POINT('',(-1.97129376579572,-3.50000000287546,0.625)); #41236=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.625)); #41237=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.8125)); #41238=CARTESIAN_POINT('',(-1.46029376579572,-3.50000000287546,1.)); #41239=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,1.)); #41240=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.5)); #41241=CARTESIAN_POINT('',(-1.57429376579572,-3.50000000287546,0.)); #41242=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.)); #41243=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.625)); #41244=CARTESIAN_POINT('',(-10.9602937657957,-3.50000000287541,0.625)); #41245=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.625)); #41246=CARTESIAN_POINT('',(-11.4712937657957,-3.50000000287541,0.625)); #41247=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.625)); #41248=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.8125)); #41249=CARTESIAN_POINT('',(-10.9602937657957,-3.50000000287541,1.)); #41250=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,1.)); #41251=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.5)); #41252=CARTESIAN_POINT('',(-11.0742937657957,-3.50000000287541,0.)); #41253=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.)); #41254=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.625)); #41255=CARTESIAN_POINT('',(-20.4602937657957,-3.50000000287536,0.625)); #41256=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.625)); #41257=CARTESIAN_POINT('',(-20.9712937657957,-3.50000000287536,0.625)); #41258=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.625)); #41259=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.8125)); #41260=CARTESIAN_POINT('',(-20.4602937657957,-3.50000000287536,1.)); #41261=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,1.)); #41262=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.5)); #41263=CARTESIAN_POINT('',(-20.5742937657957,-3.50000000287536,0.)); #41264=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.)); #41265=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.625)); #41266=CARTESIAN_POINT('',(-15.7102937657957,-3.50000000287539,0.625)); #41267=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.625)); #41268=CARTESIAN_POINT('',(-16.2212937657957,-3.50000000287539,0.625)); #41269=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.625)); #41270=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.8125)); #41271=CARTESIAN_POINT('',(-15.7102937657957,-3.50000000287539,1.)); #41272=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,1.)); #41273=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.5)); #41274=CARTESIAN_POINT('',(-15.8242937657957,-3.50000000287539,0.)); #41275=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.)); #41276=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.625)); #41277=CARTESIAN_POINT('',(-6.21029376579572,-3.50000000287543,0.625)); #41278=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.625)); #41279=CARTESIAN_POINT('',(-6.72129376579572,-3.50000000287543,0.625)); #41280=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.625)); #41281=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.8125)); #41282=CARTESIAN_POINT('',(-6.21029376579572,-3.50000000287543,1.)); #41283=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,1.)); #41284=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.5)); #41285=CARTESIAN_POINT('',(-6.32429376579572,-3.50000000287543,0.)); #41286=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.)); #41287=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.625)); #41288=CARTESIAN_POINT('',(-1.46029376579572,-0.499999997124542,0.625)); #41289=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.625)); #41290=CARTESIAN_POINT('',(-1.97129376579572,-0.499999997124542,0.625)); #41291=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.625)); #41292=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.8125)); #41293=CARTESIAN_POINT('',(-1.46029376579572,-0.499999997124542,1.)); #41294=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,1.)); #41295=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.5)); #41296=CARTESIAN_POINT('',(-1.57429376579572,-0.499999997124542,0.)); #41297=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.)); #41298=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.625)); #41299=CARTESIAN_POINT('',(-10.9602937657957,-0.499999997124595,0.625)); #41300=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.625)); #41301=CARTESIAN_POINT('',(-11.4712937657957,-0.499999997124595,0.625)); #41302=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.625)); #41303=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.8125)); #41304=CARTESIAN_POINT('',(-10.9602937657957,-0.499999997124595,1.)); #41305=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,1.)); #41306=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.5)); #41307=CARTESIAN_POINT('',(-11.0742937657957,-0.499999997124595,0.)); #41308=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.)); #41309=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.625)); #41310=CARTESIAN_POINT('',(-20.4602937657957,-0.499999997124648,0.625)); #41311=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.625)); #41312=CARTESIAN_POINT('',(-20.9712937657957,-0.499999997124648,0.625)); #41313=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.625)); #41314=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.8125)); #41315=CARTESIAN_POINT('',(-20.4602937657957,-0.499999997124648,1.)); #41316=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,1.)); #41317=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.5)); #41318=CARTESIAN_POINT('',(-20.5742937657957,-0.499999997124648,0.)); #41319=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.)); #41320=CARTESIAN_POINT('Origin',(0.,-4.,0.)); #41321=CARTESIAN_POINT('',(0.,-4.,0.)); #41322=CARTESIAN_POINT('',(0.,3.5652279837771E-72,0.)); #41323=CARTESIAN_POINT('',(0.,-4.,0.)); #41324=CARTESIAN_POINT('',(0.,3.5652279837771E-72,1.)); #41325=CARTESIAN_POINT('',(0.,3.5652279837771E-72,0.)); #41326=CARTESIAN_POINT('',(0.,-4.,1.)); #41327=CARTESIAN_POINT('',(0.,-4.,1.)); #41328=CARTESIAN_POINT('',(0.,-4.,0.)); #41329=CARTESIAN_POINT('Origin',(-21.7727937657957,-4.,0.)); #41330=CARTESIAN_POINT('',(-21.7727937657957,-4.,0.)); #41331=CARTESIAN_POINT('',(-21.7727937657957,-4.,1.)); #41332=CARTESIAN_POINT('Origin',(0.,3.5652279837771E-72,0.)); #41333=CARTESIAN_POINT('',(0.,3.5652279837771E-72,0.)); #41334=CARTESIAN_POINT('',(0.,3.5652279837771E-72,1.)); #41335=CARTESIAN_POINT('Origin',(-10.8863968828979,-2.,1.)); #41336=CARTESIAN_POINT('Origin',(-10.8863968828979,-2.,0.)); #41337=CARTESIAN_POINT('',(0.,0.,0.)); #41338=CARTESIAN_POINT('Origin',(9.6828707624514,20.9122360166643,-60.8071686042321)); #41339=CARTESIAN_POINT('',(9.5168707624514,20.9122360166643,0.)); #41340=CARTESIAN_POINT('Origin',(9.6828707624514,20.9122360166643,0.)); #41341=CARTESIAN_POINT('',(9.8488707624514,20.9122360166643,0.75)); #41342=CARTESIAN_POINT('Origin',(9.6828707624514,20.9122360166643,0.75)); #41343=CARTESIAN_POINT('Origin',(45.577046036698,-8.70503744143317,-60.8071686042321)); #41344=CARTESIAN_POINT('',(45.411046036698,-8.70503744143317,0.)); #41345=CARTESIAN_POINT('Origin',(45.577046036698,-8.70503744143317,0.)); #41346=CARTESIAN_POINT('',(45.743046036698,-8.70503744143317,0.75)); #41347=CARTESIAN_POINT('Origin',(45.577046036698,-8.70503744143317,0.75)); #41348=CARTESIAN_POINT('Origin',(7.77854975018579,-21.5234327789623,-60.8071686042321)); #41349=CARTESIAN_POINT('',(7.61254975018579,-21.5234327789623,0.)); #41350=CARTESIAN_POINT('Origin',(7.77854975018579,-21.5234327789623,0.)); #41351=CARTESIAN_POINT('',(7.94454975018579,-21.5234327789623,0.75)); #41352=CARTESIAN_POINT('Origin',(7.77854975018579,-21.5234327789623,0.75)); #41353=CARTESIAN_POINT('Origin',(9.68287076245137,-20.9122360166642,-60.8071686042321)); #41354=CARTESIAN_POINT('',(9.51687076245137,-20.9122360166642,0.)); #41355=CARTESIAN_POINT('Origin',(9.68287076245137,-20.9122360166642,0.)); #41356=CARTESIAN_POINT('',(9.84887076245137,-20.9122360166642,0.75)); #41357=CARTESIAN_POINT('Origin',(9.68287076245137,-20.9122360166642,0.75)); #41358=CARTESIAN_POINT('Origin',(45.577046036698,8.70503744143326,-60.8071686042321)); #41359=CARTESIAN_POINT('',(45.411046036698,8.70503744143326,0.)); #41360=CARTESIAN_POINT('Origin',(45.577046036698,8.70503744143326,0.)); #41361=CARTESIAN_POINT('',(45.743046036698,8.70503744143326,0.75)); #41362=CARTESIAN_POINT('Origin',(45.577046036698,8.70503744143326,0.75)); #41363=CARTESIAN_POINT('Origin',(7.77854975018582,21.5234327789624,-60.8071686042321)); #41364=CARTESIAN_POINT('',(7.61254975018582,21.5234327789624,0.)); #41365=CARTESIAN_POINT('Origin',(7.77854975018582,21.5234327789624,0.)); #41366=CARTESIAN_POINT('',(7.94454975018582,21.5234327789624,0.75)); #41367=CARTESIAN_POINT('Origin',(7.77854975018582,21.5234327789624,0.75)); #41368=CARTESIAN_POINT('Origin',(7.70442409334475,-19.0603825502306,-60.8071686042321)); #41369=CARTESIAN_POINT('',(7.53842409334475,-19.0603825502306,0.)); #41370=CARTESIAN_POINT('Origin',(7.70442409334475,-19.0603825502306,0.)); #41371=CARTESIAN_POINT('',(7.87042409334475,-19.0603825502306,0.75)); #41372=CARTESIAN_POINT('Origin',(7.70442409334475,-19.0603825502306,0.75)); #41373=CARTESIAN_POINT('Origin',(6.50220741143679,-16.3579405124354,-60.8071686042321)); #41374=CARTESIAN_POINT('',(6.33620741143679,-16.3579405124354,0.)); #41375=CARTESIAN_POINT('Origin',(6.50220741143679,-16.3579405124354,0.)); #41376=CARTESIAN_POINT('',(6.66820741143679,-16.3579405124354,0.75)); #41377=CARTESIAN_POINT('Origin',(6.50220741143679,-16.3579405124354,0.75)); #41378=CARTESIAN_POINT('Origin',(5.60472260991623,-11.9473967028326,-60.8071686042321)); #41379=CARTESIAN_POINT('',(5.43872260991623,-11.9473967028326,0.)); #41380=CARTESIAN_POINT('Origin',(5.60472260991623,-11.9473967028326,0.)); #41381=CARTESIAN_POINT('',(5.77072260991623,-11.9473967028326,0.75)); #41382=CARTESIAN_POINT('Origin',(5.60472260991623,-11.9473967028326,0.75)); #41383=CARTESIAN_POINT('Origin',(4.59246443819118,-1.09646227540418E-12, -60.8071686042321)); #41384=CARTESIAN_POINT('',(4.42646443819118,-1.09648260454104E-12,0.)); #41385=CARTESIAN_POINT('Origin',(4.59246443819118,-1.09646227540418E-12, 0.)); #41386=CARTESIAN_POINT('',(4.75846443819118,-1.09646227540418E-12,0.75)); #41387=CARTESIAN_POINT('Origin',(4.59246443819118,-1.09646227540418E-12, 0.75)); #41388=CARTESIAN_POINT('Origin',(4.6558720165553,-3.0002295559828,-60.8071686042321)); #41389=CARTESIAN_POINT('',(4.4898720165553,-3.0002295559828,0.)); #41390=CARTESIAN_POINT('Origin',(4.6558720165553,-3.0002295559828,0.)); #41391=CARTESIAN_POINT('',(4.8218720165553,-3.0002295559828,0.75)); #41392=CARTESIAN_POINT('Origin',(4.6558720165553,-3.0002295559828,0.75)); #41393=CARTESIAN_POINT('Origin',(8.9025782749956,19.950017001469,-60.8071686042321)); #41394=CARTESIAN_POINT('',(8.7365782749956,19.950017001469,0.)); #41395=CARTESIAN_POINT('Origin',(8.9025782749956,19.950017001469,0.)); #41396=CARTESIAN_POINT('',(9.0685782749956,19.950017001469,0.75)); #41397=CARTESIAN_POINT('Origin',(8.9025782749956,19.950017001469,0.75)); #41398=CARTESIAN_POINT('Origin',(44.2118257805942,8.81692013831413,-60.8071686042321)); #41399=CARTESIAN_POINT('',(44.0458257805942,8.81692013831413,0.)); #41400=CARTESIAN_POINT('Origin',(44.2118257805942,8.81692013831413,0.)); #41401=CARTESIAN_POINT('',(44.3778257805942,8.81692013831413,0.75)); #41402=CARTESIAN_POINT('Origin',(44.2118257805942,8.81692013831413,0.75)); #41403=CARTESIAN_POINT('Origin',(44.2118257805944,-8.81692013831489,-60.8071686042321)); #41404=CARTESIAN_POINT('',(44.0458257805944,-8.81692013831489,0.)); #41405=CARTESIAN_POINT('Origin',(44.2118257805944,-8.81692013831489,0.)); #41406=CARTESIAN_POINT('',(44.3778257805944,-8.81692013831489,0.75)); #41407=CARTESIAN_POINT('Origin',(44.2118257805944,-8.81692013831489,0.75)); #41408=CARTESIAN_POINT('Origin',(5.16245343584065,8.97926667076599,-60.8071686042321)); #41409=CARTESIAN_POINT('',(4.99645343584065,8.97926667076599,0.)); #41410=CARTESIAN_POINT('Origin',(5.16245343584065,8.97926667076599,0.)); #41411=CARTESIAN_POINT('',(5.32845343584065,8.97926667076599,0.75)); #41412=CARTESIAN_POINT('Origin',(5.16245343584065,8.97926667076599,0.75)); #41413=CARTESIAN_POINT('Origin',(6.5022074114363,16.3579405124333,-60.8071686042321)); #41414=CARTESIAN_POINT('',(6.3362074114363,16.3579405124333,0.)); #41415=CARTESIAN_POINT('Origin',(6.5022074114363,16.3579405124333,0.)); #41416=CARTESIAN_POINT('',(6.6682074114363,16.3579405124333,0.75)); #41417=CARTESIAN_POINT('Origin',(6.5022074114363,16.3579405124333,0.75)); #41418=CARTESIAN_POINT('Origin',(5.8727930895916,13.4237906319675,-60.8071686042321)); #41419=CARTESIAN_POINT('',(5.7067930895916,13.4237906319675,0.)); #41420=CARTESIAN_POINT('Origin',(5.8727930895916,13.4237906319675,0.)); #41421=CARTESIAN_POINT('',(6.0387930895916,13.4237906319675,0.75)); #41422=CARTESIAN_POINT('Origin',(5.8727930895916,13.4237906319675,0.75)); #41423=CARTESIAN_POINT('Origin',(5.36790747882378,10.4656681818955,-60.8071686042321)); #41424=CARTESIAN_POINT('',(5.20190747882378,10.4656681818955,0.)); #41425=CARTESIAN_POINT('Origin',(5.36790747882378,10.4656681818955,0.)); #41426=CARTESIAN_POINT('',(5.53390747882378,10.4656681818955,0.75)); #41427=CARTESIAN_POINT('Origin',(5.36790747882378,10.4656681818955,0.75)); #41428=CARTESIAN_POINT('Origin',(4.84598151667321,5.99510122060092,-60.8071686042321)); #41429=CARTESIAN_POINT('',(4.67998151667321,5.99510122060092,0.)); #41430=CARTESIAN_POINT('Origin',(4.84598151667321,5.99510122060092,0.)); #41431=CARTESIAN_POINT('',(5.01198151667321,5.99510122060092,0.75)); #41432=CARTESIAN_POINT('Origin',(4.84598151667321,5.99510122060092,0.75)); #41433=CARTESIAN_POINT('Origin',(23.243388269134,16.5189577178846,-60.8071686042321)); #41434=CARTESIAN_POINT('',(23.077388269134,16.5189577178846,0.)); #41435=CARTESIAN_POINT('Origin',(23.243388269134,16.5189577178846,0.)); #41436=CARTESIAN_POINT('',(23.409388269134,16.5189577178846,0.75)); #41437=CARTESIAN_POINT('Origin',(23.243388269134,16.5189577178846,0.75)); #41438=CARTESIAN_POINT('Origin',(16.1077946458969,18.8374526303879,-60.8071686042321)); #41439=CARTESIAN_POINT('',(15.9417946458969,18.8374526303879,0.)); #41440=CARTESIAN_POINT('Origin',(16.1077946458969,18.8374526303879,0.)); #41441=CARTESIAN_POINT('',(16.2737946458969,18.8374526303879,0.75)); #41442=CARTESIAN_POINT('Origin',(16.1077946458969,18.8374526303879,0.75)); #41443=CARTESIAN_POINT('Origin',(26.0976257184288,15.5915597528832,-60.8071686042321)); #41444=CARTESIAN_POINT('',(25.9316257184288,15.5915597528832,0.)); #41445=CARTESIAN_POINT('Origin',(26.0976257184288,15.5915597528832,0.)); #41446=CARTESIAN_POINT('',(26.2636257184288,15.5915597528832,0.75)); #41447=CARTESIAN_POINT('Origin',(26.0976257184288,15.5915597528832,0.75)); #41448=CARTESIAN_POINT('Origin',(44.3496909500937,-3.97857113537715E-13, -60.8071686042321)); #41449=CARTESIAN_POINT('',(44.1836909500937,-3.9787744267458E-13,0.)); #41450=CARTESIAN_POINT('Origin',(44.3496909500937,-3.97857113537715E-13, 0.)); #41451=CARTESIAN_POINT('',(44.5156909500937,-3.97857113537715E-13,0.75)); #41452=CARTESIAN_POINT('Origin',(44.3496909500937,-3.97857113537715E-13, 0.75)); #41453=CARTESIAN_POINT('Origin',(4.98845221755835,-7.48885585756844,-60.8071686042321)); #41454=CARTESIAN_POINT('',(4.82245221755835,-7.48885585756844,0.)); #41455=CARTESIAN_POINT('Origin',(4.98845221755835,-7.48885585756844,0.)); #41456=CARTESIAN_POINT('',(5.15445221755835,-7.48885585756844,0.75)); #41457=CARTESIAN_POINT('Origin',(4.98845221755835,-7.48885585756844,0.75)); #41458=CARTESIAN_POINT('Origin',(5.16245343584092,-8.9792666707681,-60.8071686042321)); #41459=CARTESIAN_POINT('',(4.99645343584092,-8.9792666707681,0.)); #41460=CARTESIAN_POINT('Origin',(5.16245343584092,-8.9792666707681,0.)); #41461=CARTESIAN_POINT('',(5.32845343584092,-8.9792666707681,0.75)); #41462=CARTESIAN_POINT('Origin',(5.16245343584092,-8.9792666707681,0.75)); #41463=CARTESIAN_POINT('Origin',(44.6733239451715,-4.48613570830844,-60.8071686042321)); #41464=CARTESIAN_POINT('',(44.5073239451715,-4.48613570830844,0.)); #41465=CARTESIAN_POINT('Origin',(44.6733239451715,-4.48613570830844,0.)); #41466=CARTESIAN_POINT('',(44.8393239451715,-4.48613570830844,0.75)); #41467=CARTESIAN_POINT('Origin',(44.6733239451715,-4.48613570830844,0.75)); #41468=CARTESIAN_POINT('Origin',(37.5145755156082,11.8819678928778,-60.8071686042321)); #41469=CARTESIAN_POINT('',(37.3485755156082,11.8819678928778,0.)); #41470=CARTESIAN_POINT('Origin',(37.5145755156082,11.8819678928778,0.)); #41471=CARTESIAN_POINT('',(37.6805755156082,11.8819678928778,0.75)); #41472=CARTESIAN_POINT('Origin',(37.5145755156082,11.8819678928778,0.75)); #41473=CARTESIAN_POINT('Origin',(30.3789818923711,14.2004628053812,-60.8071686042321)); #41474=CARTESIAN_POINT('',(30.2129818923711,14.2004628053812,0.)); #41475=CARTESIAN_POINT('Origin',(30.3789818923711,14.2004628053812,0.)); #41476=CARTESIAN_POINT('',(30.5449818923711,14.2004628053812,0.75)); #41477=CARTESIAN_POINT('Origin',(30.3789818923711,14.2004628053812,0.75)); #41478=CARTESIAN_POINT('Origin',(43.1549213773574,9.87047411522351,-60.8071686042321)); #41479=CARTESIAN_POINT('',(42.9889213773574,9.87047411522351,0.)); #41480=CARTESIAN_POINT('Origin',(43.1549213773574,9.87047411522351,0.)); #41481=CARTESIAN_POINT('',(43.3209213773574,9.87047411522351,0.75)); #41482=CARTESIAN_POINT('Origin',(43.1549213773574,9.87047411522351,0.75)); #41483=CARTESIAN_POINT('Origin',(44.3857054827172,-1.49998502402491,-60.8071686042321)); #41484=CARTESIAN_POINT('',(44.2197054827173,-1.49998502402491,0.)); #41485=CARTESIAN_POINT('Origin',(44.3857054827172,-1.49998502402491,0.)); #41486=CARTESIAN_POINT('',(44.5517054827173,-1.49998502402491,0.75)); #41487=CARTESIAN_POINT('Origin',(44.3857054827172,-1.49998502402491,0.75)); #41488=CARTESIAN_POINT('Origin',(13.2535571966026,-19.7648505953912,-60.8071686042321)); #41489=CARTESIAN_POINT('',(13.0875571966026,-19.7648505953912,0.)); #41490=CARTESIAN_POINT('Origin',(13.2535571966026,-19.7648505953912,0.)); #41491=CARTESIAN_POINT('',(13.4195571966026,-19.7648505953912,0.75)); #41492=CARTESIAN_POINT('Origin',(13.2535571966026,-19.7648505953912,0.75)); #41493=CARTESIAN_POINT('Origin',(4.73510494729806,-4.49866973121577,-60.8071686042321)); #41494=CARTESIAN_POINT('',(4.56910494729806,-4.49866973121577,0.)); #41495=CARTESIAN_POINT('Origin',(4.73510494729806,-4.49866973121577,0.)); #41496=CARTESIAN_POINT('',(4.90110494729806,-4.49866973121577,0.75)); #41497=CARTESIAN_POINT('Origin',(4.73510494729806,-4.49866973121577,0.75)); #41498=CARTESIAN_POINT('Origin',(17.5349133705448,-18.373753647889,-60.8071686042321)); #41499=CARTESIAN_POINT('',(17.3689133705448,-18.373753647889,0.)); #41500=CARTESIAN_POINT('Origin',(17.5349133705448,-18.373753647889,0.)); #41501=CARTESIAN_POINT('',(17.7009133705448,-18.373753647889,0.75)); #41502=CARTESIAN_POINT('Origin',(17.5349133705448,-18.373753647889,0.75)); #41503=CARTESIAN_POINT('Origin',(20.3891508198396,-17.4463556828876,-60.8071686042321)); #41504=CARTESIAN_POINT('',(20.2231508198396,-17.4463556828876,0.)); #41505=CARTESIAN_POINT('Origin',(20.3891508198396,-17.4463556828876,0.)); #41506=CARTESIAN_POINT('',(20.5551508198396,-17.4463556828876,0.75)); #41507=CARTESIAN_POINT('Origin',(20.3891508198396,-17.4463556828876,0.75)); #41508=CARTESIAN_POINT('Origin',(27.5247444430766,-15.1278607703839,-60.8071686042321)); #41509=CARTESIAN_POINT('',(27.3587444430767,-15.1278607703839,0.)); #41510=CARTESIAN_POINT('Origin',(27.5247444430766,-15.1278607703839,0.)); #41511=CARTESIAN_POINT('',(27.6907444430766,-15.1278607703839,0.75)); #41512=CARTESIAN_POINT('Origin',(27.5247444430766,-15.1278607703839,0.75)); #41513=CARTESIAN_POINT('Origin',(33.2332193416663,-13.273064840381,-60.8071686042321)); #41514=CARTESIAN_POINT('',(33.0672193416663,-13.273064840381,0.)); #41515=CARTESIAN_POINT('Origin',(33.2332193416663,-13.273064840381,0.)); #41516=CARTESIAN_POINT('',(33.3992193416663,-13.273064840381,0.75)); #41517=CARTESIAN_POINT('Origin',(33.2332193416663,-13.273064840381,0.75)); #41518=CARTESIAN_POINT('Origin',(43.1549213773576,-9.87047411522426,-60.8071686042321)); #41519=CARTESIAN_POINT('',(42.9889213773576,-9.87047411522426,0.)); #41520=CARTESIAN_POINT('Origin',(43.1549213773576,-9.87047411522426,0.)); #41521=CARTESIAN_POINT('',(43.3209213773576,-9.87047411522426,0.75)); #41522=CARTESIAN_POINT('Origin',(43.1549213773576,-9.87047411522426,0.75)); #41523=CARTESIAN_POINT('Origin',(28.951863167724,-14.6641617878832,-60.8071686042321)); #41524=CARTESIAN_POINT('',(28.785863167724,-14.6641617878832,0.)); #41525=CARTESIAN_POINT('Origin',(28.951863167724,-14.6641617878832,0.)); #41526=CARTESIAN_POINT('',(29.117863167724,-14.6641617878832,0.75)); #41527=CARTESIAN_POINT('Origin',(28.951863167724,-14.6641617878832,0.75)); #41528=CARTESIAN_POINT('Origin',(38.9416942402559,-11.418268910378,-60.8071686042321)); #41529=CARTESIAN_POINT('',(38.7756942402559,-11.418268910378,0.)); #41530=CARTESIAN_POINT('Origin',(38.9416942402559,-11.418268910378,0.)); #41531=CARTESIAN_POINT('',(39.1076942402559,-11.418268910378,0.75)); #41532=CARTESIAN_POINT('Origin',(38.9416942402559,-11.418268910378,0.75)); #41533=CARTESIAN_POINT('Origin',(36.0874567909611,-12.3456668753795,-60.8071686042321)); #41534=CARTESIAN_POINT('',(35.9214567909611,-12.3456668753795,0.)); #41535=CARTESIAN_POINT('Origin',(36.0874567909611,-12.3456668753795,0.)); #41536=CARTESIAN_POINT('',(36.2534567909611,-12.3456668753795,0.75)); #41537=CARTESIAN_POINT('Origin',(36.0874567909611,-12.3456668753795,0.75)); #41538=CARTESIAN_POINT('Origin',(31.8061006170188,-13.7367638228817,-60.8071686042321)); #41539=CARTESIAN_POINT('',(31.6401006170188,-13.7367638228817,0.)); #41540=CARTESIAN_POINT('Origin',(31.8061006170188,-13.7367638228817,0.)); #41541=CARTESIAN_POINT('',(31.9721006170188,-13.7367638228817,0.75)); #41542=CARTESIAN_POINT('Origin',(31.8061006170188,-13.7367638228817,0.75)); #41543=CARTESIAN_POINT('Origin',(21.816269544487,-16.9826567003868,-60.8071686042321)); #41544=CARTESIAN_POINT('',(21.650269544487,-16.9826567003868,0.)); #41545=CARTESIAN_POINT('Origin',(21.816269544487,-16.9826567003868,0.)); #41546=CARTESIAN_POINT('',(21.982269544487,-16.9826567003868,0.75)); #41547=CARTESIAN_POINT('Origin',(21.816269544487,-16.9826567003868,0.75)); #41548=CARTESIAN_POINT('Origin',(27.5247444430762,15.1278607703825,-60.8071686042321)); #41549=CARTESIAN_POINT('',(27.3587444430763,15.1278607703825,0.)); #41550=CARTESIAN_POINT('Origin',(27.5247444430762,15.1278607703825,0.)); #41551=CARTESIAN_POINT('',(27.6907444430762,15.1278607703825,0.75)); #41552=CARTESIAN_POINT('Origin',(27.5247444430762,15.1278607703825,0.75)); #41553=CARTESIAN_POINT('Origin',(4.60831810247371,1.50044975836977,-60.8071686042321)); #41554=CARTESIAN_POINT('',(4.44231810247372,1.50044975836977,0.)); #41555=CARTESIAN_POINT('Origin',(4.60831810247371,1.50044975836977,0.)); #41556=CARTESIAN_POINT('',(4.77431810247371,1.50044975836977,0.75)); #41557=CARTESIAN_POINT('Origin',(4.60831810247371,1.50044975836977,0.75)); #41558=CARTESIAN_POINT('Origin',(4.73510494729792,4.49866973121362,-60.8071686042321)); #41559=CARTESIAN_POINT('',(4.56910494729792,4.49866973121362,0.)); #41560=CARTESIAN_POINT('Origin',(4.73510494729792,4.49866973121362,0.)); #41561=CARTESIAN_POINT('',(4.90110494729792,4.49866973121362,0.75)); #41562=CARTESIAN_POINT('Origin',(4.73510494729792,4.49866973121362,0.75)); #41563=CARTESIAN_POINT('Origin',(14.6806759212495,19.3011516128886,-60.8071686042321)); #41564=CARTESIAN_POINT('',(14.5146759212495,19.3011516128886,0.)); #41565=CARTESIAN_POINT('Origin',(14.6806759212495,19.3011516128886,0.)); #41566=CARTESIAN_POINT('',(14.8466759212494,19.3011516128886,0.75)); #41567=CARTESIAN_POINT('Origin',(14.6806759212495,19.3011516128886,0.75)); #41568=CARTESIAN_POINT('Origin',(21.8162695444866,16.9826567003852,-60.8071686042321)); #41569=CARTESIAN_POINT('',(21.6502695444866,16.9826567003852,0.)); #41570=CARTESIAN_POINT('Origin',(21.8162695444866,16.9826567003852,0.)); #41571=CARTESIAN_POINT('',(21.9822695444866,16.9826567003852,0.75)); #41572=CARTESIAN_POINT('Origin',(21.8162695444866,16.9826567003852,0.75)); #41573=CARTESIAN_POINT('Origin',(41.7959316895505,10.4908709453758,-60.8071686042321)); #41574=CARTESIAN_POINT('',(41.6299316895505,10.4908709453758,0.)); #41575=CARTESIAN_POINT('Origin',(41.7959316895505,10.4908709453758,0.)); #41576=CARTESIAN_POINT('',(41.9619316895505,10.4908709453758,0.75)); #41577=CARTESIAN_POINT('Origin',(41.7959316895505,10.4908709453758,0.75)); #41578=CARTESIAN_POINT('Origin',(34.6603380663134,12.8093658578792,-60.8071686042321)); #41579=CARTESIAN_POINT('',(34.4943380663134,12.8093658578792,0.)); #41580=CARTESIAN_POINT('Origin',(34.6603380663134,12.8093658578792,0.)); #41581=CARTESIAN_POINT('',(34.8263380663134,12.8093658578792,0.75)); #41582=CARTESIAN_POINT('Origin',(34.6603380663134,12.8093658578792,0.75)); #41583=CARTESIAN_POINT('Origin',(28.9518631677237,14.6641617878819,-60.8071686042321)); #41584=CARTESIAN_POINT('',(28.7858631677237,14.6641617878819,0.)); #41585=CARTESIAN_POINT('Origin',(28.9518631677237,14.6641617878819,0.)); #41586=CARTESIAN_POINT('',(29.1178631677237,14.6641617878819,0.75)); #41587=CARTESIAN_POINT('Origin',(28.9518631677237,14.6641617878819,0.75)); #41588=CARTESIAN_POINT('Origin',(44.9152744200304,-5.96692332519338,-60.8071686042321)); #41589=CARTESIAN_POINT('',(44.7492744200304,-5.96692332519338,0.)); #41590=CARTESIAN_POINT('Origin',(44.9152744200304,-5.96692332519338,0.)); #41591=CARTESIAN_POINT('',(45.0812744200304,-5.96692332519338,0.75)); #41592=CARTESIAN_POINT('Origin',(44.9152744200304,-5.96692332519338,0.75)); #41593=CARTESIAN_POINT('Origin',(24.6705069937814,16.0552587353839,-60.8071686042321)); #41594=CARTESIAN_POINT('',(24.5045069937814,16.0552587353839,0.)); #41595=CARTESIAN_POINT('Origin',(24.6705069937814,16.0552587353839,0.)); #41596=CARTESIAN_POINT('',(24.8365069937814,16.0552587353839,0.75)); #41597=CARTESIAN_POINT('Origin',(24.6705069937814,16.0552587353839,0.75)); #41598=CARTESIAN_POINT('Origin',(31.8061006170185,13.7367638228805,-60.8071686042321)); #41599=CARTESIAN_POINT('',(31.6401006170185,13.7367638228805,0.)); #41600=CARTESIAN_POINT('Origin',(31.8061006170185,13.7367638228805,0.)); #41601=CARTESIAN_POINT('',(31.9721006170185,13.7367638228805,0.75)); #41602=CARTESIAN_POINT('Origin',(31.8061006170185,13.7367638228805,0.75)); #41603=CARTESIAN_POINT('Origin',(36.0874567909608,12.3456668753785,-60.8071686042321)); #41604=CARTESIAN_POINT('',(35.9214567909608,12.3456668753785,0.)); #41605=CARTESIAN_POINT('Origin',(36.0874567909608,12.3456668753785,0.)); #41606=CARTESIAN_POINT('',(36.2534567909608,12.3456668753785,0.75)); #41607=CARTESIAN_POINT('Origin',(36.0874567909608,12.3456668753785,0.75)); #41608=CARTESIAN_POINT('Origin',(44.6733239451714,4.48613570830768,-60.8071686042321)); #41609=CARTESIAN_POINT('',(44.5073239451714,4.48613570830768,0.)); #41610=CARTESIAN_POINT('Origin',(44.6733239451714,4.48613570830768,0.)); #41611=CARTESIAN_POINT('',(44.8393239451714,4.48613570830768,0.75)); #41612=CARTESIAN_POINT('Origin',(44.6733239451714,4.48613570830768,0.75)); #41613=CARTESIAN_POINT('Origin',(18.9620320951917,17.9100546653866,-60.8071686042321)); #41614=CARTESIAN_POINT('',(18.7960320951917,17.9100546653866,0.)); #41615=CARTESIAN_POINT('Origin',(18.9620320951917,17.9100546653866,0.)); #41616=CARTESIAN_POINT('',(19.1280320951917,17.9100546653866,0.75)); #41617=CARTESIAN_POINT('Origin',(18.9620320951917,17.9100546653866,0.75)); #41618=CARTESIAN_POINT('Origin',(11.8252319012496,20.2246396590768,-60.8071686042321)); #41619=CARTESIAN_POINT('',(11.6592319012496,20.2246396590768,0.)); #41620=CARTESIAN_POINT('Origin',(11.8252319012496,20.2246396590768,0.)); #41621=CARTESIAN_POINT('',(11.9912319012496,20.2246396590768,0.75)); #41622=CARTESIAN_POINT('Origin',(11.8252319012496,20.2246396590768,0.75)); #41623=CARTESIAN_POINT('Origin',(4.65587201655522,3.00022955598065,-60.8071686042321)); #41624=CARTESIAN_POINT('',(4.48987201655522,3.00022955598065,0.)); #41625=CARTESIAN_POINT('Origin',(4.65587201655522,3.00022955598065,0.)); #41626=CARTESIAN_POINT('',(4.82187201655522,3.00022955598065,0.75)); #41627=CARTESIAN_POINT('Origin',(4.65587201655522,3.00022955598065,0.75)); #41628=CARTESIAN_POINT('Origin',(17.5349133705443,18.3737536478873,-60.8071686042321)); #41629=CARTESIAN_POINT('',(17.3689133705443,18.3737536478873,0.)); #41630=CARTESIAN_POINT('Origin',(17.5349133705443,18.3737536478873,0.)); #41631=CARTESIAN_POINT('',(17.7009133705443,18.3737536478873,0.75)); #41632=CARTESIAN_POINT('Origin',(17.5349133705443,18.3737536478873,0.75)); #41633=CARTESIAN_POINT('Origin',(23.2433882691344,-16.5189577178861,-60.8071686042321)); #41634=CARTESIAN_POINT('',(23.0773882691344,-16.5189577178861,0.)); #41635=CARTESIAN_POINT('Origin',(23.2433882691344,-16.5189577178861,0.)); #41636=CARTESIAN_POINT('',(23.4093882691344,-16.5189577178861,0.75)); #41637=CARTESIAN_POINT('Origin',(23.2433882691344,-16.5189577178861,0.75)); #41638=CARTESIAN_POINT('Origin',(26.0976257184292,-15.5915597528846,-60.8071686042321)); #41639=CARTESIAN_POINT('',(25.9316257184292,-15.5915597528846,0.)); #41640=CARTESIAN_POINT('Origin',(26.0976257184292,-15.5915597528846,0.)); #41641=CARTESIAN_POINT('',(26.2636257184292,-15.5915597528846,0.75)); #41642=CARTESIAN_POINT('Origin',(26.0976257184292,-15.5915597528846,0.75)); #41643=CARTESIAN_POINT('Origin',(34.6603380663137,-12.8093658578802,-60.8071686042321)); #41644=CARTESIAN_POINT('',(34.4943380663137,-12.8093658578802,0.)); #41645=CARTESIAN_POINT('Origin',(34.6603380663137,-12.8093658578802,0.)); #41646=CARTESIAN_POINT('',(34.8263380663137,-12.8093658578802,0.75)); #41647=CARTESIAN_POINT('Origin',(34.6603380663137,-12.8093658578802,0.75)); #41648=CARTESIAN_POINT('Origin',(37.5145755156085,-11.8819678928788,-60.8071686042321)); #41649=CARTESIAN_POINT('',(37.3485755156085,-11.8819678928788,0.)); #41650=CARTESIAN_POINT('Origin',(37.5145755156085,-11.8819678928788,0.)); #41651=CARTESIAN_POINT('',(37.6805755156085,-11.8819678928788,0.75)); #41652=CARTESIAN_POINT('Origin',(37.5145755156085,-11.8819678928788,0.75)); #41653=CARTESIAN_POINT('Origin',(41.7959316895507,-10.4908709453765,-60.8071686042321)); #41654=CARTESIAN_POINT('',(41.6299316895507,-10.4908709453765,0.)); #41655=CARTESIAN_POINT('Origin',(41.7959316895507,-10.4908709453765,0.)); #41656=CARTESIAN_POINT('',(41.9619316895507,-10.4908709453765,0.75)); #41657=CARTESIAN_POINT('Origin',(41.7959316895507,-10.4908709453765,0.75)); #41658=CARTESIAN_POINT('Origin',(14.68067592125,-19.3011516128905,-60.8071686042321)); #41659=CARTESIAN_POINT('',(14.51467592125,-19.3011516128905,0.)); #41660=CARTESIAN_POINT('Origin',(14.68067592125,-19.3011516128905,0.)); #41661=CARTESIAN_POINT('',(14.84667592125,-19.3011516128905,0.75)); #41662=CARTESIAN_POINT('Origin',(14.68067592125,-19.3011516128905,0.75)); #41663=CARTESIAN_POINT('Origin',(40.3688129649033,-10.9545699278773,-60.8071686042321)); #41664=CARTESIAN_POINT('',(40.2028129649033,-10.9545699278773,0.)); #41665=CARTESIAN_POINT('Origin',(40.3688129649033,-10.9545699278773,0.)); #41666=CARTESIAN_POINT('',(40.5348129649033,-10.9545699278773,0.75)); #41667=CARTESIAN_POINT('Origin',(40.3688129649033,-10.9545699278773,0.75)); #41668=CARTESIAN_POINT('Origin',(30.3789818923714,-14.2004628053824,-60.8071686042321)); #41669=CARTESIAN_POINT('',(30.2129818923714,-14.2004628053824,0.)); #41670=CARTESIAN_POINT('Origin',(30.3789818923714,-14.2004628053824,0.)); #41671=CARTESIAN_POINT('',(30.5449818923714,-14.2004628053824,0.75)); #41672=CARTESIAN_POINT('Origin',(30.3789818923714,-14.2004628053824,0.75)); #41673=CARTESIAN_POINT('Origin',(24.6705069937818,-16.0552587353854,-60.8071686042321)); #41674=CARTESIAN_POINT('',(24.5045069937818,-16.0552587353854,0.)); #41675=CARTESIAN_POINT('Origin',(24.6705069937818,-16.0552587353854,0.)); #41676=CARTESIAN_POINT('',(24.8365069937818,-16.0552587353854,0.75)); #41677=CARTESIAN_POINT('Origin',(24.6705069937818,-16.0552587353854,0.75)); #41678=CARTESIAN_POINT('Origin',(18.9620320951922,-17.9100546653883,-60.8071686042321)); #41679=CARTESIAN_POINT('',(18.7960320951922,-17.9100546653883,0.)); #41680=CARTESIAN_POINT('Origin',(18.9620320951922,-17.9100546653883,0.)); #41681=CARTESIAN_POINT('',(19.1280320951922,-17.9100546653883,0.75)); #41682=CARTESIAN_POINT('Origin',(18.9620320951922,-17.9100546653883,0.75)); #41683=CARTESIAN_POINT('Origin',(16.1077946458974,-18.8374526303898,-60.8071686042321)); #41684=CARTESIAN_POINT('',(15.9417946458974,-18.8374526303898,0.)); #41685=CARTESIAN_POINT('Origin',(16.1077946458974,-18.8374526303898,0.)); #41686=CARTESIAN_POINT('',(16.2737946458974,-18.8374526303898,0.75)); #41687=CARTESIAN_POINT('Origin',(16.1077946458974,-18.8374526303898,0.75)); #41688=CARTESIAN_POINT('Origin',(4.8459815166734,-5.99510122060305,-60.8071686042321)); #41689=CARTESIAN_POINT('',(4.6799815166734,-5.99510122060305,0.)); #41690=CARTESIAN_POINT('Origin',(4.8459815166734,-5.99510122060305,0.)); #41691=CARTESIAN_POINT('',(5.0119815166734,-5.99510122060305,0.75)); #41692=CARTESIAN_POINT('Origin',(4.8459815166734,-5.99510122060305,0.75)); #41693=CARTESIAN_POINT('Origin',(11.8252319012502,-20.2246396590788,-60.8071686042321)); #41694=CARTESIAN_POINT('',(11.6592319012502,-20.2246396590788,0.)); #41695=CARTESIAN_POINT('Origin',(11.8252319012502,-20.2246396590788,0.)); #41696=CARTESIAN_POINT('',(11.9912319012502,-20.2246396590788,0.75)); #41697=CARTESIAN_POINT('Origin',(11.8252319012502,-20.2246396590788,0.75)); #41698=CARTESIAN_POINT('Origin',(44.3857054827172,1.49998502402414,-60.8071686042321)); #41699=CARTESIAN_POINT('',(44.2197054827172,1.49998502402414,0.)); #41700=CARTESIAN_POINT('Origin',(44.3857054827172,1.49998502402414,0.)); #41701=CARTESIAN_POINT('',(44.5517054827172,1.49998502402414,0.75)); #41702=CARTESIAN_POINT('Origin',(44.3857054827172,1.49998502402414,0.75)); #41703=CARTESIAN_POINT('Origin',(38.9416942402556,11.4182689103771,-60.8071686042321)); #41704=CARTESIAN_POINT('',(38.7756942402556,11.4182689103771,0.)); #41705=CARTESIAN_POINT('Origin',(38.9416942402556,11.4182689103771,0.)); #41706=CARTESIAN_POINT('',(39.1076942402556,11.4182689103771,0.75)); #41707=CARTESIAN_POINT('Origin',(38.9416942402556,11.4182689103771,0.75)); #41708=CARTESIAN_POINT('Origin',(33.2332193416659,13.2730648403798,-60.8071686042321)); #41709=CARTESIAN_POINT('',(33.0672193416659,13.2730648403798,0.)); #41710=CARTESIAN_POINT('Origin',(33.2332193416659,13.2730648403798,0.)); #41711=CARTESIAN_POINT('',(33.3992193416659,13.2730648403798,0.75)); #41712=CARTESIAN_POINT('Origin',(33.2332193416659,13.2730648403798,0.75)); #41713=CARTESIAN_POINT('Origin',(40.368812964903,10.9545699278765,-60.8071686042321)); #41714=CARTESIAN_POINT('',(40.202812964903,10.9545699278765,0.)); #41715=CARTESIAN_POINT('Origin',(40.368812964903,10.9545699278765,0.)); #41716=CARTESIAN_POINT('',(40.534812964903,10.9545699278765,0.75)); #41717=CARTESIAN_POINT('Origin',(40.368812964903,10.9545699278765,0.75)); #41718=CARTESIAN_POINT('Origin',(44.9152744200303,5.96692332519265,-60.8071686042321)); #41719=CARTESIAN_POINT('',(44.7492744200303,5.96692332519265,0.)); #41720=CARTESIAN_POINT('Origin',(44.9152744200303,5.96692332519265,0.)); #41721=CARTESIAN_POINT('',(45.0812744200303,5.96692332519265,0.75)); #41722=CARTESIAN_POINT('Origin',(44.9152744200303,5.96692332519265,0.75)); #41723=CARTESIAN_POINT('Origin',(6.1719992226059,-14.8941907496359,-60.8071686042321)); #41724=CARTESIAN_POINT('',(6.00599922260591,-14.8941907496359,0.)); #41725=CARTESIAN_POINT('Origin',(6.1719992226059,-14.8941907496359,0.)); #41726=CARTESIAN_POINT('',(6.33799922260591,-14.8941907496359,0.75)); #41727=CARTESIAN_POINT('Origin',(6.1719992226059,-14.8941907496359,0.75)); #41728=CARTESIAN_POINT('Origin',(44.4936660822862,2.99651321547217,-60.8071686042321)); #41729=CARTESIAN_POINT('',(44.3276660822862,2.99651321547217,0.)); #41730=CARTESIAN_POINT('Origin',(44.4936660822862,2.99651321547217,0.)); #41731=CARTESIAN_POINT('',(44.6596660822862,2.99651321547217,0.75)); #41732=CARTESIAN_POINT('Origin',(44.4936660822862,2.99651321547217,0.75)); #41733=CARTESIAN_POINT('Origin',(44.4936660822862,-2.99651321547293,-60.8071686042321)); #41734=CARTESIAN_POINT('',(44.3276660822862,-2.99651321547293,0.)); #41735=CARTESIAN_POINT('Origin',(44.4936660822862,-2.99651321547293,0.)); #41736=CARTESIAN_POINT('',(44.6596660822862,-2.99651321547293,0.75)); #41737=CARTESIAN_POINT('Origin',(44.4936660822862,-2.99651321547293,0.75)); #41738=CARTESIAN_POINT('Origin',(20.3891508198391,17.4463556828859,-60.8071686042321)); #41739=CARTESIAN_POINT('',(20.2231508198391,17.4463556828859,0.)); #41740=CARTESIAN_POINT('Origin',(20.3891508198391,17.4463556828859,0.)); #41741=CARTESIAN_POINT('',(20.5551508198391,17.4463556828859,0.75)); #41742=CARTESIAN_POINT('Origin',(20.3891508198391,17.4463556828859,0.75)); #41743=CARTESIAN_POINT('Origin',(13.253557196602,19.7648505953893,-60.8071686042321)); #41744=CARTESIAN_POINT('',(13.087557196602,19.7648505953893,0.)); #41745=CARTESIAN_POINT('Origin',(13.253557196602,19.7648505953893,0.)); #41746=CARTESIAN_POINT('',(13.419557196602,19.7648505953893,0.75)); #41747=CARTESIAN_POINT('Origin',(13.253557196602,19.7648505953893,0.75)); #41748=CARTESIAN_POINT('Origin',(10.3386821981817,-20.3557612825817,-60.8071686042321)); #41749=CARTESIAN_POINT('',(10.1726821981818,-20.3557612825817,0.)); #41750=CARTESIAN_POINT('Origin',(10.3386821981817,-20.3557612825817,0.)); #41751=CARTESIAN_POINT('',(10.5046821981817,-20.3557612825817,0.75)); #41752=CARTESIAN_POINT('Origin',(10.3386821981817,-20.3557612825817,0.75)); #41753=CARTESIAN_POINT('Origin',(4.98845221755814,7.48885585756632,-60.8071686042321)); #41754=CARTESIAN_POINT('',(4.82245221755814,7.48885585756632,0.)); #41755=CARTESIAN_POINT('Origin',(4.98845221755814,7.48885585756632,0.)); #41756=CARTESIAN_POINT('',(5.15445221755814,7.48885585756632,0.75)); #41757=CARTESIAN_POINT('Origin',(4.98845221755814,7.48885585756632,0.75)); #41758=CARTESIAN_POINT('Origin',(5.60472260991587,11.9473967028305,-60.8071686042321)); #41759=CARTESIAN_POINT('',(5.43872260991587,11.9473967028305,0.)); #41760=CARTESIAN_POINT('Origin',(5.60472260991587,11.9473967028305,0.)); #41761=CARTESIAN_POINT('',(5.77072260991587,11.9473967028305,0.75)); #41762=CARTESIAN_POINT('Origin',(5.60472260991587,11.9473967028305,0.75)); #41763=CARTESIAN_POINT('Origin',(6.17199922260545,14.8941907496339,-60.8071686042321)); #41764=CARTESIAN_POINT('',(6.00599922260545,14.8941907496339,0.)); #41765=CARTESIAN_POINT('Origin',(6.17199922260545,14.8941907496339,0.)); #41766=CARTESIAN_POINT('',(6.33799922260545,14.8941907496339,0.75)); #41767=CARTESIAN_POINT('Origin',(6.17199922260545,14.8941907496339,0.75)); #41768=CARTESIAN_POINT('Origin',(6.90065586379736,17.8030124642896,-60.8071686042321)); #41769=CARTESIAN_POINT('',(6.73465586379736,17.8030124642896,0.)); #41770=CARTESIAN_POINT('Origin',(6.90065586379736,17.8030124642896,0.)); #41771=CARTESIAN_POINT('',(7.06665586379736,17.8030124642896,0.75)); #41772=CARTESIAN_POINT('Origin',(6.90065586379736,17.8030124642896,0.75)); #41773=CARTESIAN_POINT('Origin',(44.8253098421232,7.45653041250288,-60.8071686042321)); #41774=CARTESIAN_POINT('',(44.6593098421232,7.45653041250288,0.)); #41775=CARTESIAN_POINT('Origin',(44.8253098421232,7.45653041250288,0.)); #41776=CARTESIAN_POINT('',(44.9913098421232,7.45653041250288,0.75)); #41777=CARTESIAN_POINT('Origin',(44.8253098421232,7.45653041250288,0.75)); #41778=CARTESIAN_POINT('Origin',(44.8253098421234,-7.45653041250363,-60.8071686042321)); #41779=CARTESIAN_POINT('',(44.6593098421234,-7.45653041250363,0.)); #41780=CARTESIAN_POINT('Origin',(44.8253098421234,-7.45653041250363,0.)); #41781=CARTESIAN_POINT('',(44.9913098421234,-7.45653041250363,0.75)); #41782=CARTESIAN_POINT('Origin',(44.8253098421234,-7.45653041250363,0.75)); #41783=CARTESIAN_POINT('Origin',(7.70442409334417,19.0603825502285,-60.8071686042321)); #41784=CARTESIAN_POINT('',(7.53842409334417,19.0603825502285,0.)); #41785=CARTESIAN_POINT('Origin',(7.70442409334417,19.0603825502285,0.)); #41786=CARTESIAN_POINT('',(7.87042409334417,19.0603825502285,0.75)); #41787=CARTESIAN_POINT('Origin',(7.70442409334417,19.0603825502285,0.75)); #41788=CARTESIAN_POINT('Origin',(10.3386821981811,20.3557612825797,-60.8071686042321)); #41789=CARTESIAN_POINT('',(10.1726821981811,20.3557612825797,0.)); #41790=CARTESIAN_POINT('Origin',(10.3386821981811,20.3557612825797,0.)); #41791=CARTESIAN_POINT('',(10.5046821981811,20.3557612825797,0.75)); #41792=CARTESIAN_POINT('Origin',(10.3386821981811,20.3557612825797,0.75)); #41793=CARTESIAN_POINT('Origin',(4.60831810247377,-1.5004497583719,-60.8071686042321)); #41794=CARTESIAN_POINT('',(4.44231810247377,-1.5004497583719,0.)); #41795=CARTESIAN_POINT('Origin',(4.60831810247377,-1.5004497583719,0.)); #41796=CARTESIAN_POINT('',(4.77431810247377,-1.5004497583719,0.75)); #41797=CARTESIAN_POINT('Origin',(4.60831810247377,-1.5004497583719,0.75)); #41798=CARTESIAN_POINT('Origin',(5.36790747882411,-10.4656681818976,-60.8071686042321)); #41799=CARTESIAN_POINT('',(5.20190747882411,-10.4656681818976,0.)); #41800=CARTESIAN_POINT('Origin',(5.36790747882411,-10.4656681818976,0.)); #41801=CARTESIAN_POINT('',(5.53390747882411,-10.4656681818976,0.75)); #41802=CARTESIAN_POINT('Origin',(5.36790747882411,-10.4656681818976,0.75)); #41803=CARTESIAN_POINT('Origin',(5.87279308959202,-13.4237906319696,-60.8071686042321)); #41804=CARTESIAN_POINT('',(5.70679308959202,-13.4237906319696,0.)); #41805=CARTESIAN_POINT('Origin',(5.87279308959202,-13.4237906319696,0.)); #41806=CARTESIAN_POINT('',(6.03879308959202,-13.4237906319696,0.75)); #41807=CARTESIAN_POINT('Origin',(5.87279308959202,-13.4237906319696,0.75)); #41808=CARTESIAN_POINT('Origin',(6.90065586379791,-17.8030124642916,-60.8071686042321)); #41809=CARTESIAN_POINT('',(6.73465586379791,-17.8030124642916,0.)); #41810=CARTESIAN_POINT('Origin',(6.90065586379791,-17.8030124642916,0.)); #41811=CARTESIAN_POINT('',(7.06665586379791,-17.8030124642916,0.75)); #41812=CARTESIAN_POINT('Origin',(6.90065586379791,-17.8030124642916,0.75)); #41813=CARTESIAN_POINT('Origin',(8.9025782749962,-19.9500170014711,-60.8071686042321)); #41814=CARTESIAN_POINT('',(8.7365782749962,-19.9500170014711,0.)); #41815=CARTESIAN_POINT('Origin',(8.9025782749962,-19.9500170014711,0.)); #41816=CARTESIAN_POINT('',(9.0685782749962,-19.9500170014711,0.75)); #41817=CARTESIAN_POINT('Origin',(8.9025782749962,-19.9500170014711,0.75)); #41818=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.375)); #41819=CARTESIAN_POINT('',(12.0687145583448,19.4348349930136,0.)); #41820=CARTESIAN_POINT('',(11.9528331854543,19.0781887994029,0.375)); #41821=CARTESIAN_POINT('Origin',(12.0687145583448,19.4348349930136,0.375)); #41822=CARTESIAN_POINT('',(8.18713898294069,16.9972926509144,0.375)); #41823=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.375)); #41824=CARTESIAN_POINT('',(7.82351769846492,17.0889690463305,0.)); #41825=CARTESIAN_POINT('Origin',(7.82351769846492,17.0889690463305,0.375)); #41826=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.)); #41827=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.375)); #41828=CARTESIAN_POINT('',(8.1871389829412,-16.9972926509164,0.375)); #41829=CARTESIAN_POINT('',(7.82351769846584,-17.0889690463325,0.)); #41830=CARTESIAN_POINT('Origin',(7.82351769846584,-17.0889690463325,0.375)); #41831=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.)); #41832=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.375)); #41833=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.375)); #41834=CARTESIAN_POINT('',(11.9528331854553,-19.0781887994047,0.375)); #41835=CARTESIAN_POINT('',(12.0687145583459,-19.4348349930154,0.)); #41836=CARTESIAN_POINT('Origin',(12.0687145583459,-19.4348349930154,0.375)); #41837=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.)); #41838=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.375)); #41839=CARTESIAN_POINT('Origin',(34.904277825881,-12.0151107128204,0.375)); #41840=CARTESIAN_POINT('',(41.8745325289247,-9.35603933795214,0.375)); #41841=CARTESIAN_POINT('',(41.9904139018153,-9.71268553156282,0.)); #41842=CARTESIAN_POINT('Origin',(41.9904139018153,-9.71268553156282,0.375)); #41843=CARTESIAN_POINT('',(34.904277825881,-12.0151107128204,0.)); #41844=CARTESIAN_POINT('',(34.7883964529904,-11.6584645192098,0.375)); #41845=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.375)); #41846=CARTESIAN_POINT('',(43.8581214185197,-6.00759250694706,0.375)); #41847=CARTESIAN_POINT('',(44.2265820218341,-5.93786601409699,0.)); #41848=CARTESIAN_POINT('Origin',(44.2265820218341,-5.93786601409699,0.375)); #41849=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.)); #41850=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.375)); #41851=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.375)); #41852=CARTESIAN_POINT('',(43.8581214185196,6.00759250694682,0.375)); #41853=CARTESIAN_POINT('',(44.2265820218341,5.93786601409675,0.)); #41854=CARTESIAN_POINT('Origin',(44.2265820218341,5.93786601409675,0.375)); #41855=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.)); #41856=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.375)); #41857=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.375)); #41858=CARTESIAN_POINT('',(41.8745325289241,9.356039337952,0.375)); #41859=CARTESIAN_POINT('',(41.9904139018147,9.71268553156266,0.)); #41860=CARTESIAN_POINT('Origin',(41.9904139018147,9.71268553156266,0.375)); #41861=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.)); #41862=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.375)); #41863=CARTESIAN_POINT('Origin',(19.9434281541452,16.8761854435454,0.375)); #41864=CARTESIAN_POINT('',(19.9434281541452,16.8761854435454,0.)); #41865=CARTESIAN_POINT('',(19.8275467812547,16.5195392499347,0.375)); #41866=CARTESIAN_POINT('Origin',(41.8745325289247,-9.35603933795214,0.75)); #41867=CARTESIAN_POINT('',(11.9528331854553,-19.0781887994047,0.75)); #41868=CARTESIAN_POINT('',(11.9528331854553,-19.0781887994047,0.75)); #41869=CARTESIAN_POINT('',(41.8745325289247,-9.35603933795214,0.75)); #41870=CARTESIAN_POINT('',(34.7883964529904,-11.6584645192098,0.75)); #41871=CARTESIAN_POINT('',(41.8745325289247,-9.35603933795214,0.75)); #41872=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.75)); #41873=CARTESIAN_POINT('',(8.1871389829412,-16.9972926509164,0.75)); #41874=CARTESIAN_POINT('',(8.1871389829412,-16.9972926509164,0.75)); #41875=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.75)); #41876=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.75)); #41877=CARTESIAN_POINT('',(8.18713898294069,16.9972926509144,0.75)); #41878=CARTESIAN_POINT('',(8.18713898294069,16.9972926509144,0.75)); #41879=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.75)); #41880=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.75)); #41881=CARTESIAN_POINT('',(11.9528331854543,19.0781887994029,0.75)); #41882=CARTESIAN_POINT('',(11.9528331854543,19.0781887994029,0.75)); #41883=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.75)); #41884=CARTESIAN_POINT('Origin',(23.1660923364392,1.45749265107347E-14, 0.)); #41885=CARTESIAN_POINT('',(46.3264050096657,-9.51301817580546,0.)); #41886=CARTESIAN_POINT('',(46.3264050096657,9.51301817580549,0.)); #41887=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #41888=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.)); #41889=CARTESIAN_POINT('',(0.572628760151153,-24.3793212563061,0.)); #41890=CARTESIAN_POINT('',(7.24061993386298,22.21275958966,0.)); #41891=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #41892=CARTESIAN_POINT('',(46.3264050096657,9.51301817580549,0.)); #41893=CARTESIAN_POINT('Origin',(11.9528331854542,19.0781887994029,0.75)); #41894=CARTESIAN_POINT('',(41.8745325289241,9.356039337952,0.75)); #41895=CARTESIAN_POINT('',(41.8745325289241,9.356039337952,0.75)); #41896=CARTESIAN_POINT('',(19.8275467812547,16.5195392499347,0.75)); #41897=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.75)); #41898=CARTESIAN_POINT('',(43.8581214185196,6.00759250694682,0.75)); #41899=CARTESIAN_POINT('',(43.8581214185196,6.00759250694682,0.75)); #41900=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.75)); #41901=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.75)); #41902=CARTESIAN_POINT('',(43.8581214185197,-6.00759250694706,0.75)); #41903=CARTESIAN_POINT('',(43.8581214185197,-6.00759250694706,0.75)); #41904=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.75)); #41905=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.75)); #41906=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.75)); #41907=CARTESIAN_POINT('Origin',(7.24061993386299,-22.21275958966,0.)); #41908=CARTESIAN_POINT('',(46.3264050096657,-9.51301817580546,0.75)); #41909=CARTESIAN_POINT('',(46.3264050096657,-9.51301817580546,0.)); #41910=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.75)); #41911=CARTESIAN_POINT('',(0.572628760151153,-24.3793212563061,0.75)); #41912=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.)); #41913=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #41914=CARTESIAN_POINT('',(7.24061993386298,22.21275958966,0.75)); #41915=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.75)); #41916=CARTESIAN_POINT('',(7.24061993386298,22.21275958966,0.)); #41917=CARTESIAN_POINT('Origin',(46.3264050096657,9.51301817580549,0.)); #41918=CARTESIAN_POINT('',(46.3264050096657,9.5130181758055,0.75)); #41919=CARTESIAN_POINT('',(46.3264050096657,9.51301817580549,0.75)); #41920=CARTESIAN_POINT('',(46.3264050096657,9.51301817580549,0.)); #41921=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #41922=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.75)); #41923=CARTESIAN_POINT('Origin',(23.1660923364392,1.45749265107347E-14, 0.75)); #41924=CARTESIAN_POINT('',(95.5125189086577,-31.0338986302408,0.)); #41925=CARTESIAN_POINT('',(33.0305976165737,-8.33628878962637E-13,18.8733791459177)); #41926=CARTESIAN_POINT('',(32.308799809455,-6.86744739918368,18.8733791459177)); #41927=CARTESIAN_POINT('',(32.3087998094558,6.8674473991821,18.8733791459177)); #41928=CARTESIAN_POINT('',(72.6871625175726,-23.6174907652443,-9.16152543155247E-14)); #41929=CARTESIAN_POINT('',(30.1749334008368,13.4347503327933,18.8733343401773)); #41930=CARTESIAN_POINT('',(72.6830519499261,23.6161568372494,-9.16152543155247E-14)); #41931=CARTESIAN_POINT('',(66.1881100868276,38.21372279061,-2.85972157736073E-6)); #41932=CARTESIAN_POINT('',(74.6324046023398,67.1993186197933,1.00001526900079)); #41933=CARTESIAN_POINT('',(-1.30633561710729E-8,-5.10735544845002E-8,-128.779527560802)); #41934=CARTESIAN_POINT('',(-2.15979255477492E-9,-5.26735848289381E-8,-128.779527560803)); #41935=CARTESIAN_POINT('',(1.09513993674062E-8,-5.15226426702117E-8,-128.779527559055)); #41936=CARTESIAN_POINT('',(0.000128774291885665,4.21160317217737E-5,-128.779603818476)); #41937=CARTESIAN_POINT('',(-1.30644171675626E-8,-5.10738706030226E-8,-128.779527560801)); #41938=CARTESIAN_POINT('',(-2.16019724026058E-9,-5.0909440325421E-8,-128.779527560802)); #41939=CARTESIAN_POINT('',(0.000128774291994558,4.21160298927294E-5,-128.779603818475)); #41940=CARTESIAN_POINT('',(1.05089321230185E-8,-4.98135293038342E-8,-128.779527559116)); #41941=CARTESIAN_POINT('',(-0.000175300406938394,-9.10225492946567E-5,38.4369296333731)); #41942=CARTESIAN_POINT('',(33.0620066589516,3.47495706221506,15.894482621598)); #41943=CARTESIAN_POINT('',(33.0620066851402,-3.47495680302995,15.8944826320747)); #41944=CARTESIAN_POINT('',(95.5125189086566,-31.0338986302435,38.4370078740145)); #41945=CARTESIAN_POINT('',(81.8553828328091,37.5390016840015,9.13306249265568)); #41946=CARTESIAN_POINT('',(87.8714488299874,19.6999936326777,9.13304751093074)); #41947=CARTESIAN_POINT('',(88.2872722118257,-17.7436984201976,9.1330475092388)); #41948=CARTESIAN_POINT('',(83.0755927576929,34.7983653229533,9.13306281199797)); #41949=CARTESIAN_POINT('',(88.4951839044561,16.7655508250256,9.13304751160897)); #41950=CARTESIAN_POINT('',(87.6635371390218,-20.6781412282035,9.13304750993774)); #41951=CARTESIAN_POINT('',(89.2872675357514,37.5639844340349,37.4370250446982)); #41952=CARTESIAN_POINT('',(95.146151943158,18.1792577347049,37.4370028466459)); #41953=CARTESIAN_POINT('',(94.3145051824928,-22.0918481154457,37.4370028449746)); #41954=CARTESIAN_POINT('',(75.6044644381913,-8.39223703653662E-14,0.)); #41955=CARTESIAN_POINT('Origin',(0.,0.,0.)); #41956=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #41957=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #41958=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #41959=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #41960=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #41961=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #41962=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #41963=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #41964=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #41965=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #41966=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #41967=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #41968=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #41969=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #41970=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #41971=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #41972=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #41973=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #41974=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #41975=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #41976=CARTESIAN_POINT('Origin',(-4.5413002286794,-4.79250149649805,177.646846997915)); #41977=CARTESIAN_POINT('Origin',(11.6689619687227,-27.9939463591641,175.369094017679)); #41978=CARTESIAN_POINT('',(-4.66475042946766,-4.97452210830434,178.622361500525)); #41979=CARTESIAN_POINT('Origin',(-22.2699442616997,-30.9324236090668,317.740174148423)); #41980=CARTESIAN_POINT('Origin',(24.5614243841117,38.1178842738397,-52.3254798510612)); #41981=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #41982=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #41983=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #41984=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #41985=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #41986=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #41987=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #41988=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #41989=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #41990=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #41991=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #41992=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #41993=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #41994=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #41995=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #41996=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #41997=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #41998=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #41999=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42000=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42001=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42002=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42003=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42004=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42005=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42006=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42007=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42008=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42009=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42010=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42011=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42012=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42013=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42014=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42015=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42016=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42017=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42018=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42019=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42020=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42021=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42022=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42023=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42024=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42025=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42026=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42027=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42028=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42029=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42030=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42031=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42032=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42033=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42034=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42035=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42036=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42037=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42038=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42039=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42040=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42041=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42042=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42043=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42044=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42045=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42046=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42047=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42048=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42049=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42050=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42051=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42052=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42053=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42054=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42055=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42056=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42057=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42058=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42059=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42060=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42061=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42062=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42063=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42064=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42065=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42066=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42067=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42068=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42069=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42070=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42071=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42072=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42073=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42074=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42075=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42076=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42077=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42078=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42079=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42080=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42081=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42082=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42083=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42084=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42085=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42086=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42087=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42088=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42089=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42090=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42091=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42092=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42093=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42094=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42095=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42096=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42097=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42098=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42099=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42100=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42101=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42102=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42103=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42104=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42105=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42106=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42107=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42108=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42109=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42110=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42111=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42112=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42113=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42114=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42115=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42116=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42117=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42118=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42119=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42120=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42121=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42122=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42123=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42124=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42125=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42126=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42127=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42128=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42129=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42130=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42131=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42132=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42133=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42134=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42135=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42136=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42137=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42138=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42139=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42140=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42141=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42142=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42143=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42144=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42145=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42146=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42147=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42148=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42149=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42150=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42151=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42152=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42153=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42154=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42155=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42156=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42157=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42158=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42159=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42160=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42161=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42162=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42163=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42164=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42165=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42166=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42167=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42168=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42169=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42170=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42171=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42172=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42173=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42174=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42175=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42176=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42177=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42178=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42179=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42180=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42181=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42182=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42183=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42184=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42185=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42186=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42187=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42188=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42189=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42190=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42191=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42192=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42193=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42194=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42195=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42196=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42197=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42198=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42199=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42200=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42201=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42202=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42203=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42204=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42205=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42206=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42207=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42208=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42209=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42210=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42211=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42212=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42213=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42214=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42215=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42216=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42217=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42218=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42219=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42220=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42221=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42222=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42223=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42224=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42225=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42226=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42227=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42228=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42229=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42230=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42231=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42232=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42233=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42234=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42235=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42236=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42237=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42238=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42239=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42240=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42241=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42242=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42243=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42244=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42245=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42246=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42247=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42248=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42249=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42250=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42251=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42252=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42253=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42254=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42255=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42256=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42257=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42258=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42259=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42260=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42261=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42262=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42263=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42264=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42265=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42266=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42267=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42268=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42269=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42270=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42271=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42272=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42273=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42274=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42275=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42276=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42277=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42278=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42279=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42280=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42281=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42282=CARTESIAN_POINT('Origin',(-0.332059476765213,-0.332059476765213, -0.332059476765213)); #42283=CARTESIAN_POINT('',(0.245290792424413,0.245290792424413,0.245290792424413)); #42284=CARTESIAN_POINT('Origin',(0.245290792424413,0.245290792424413,0.245290792424413)); #42285=CARTESIAN_POINT('Origin',(-0.245290792424413,-0.245290792424413, -0.245290792424413)); #42286=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42287=CARTESIAN_POINT('Origin',(-7.86922048317508E-16,0.,1.77199092178618)); #42288=CARTESIAN_POINT('',(-1.23101125816757E-15,0.,2.77199092178618)); #42289=CARTESIAN_POINT('Origin',(-1.23101125816757E-15,0.,2.77199092178618)); #42290=CARTESIAN_POINT('Origin',(1.23101125816757E-15,0.,-2.77199092178618)); #42291=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42292=CARTESIAN_POINT('Origin',(0.,1.77199092178618,0.)); #42293=CARTESIAN_POINT('',(0.,2.77199092178618,0.)); #42294=CARTESIAN_POINT('Origin',(0.,2.77199092178618,0.)); #42295=CARTESIAN_POINT('Origin',(0.,-2.77199092178618,0.)); #42296=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42297=CARTESIAN_POINT('Origin',(1.77199092178618,0.,0.)); #42298=CARTESIAN_POINT('',(2.77199092178618,0.,0.)); #42299=CARTESIAN_POINT('Origin',(2.77199092178618,0.,0.)); #42300=CARTESIAN_POINT('Origin',(-2.77199092178618,0.,0.)); #42301=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42302=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42303=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42304=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42305=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42306=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42307=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42308=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42309=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42310=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42311=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42312=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42313=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42314=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42315=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42316=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42317=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42318=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42319=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42320=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42321=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42322=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42323=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42324=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42325=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42326=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42327=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42328=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42329=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42330=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42331=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42332=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42333=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42334=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42335=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42336=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42337=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42338=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42339=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42340=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42341=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42342=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42343=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42344=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42345=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42346=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42347=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42348=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42349=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42350=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42351=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42352=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42353=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42354=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42355=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42356=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42357=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42358=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42359=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42360=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42361=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42362=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42363=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42364=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42365=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42366=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42367=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42368=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42369=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42370=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42371=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42372=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42373=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42374=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42375=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42376=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42377=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42378=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42379=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42380=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42381=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42382=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42383=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42384=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42385=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42386=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42387=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42388=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42389=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42390=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42391=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42392=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42393=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42394=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42395=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42396=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42397=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42398=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42399=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42400=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42401=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42402=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42403=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42404=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42405=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42406=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #42427,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42407=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42388)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42408=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42389)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42409=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42390)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42410=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42391)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42411=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42392)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42412=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42393)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42413=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42394)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42414=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42395)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42415=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42396)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42416=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42397)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42417=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42398)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42418=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42399)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42419=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42400)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42420=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42401)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42421=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42402)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42422=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42403)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42423=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42404)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42424=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42405)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42425=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42406)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42427,#42432,#42431)) REPRESENTATION_CONTEXT('','3D') ); #42426=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.); #42427=( CONVERSION_BASED_UNIT('inch',#42430) LENGTH_UNIT() NAMED_UNIT(#42426) ); #42428=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #42429=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #42430=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#42428); #42431=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #42432=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #42433=SHAPE_DEFINITION_REPRESENTATION(#42451,#42500); #42434=SHAPE_DEFINITION_REPRESENTATION(#42452,#42501); #42435=SHAPE_DEFINITION_REPRESENTATION(#42453,#42502); #42436=SHAPE_DEFINITION_REPRESENTATION(#42454,#42503); #42437=SHAPE_DEFINITION_REPRESENTATION(#42455,#42504); #42438=SHAPE_DEFINITION_REPRESENTATION(#42456,#42505); #42439=SHAPE_DEFINITION_REPRESENTATION(#42457,#42506); #42440=SHAPE_DEFINITION_REPRESENTATION(#42458,#42507); #42441=SHAPE_DEFINITION_REPRESENTATION(#42459,#42508); #42442=SHAPE_DEFINITION_REPRESENTATION(#42460,#42509); #42443=SHAPE_DEFINITION_REPRESENTATION(#42461,#42510); #42444=SHAPE_DEFINITION_REPRESENTATION(#42462,#42511); #42445=SHAPE_DEFINITION_REPRESENTATION(#42463,#42512); #42446=SHAPE_DEFINITION_REPRESENTATION(#42464,#42513); #42447=SHAPE_DEFINITION_REPRESENTATION(#42465,#42514); #42448=SHAPE_DEFINITION_REPRESENTATION(#42466,#42515); #42449=SHAPE_DEFINITION_REPRESENTATION(#42467,#42516); #42450=SHAPE_DEFINITION_REPRESENTATION(#42468,#42517); #42451=PRODUCT_DEFINITION_SHAPE('',$,#42519); #42452=PRODUCT_DEFINITION_SHAPE('',$,#42520); #42453=PRODUCT_DEFINITION_SHAPE('',$,#42521); #42454=PRODUCT_DEFINITION_SHAPE('',$,#42522); #42455=PRODUCT_DEFINITION_SHAPE('',$,#42523); #42456=PRODUCT_DEFINITION_SHAPE('',$,#42524); #42457=PRODUCT_DEFINITION_SHAPE('',$,#42525); #42458=PRODUCT_DEFINITION_SHAPE('',$,#42526); #42459=PRODUCT_DEFINITION_SHAPE('',$,#42527); #42460=PRODUCT_DEFINITION_SHAPE('',$,#42528); #42461=PRODUCT_DEFINITION_SHAPE('',$,#42529); #42462=PRODUCT_DEFINITION_SHAPE('',$,#42530); #42463=PRODUCT_DEFINITION_SHAPE('',$,#42531); #42464=PRODUCT_DEFINITION_SHAPE('',$,#42532); #42465=PRODUCT_DEFINITION_SHAPE('',$,#42533); #42466=PRODUCT_DEFINITION_SHAPE('',$,#42534); #42467=PRODUCT_DEFINITION_SHAPE('',$,#42535); #42468=PRODUCT_DEFINITION_SHAPE('',$,#42536); #42469=PRODUCT_DEFINITION_SHAPE($,$,#647); #42470=PRODUCT_DEFINITION_SHAPE($,$,#648); #42471=PRODUCT_DEFINITION_SHAPE($,$,#649); #42472=PRODUCT_DEFINITION_SHAPE($,$,#650); #42473=PRODUCT_DEFINITION_SHAPE($,$,#651); #42474=PRODUCT_DEFINITION_SHAPE($,$,#652); #42475=PRODUCT_DEFINITION_SHAPE($,$,#653); #42476=PRODUCT_DEFINITION_SHAPE($,$,#654); #42477=PRODUCT_DEFINITION_SHAPE($,$,#655); #42478=PRODUCT_DEFINITION_SHAPE($,$,#656); #42479=PRODUCT_DEFINITION_SHAPE($,$,#657); #42480=PRODUCT_DEFINITION_SHAPE($,$,#658); #42481=PRODUCT_DEFINITION_SHAPE($,$,#659); #42482=PRODUCT_DEFINITION_SHAPE($,$,#660); #42483=PRODUCT_DEFINITION_SHAPE($,$,#661); #42484=PRODUCT_DEFINITION_SHAPE($,$,#662); #42485=PRODUCT_DEFINITION_SHAPE($,$,#663); #42486=PRODUCT_DEFINITION_SHAPE($,$,#664); #42487=PRODUCT_DEFINITION_SHAPE($,$,#665); #42488=PRODUCT_DEFINITION_SHAPE($,$,#666); #42489=PRODUCT_DEFINITION_SHAPE($,$,#667); #42490=PRODUCT_DEFINITION_SHAPE($,$,#668); #42491=PRODUCT_DEFINITION_SHAPE($,$,#669); #42492=PRODUCT_DEFINITION_SHAPE($,$,#670); #42493=PRODUCT_DEFINITION_SHAPE($,$,#671); #42494=PRODUCT_DEFINITION_SHAPE($,$,#672); #42495=PRODUCT_DEFINITION_SHAPE($,$,#673); #42496=PRODUCT_DEFINITION_SHAPE($,$,#674); #42497=PRODUCT_DEFINITION_SHAPE($,$,#675); #42498=PRODUCT_DEFINITION_SHAPE($,$,#676); #42499=PRODUCT_DEFINITION_SHAPE($,$,#677); #42500=SHAPE_REPRESENTATION('',(#23746,#27206,#27207,#27208,#27209,#27210, #27211,#27212,#27213,#27214,#27215,#27216,#27217,#27218,#27219,#27220,#27221, #27222,#27223,#27224,#27225,#27226,#27227,#27228,#27229,#27230,#27231,#27232, #27233,#27234,#27235,#27236),#42407); #42501=SHAPE_REPRESENTATION('',(#23747),#42408); #42502=SHAPE_REPRESENTATION('',(#24489),#42409); #42503=SHAPE_REPRESENTATION('',(#24586),#42410); #42504=SHAPE_REPRESENTATION('',(#24672),#42411); #42505=SHAPE_REPRESENTATION('',(#24758),#42412); #42506=SHAPE_REPRESENTATION('',(#25107),#42413); #42507=SHAPE_REPRESENTATION('',(#25186),#42414); #42508=SHAPE_REPRESENTATION('',(#25682),#42415); #42509=SHAPE_REPRESENTATION('',(#25933),#42416); #42510=SHAPE_REPRESENTATION('',(#26076),#42417); #42511=SHAPE_REPRESENTATION('',(#26179),#42418); #42512=SHAPE_REPRESENTATION('',(#26336),#42419); #42513=SHAPE_REPRESENTATION('',(#26418),#42420); #42514=SHAPE_REPRESENTATION('',(#26577),#42421); #42515=SHAPE_REPRESENTATION('',(#26729),#42422); #42516=SHAPE_REPRESENTATION('',(#26788),#42423); #42517=SHAPE_REPRESENTATION('',(#26865),#42424); #42518=PRODUCT_DEFINITION_CONTEXT('part definition',#42574,'design'); #42519=PRODUCT_DEFINITION('SP-10-01-00','SP-10-01-00',#42537,#42518); #42520=PRODUCT_DEFINITION('SP-10-01-09','SP-10-01-09',#42538,#42518); #42521=PRODUCT_DEFINITION('SP-10-01-01','SP-10-01-01',#42539,#42518); #42522=PRODUCT_DEFINITION('SP-10-01-02','SP-10-01-02',#42540,#42518); #42523=PRODUCT_DEFINITION('SP-10-01-03','SP-10-01-03',#42541,#42518); #42524=PRODUCT_DEFINITION('SP-10-01-05','SP-10-01-05',#42542,#42518); #42525=PRODUCT_DEFINITION('SP-10-01-04','SP-10-01-04',#42543,#42518); #42526=PRODUCT_DEFINITION('Duke SP-10-01-07','SP-10-01-07',#42544,#42518); #42527=PRODUCT_DEFINITION('SP-10-01-06','SP-10-01-06',#42545,#42518); #42528=PRODUCT_DEFINITION('SP-10-01-10','SP-10-01-10',#42546,#42518); #42529=PRODUCT_DEFINITION('SP-10-01-12','SP-10-01-12',#42547,#42518); #42530=PRODUCT_DEFINITION('SP-10-01-13','SP-10-01-13',#42548,#42518); #42531=PRODUCT_DEFINITION('SP-10-01-15','SP-10-01-15',#42549,#42518); #42532=PRODUCT_DEFINITION('SP-10-01-08','SP-10-01-08',#42550,#42518); #42533=PRODUCT_DEFINITION('SP-10-01-14','SP-10-01-14',#42551,#42518); #42534=PRODUCT_DEFINITION('SP-10-01-18','SP-10-01-18',#42552,#42518); #42535=PRODUCT_DEFINITION('SP-10-01-19','SP-10-01-19',#42553,#42518); #42536=PRODUCT_DEFINITION('SP-10-02-01','SP-10-02-01',#42554,#42518); #42537=PRODUCT_DEFINITION_FORMATION('',$,#42576); #42538=PRODUCT_DEFINITION_FORMATION('',$,#42577); #42539=PRODUCT_DEFINITION_FORMATION('',$,#42578); #42540=PRODUCT_DEFINITION_FORMATION('',$,#42579); #42541=PRODUCT_DEFINITION_FORMATION('',$,#42580); #42542=PRODUCT_DEFINITION_FORMATION('',$,#42581); #42543=PRODUCT_DEFINITION_FORMATION('',$,#42582); #42544=PRODUCT_DEFINITION_FORMATION('',$,#42583); #42545=PRODUCT_DEFINITION_FORMATION('',$,#42584); #42546=PRODUCT_DEFINITION_FORMATION('',$,#42585); #42547=PRODUCT_DEFINITION_FORMATION('',$,#42586); #42548=PRODUCT_DEFINITION_FORMATION('',$,#42587); #42549=PRODUCT_DEFINITION_FORMATION('',$,#42588); #42550=PRODUCT_DEFINITION_FORMATION('',$,#42589); #42551=PRODUCT_DEFINITION_FORMATION('',$,#42590); #42552=PRODUCT_DEFINITION_FORMATION('',$,#42591); #42553=PRODUCT_DEFINITION_FORMATION('',$,#42592); #42554=PRODUCT_DEFINITION_FORMATION('',$,#42593); #42555=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-00','SP-10-01-00',(#42576)); #42556=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-09','SP-10-01-09',(#42577)); #42557=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-01','SP-10-01-01',(#42578)); #42558=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-02','SP-10-01-02',(#42579)); #42559=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-03','SP-10-01-03',(#42580)); #42560=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-05','SP-10-01-05',(#42581)); #42561=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-04','SP-10-01-04',(#42582)); #42562=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-07','SP-10-01-07',(#42583)); #42563=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-06','SP-10-01-06',(#42584)); #42564=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-10','SP-10-01-10',(#42585)); #42565=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-12','SP-10-01-12',(#42586)); #42566=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-13','SP-10-01-13',(#42587)); #42567=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-15','SP-10-01-15',(#42588)); #42568=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-08','SP-10-01-08',(#42589)); #42569=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-14','SP-10-01-14',(#42590)); #42570=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-18','SP-10-01-18',(#42591)); #42571=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-19','SP-10-01-19',(#42592)); #42572=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-02-01','SP-10-02-01',(#42593)); #42573=APPLICATION_PROTOCOL_DEFINITION('international standard', 'ap242_managed_model_based_3d_engineering',2011,#42574); #42574=APPLICATION_CONTEXT('Managed model based 3d engineering'); #42575=PRODUCT_CONTEXT('part definition',#42574,'mechanical'); #42576=PRODUCT('SP-10-01-00','SP-10-01-00',$,(#42575)); #42577=PRODUCT('SP-10-01-09','SP-10-01-09','Shell - Front Plate',(#42575)); #42578=PRODUCT('SP-10-01-01','SP-10-01-01','Shell - Bottom Center',(#42575)); #42579=PRODUCT('SP-10-01-02','SP-10-01-02','Shell - Bottom Right',(#42575)); #42580=PRODUCT('SP-10-01-03','SP-10-01-03','Shell - Bottom Left',(#42575)); #42581=PRODUCT('SP-10-01-05','SP-10-01-05','Shell - Side Plate - Right', (#42575)); #42582=PRODUCT('SP-10-01-04','SP-10-01-04','Shell - Bottom Extension',(#42575)); #42583=PRODUCT('Duke SP-10-01-07','SP-10-01-07','Shell - Rib - Mid',(#42575)); #42584=PRODUCT('SP-10-01-06','SP-10-01-06','Shell - Side Plate - Left', (#42575)); #42585=PRODUCT('SP-10-01-10','SP-10-01-10', 'Shell - Front Plate Extension',(#42575)); #42586=PRODUCT('SP-10-01-12','SP-10-01-12', 'Shell - Front Top Plate Blank',(#42575)); #42587=PRODUCT('SP-10-01-13','SP-10-01-13','Shell - Top Plate',(#42575)); #42588=PRODUCT('SP-10-01-15','SP-10-01-15', 'Shell - Back Plate Extension',(#42575)); #42589=PRODUCT('SP-10-01-08','SP-10-01-08','Shell - Rib-Top',(#42575)); #42590=PRODUCT('SP-10-01-14','SP-10-01-14','Shell - Back Plate',(#42575)); #42591=PRODUCT('SP-10-01-18','SP-10-01-18','Shell - Mount - Side',(#42575)); #42592=PRODUCT('SP-10-01-19','SP-10-01-19','Shell - Mount - Top ',(#42575)); #42593=PRODUCT('SP-10-02-01','SP-10-02-01','Front Window - Frame',(#42575)); #42594=PRESENTATION_STYLE_ASSIGNMENT((#42628)); #42595=PRESENTATION_STYLE_ASSIGNMENT((#42629)); #42596=PRESENTATION_STYLE_ASSIGNMENT((#42630)); #42597=PRESENTATION_STYLE_ASSIGNMENT((#42631)); #42598=PRESENTATION_STYLE_ASSIGNMENT((#42632)); #42599=PRESENTATION_STYLE_ASSIGNMENT((#42633)); #42600=PRESENTATION_STYLE_ASSIGNMENT((#42634)); #42601=PRESENTATION_STYLE_ASSIGNMENT((#42635)); #42602=PRESENTATION_STYLE_ASSIGNMENT((#42636)); #42603=PRESENTATION_STYLE_ASSIGNMENT((#42637)); #42604=PRESENTATION_STYLE_ASSIGNMENT((#42638)); #42605=PRESENTATION_STYLE_ASSIGNMENT((#42639)); #42606=PRESENTATION_STYLE_ASSIGNMENT((#42640)); #42607=PRESENTATION_STYLE_ASSIGNMENT((#42641)); #42608=PRESENTATION_STYLE_ASSIGNMENT((#42642)); #42609=PRESENTATION_STYLE_ASSIGNMENT(($)); #42610=PRESENTATION_STYLE_ASSIGNMENT(($)); #42611=PRESENTATION_STYLE_ASSIGNMENT(($)); #42612=PRESENTATION_STYLE_ASSIGNMENT(($)); #42613=PRESENTATION_STYLE_ASSIGNMENT(($)); #42614=PRESENTATION_STYLE_ASSIGNMENT(($)); #42615=PRESENTATION_STYLE_ASSIGNMENT(($)); #42616=PRESENTATION_STYLE_ASSIGNMENT(($)); #42617=PRESENTATION_STYLE_ASSIGNMENT(($)); #42618=PRESENTATION_STYLE_ASSIGNMENT(($)); #42619=PRESENTATION_STYLE_ASSIGNMENT(($)); #42620=PRESENTATION_STYLE_ASSIGNMENT(($)); #42621=PRESENTATION_STYLE_ASSIGNMENT(($)); #42622=PRESENTATION_STYLE_ASSIGNMENT(($)); #42623=PRESENTATION_STYLE_ASSIGNMENT(($)); #42624=PRESENTATION_STYLE_ASSIGNMENT(($)); #42625=PRESENTATION_STYLE_ASSIGNMENT(($)); #42626=PRESENTATION_STYLE_ASSIGNMENT(($)); #42627=PRESENTATION_STYLE_ASSIGNMENT(($)); #42628=SURFACE_STYLE_USAGE(.BOTH.,#42643); #42629=SURFACE_STYLE_USAGE(.BOTH.,#42644); #42630=SURFACE_STYLE_USAGE(.BOTH.,#42645); #42631=SURFACE_STYLE_USAGE(.BOTH.,#42646); #42632=SURFACE_STYLE_USAGE(.BOTH.,#42647); #42633=SURFACE_STYLE_USAGE(.BOTH.,#42648); #42634=SURFACE_STYLE_USAGE(.BOTH.,#42649); #42635=SURFACE_STYLE_USAGE(.BOTH.,#42650); #42636=SURFACE_STYLE_USAGE(.BOTH.,#42651); #42637=SURFACE_STYLE_USAGE(.BOTH.,#42652); #42638=SURFACE_STYLE_USAGE(.BOTH.,#42653); #42639=SURFACE_STYLE_USAGE(.BOTH.,#42654); #42640=SURFACE_STYLE_USAGE(.BOTH.,#42655); #42641=SURFACE_STYLE_USAGE(.BOTH.,#42656); #42642=SURFACE_STYLE_USAGE(.BOTH.,#42657); #42643=SURFACE_SIDE_STYLE('',(#42658)); #42644=SURFACE_SIDE_STYLE('',(#42659)); #42645=SURFACE_SIDE_STYLE('',(#42660)); #42646=SURFACE_SIDE_STYLE('',(#42661)); #42647=SURFACE_SIDE_STYLE('',(#42662)); #42648=SURFACE_SIDE_STYLE('',(#42663)); #42649=SURFACE_SIDE_STYLE('',(#42664)); #42650=SURFACE_SIDE_STYLE('',(#42665)); #42651=SURFACE_SIDE_STYLE('',(#42666)); #42652=SURFACE_SIDE_STYLE('',(#42667)); #42653=SURFACE_SIDE_STYLE('',(#42668)); #42654=SURFACE_SIDE_STYLE('',(#42669)); #42655=SURFACE_SIDE_STYLE('',(#42670)); #42656=SURFACE_SIDE_STYLE('',(#42671)); #42657=SURFACE_SIDE_STYLE('',(#42672)); #42658=SURFACE_STYLE_FILL_AREA(#42673); #42659=SURFACE_STYLE_FILL_AREA(#42674); #42660=SURFACE_STYLE_FILL_AREA(#42675); #42661=SURFACE_STYLE_FILL_AREA(#42676); #42662=SURFACE_STYLE_FILL_AREA(#42677); #42663=SURFACE_STYLE_FILL_AREA(#42678); #42664=SURFACE_STYLE_FILL_AREA(#42679); #42665=SURFACE_STYLE_FILL_AREA(#42680); #42666=SURFACE_STYLE_FILL_AREA(#42681); #42667=SURFACE_STYLE_FILL_AREA(#42682); #42668=SURFACE_STYLE_FILL_AREA(#42683); #42669=SURFACE_STYLE_FILL_AREA(#42684); #42670=SURFACE_STYLE_FILL_AREA(#42685); #42671=SURFACE_STYLE_FILL_AREA(#42686); #42672=SURFACE_STYLE_FILL_AREA(#42687); #42673=FILL_AREA_STYLE('',(#42688)); #42674=FILL_AREA_STYLE('',(#42689)); #42675=FILL_AREA_STYLE('',(#42690)); #42676=FILL_AREA_STYLE('',(#42691)); #42677=FILL_AREA_STYLE('',(#42692)); #42678=FILL_AREA_STYLE('',(#42693)); #42679=FILL_AREA_STYLE('',(#42694)); #42680=FILL_AREA_STYLE('',(#42695)); #42681=FILL_AREA_STYLE('',(#42696)); #42682=FILL_AREA_STYLE('',(#42697)); #42683=FILL_AREA_STYLE('',(#42698)); #42684=FILL_AREA_STYLE('',(#42699)); #42685=FILL_AREA_STYLE('',(#42700)); #42686=FILL_AREA_STYLE('',(#42701)); #42687=FILL_AREA_STYLE('',(#42702)); #42688=FILL_AREA_STYLE_COLOUR('',#42703); #42689=FILL_AREA_STYLE_COLOUR('',#42704); #42690=FILL_AREA_STYLE_COLOUR('',#42705); #42691=FILL_AREA_STYLE_COLOUR('',#42706); #42692=FILL_AREA_STYLE_COLOUR('',#42707); #42693=FILL_AREA_STYLE_COLOUR('',#42708); #42694=FILL_AREA_STYLE_COLOUR('',#42709); #42695=FILL_AREA_STYLE_COLOUR('',#42710); #42696=FILL_AREA_STYLE_COLOUR('',#42711); #42697=FILL_AREA_STYLE_COLOUR('',#42712); #42698=FILL_AREA_STYLE_COLOUR('',#42713); #42699=FILL_AREA_STYLE_COLOUR('',#42714); #42700=FILL_AREA_STYLE_COLOUR('',#42715); #42701=FILL_AREA_STYLE_COLOUR('',#42716); #42702=FILL_AREA_STYLE_COLOUR('',#42717); #42703=COLOUR_RGB('',0.313725490196078,0.701960784313725,0.76078431372549); #42704=COLOUR_RGB('',0.886274509803922,0.862745098039216,0.164705882352941); #42705=COLOUR_RGB('',0.905882352941176,0.83921568627451,0.674509803921569); #42706=COLOUR_RGB('',0.698039215686274,0.,0.); #42707=COLOUR_RGB('',0.701960784313725,0.552941176470588,0.00392156862745098); #42708=COLOUR_RGB('',0.188235294117647,0.529411764705882,0.890196078431372); #42709=COLOUR_RGB('',0.996078431372549,0.996078431372549,1.); #42710=COLOUR_RGB('',1.,1.,0.); #42711=COLOUR_RGB('',0.956862745098039,0.956862745098039,0.956862745098039); #42712=COLOUR_RGB('',1.,0.945098039215686,0.694117647058824); #42713=COLOUR_RGB('',0.968627450980392,0.968627450980392,0.898039215686275); #42714=COLOUR_RGB('',0.866666666666667,0.486274509803922,0.0588235294117647); #42715=COLOUR_RGB('',0.866666666666667,0.866666666666667,0.0509803921568627); #42716=COLOUR_RGB('',0.929411764705882,0.811764705882353,0.709803921568627); #42717=COLOUR_RGB('',0.749019607843137,0.749019607843137,0.749019607843137); ENDSEC; END-ISO-10303-21;