ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'C:\\Users\\arigo\\Box Sync\\Solid Stuff\\Simon Models\\SG_UpdatedAsse mbly_360_noReadout.stp', /* time_stamp */ '2022-02-23T23:56:14-05:00', /* author */ ('Ari'), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v18.1', /* originating_system */ 'Autodesk Inventor 2022', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#864,#865, #866,#867,#868,#869,#870,#871,#872,#873,#874,#875,#876,#877,#878,#879,#880), #50550); #11=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#352)); #12=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#270)); #13=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#289)); #14=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#390)); #15=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#251)); #16=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#409)); #17=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#371)); #18=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#232)); #19=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#333)); #20=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#50883),#50799,#880,(#308)); #21=MAPPED_ITEM('',#22,#33024); #22=REPRESENTATION_MAP(#33024,#50794); #23=ITEM_DEFINED_TRANSFORMATION($,$,#29244,#32822); #24=ITEM_DEFINED_TRANSFORMATION($,$,#29495,#32823); #25=ITEM_DEFINED_TRANSFORMATION($,$,#29845,#32824); #26=ITEM_DEFINED_TRANSFORMATION($,$,#29845,#32825); #27=ITEM_DEFINED_TRANSFORMATION($,$,#29845,#32826); #28=ITEM_DEFINED_TRANSFORMATION($,$,#29845,#32827); #29=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#32828); #30=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#32829); #31=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32830); #32=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32831); #33=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#32832); #34=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32833); #35=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32834); #36=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#32835); #37=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#32836); #38=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#32837); #39=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#32838); #40=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#32839); #41=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#32840); #42=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32841); #43=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32842); #44=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32843); #45=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32844); #46=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32845); #47=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32846); #48=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#32847); #49=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#32848); #50=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32849); #51=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32850); #52=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#32851); #53=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32852); #54=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32853); #55=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#32854); #56=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#32855); #57=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#32856); #58=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#32857); #59=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#32858); #60=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#32859); #61=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32860); #62=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32861); #63=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32862); #64=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32863); #65=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32864); #66=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32865); #67=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#32866); #68=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#32867); #69=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32868); #70=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32869); #71=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#32870); #72=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32871); #73=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32872); #74=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#32873); #75=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#32874); #76=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#32875); #77=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#32876); #78=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#32877); #79=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#32878); #80=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32879); #81=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32880); #82=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32881); #83=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32882); #84=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32883); #85=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32884); #86=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#32885); #87=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#32886); #88=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32887); #89=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32888); #90=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#32889); #91=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32890); #92=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32891); #93=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#32892); #94=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#32893); #95=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#32894); #96=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#32895); #97=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#32896); #98=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#32897); #99=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32898); #100=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32899); #101=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32900); #102=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32901); #103=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32902); #104=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32903); #105=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#32904); #106=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#32905); #107=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32906); #108=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32907); #109=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#32908); #110=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32909); #111=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32910); #112=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#32911); #113=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#32912); #114=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#32913); #115=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#32914); #116=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#32915); #117=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#32916); #118=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32917); #119=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32918); #120=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32919); #121=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32920); #122=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32921); #123=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32922); #124=ITEM_DEFINED_TRANSFORMATION($,$,#29244,#32923); #125=ITEM_DEFINED_TRANSFORMATION($,$,#29495,#32924); #126=ITEM_DEFINED_TRANSFORMATION($,$,#29845,#32925); #127=ITEM_DEFINED_TRANSFORMATION($,$,#29845,#32926); #128=ITEM_DEFINED_TRANSFORMATION($,$,#29845,#32927); #129=ITEM_DEFINED_TRANSFORMATION($,$,#29845,#32928); #130=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#32929); #131=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#32930); #132=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32931); #133=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32932); #134=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#32933); #135=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32934); #136=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32935); #137=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#32936); #138=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#32937); #139=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#32938); #140=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#32939); #141=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#32940); #142=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#32941); #143=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32942); #144=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32943); #145=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32944); #146=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32945); #147=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32946); #148=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32947); #149=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#32948); #150=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#32949); #151=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32950); #152=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32951); #153=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#32952); #154=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32953); #155=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32954); #156=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#32955); #157=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#32956); #158=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#32957); #159=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#32958); #160=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#32959); #161=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#32960); #162=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32961); #163=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32962); #164=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32963); #165=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32964); #166=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32965); #167=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32966); #168=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#32967); #169=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#32968); #170=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32969); #171=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32970); #172=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#32971); #173=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32972); #174=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32973); #175=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#32974); #176=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#32975); #177=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#32976); #178=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#32977); #179=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#32978); #180=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#32979); #181=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32980); #182=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32981); #183=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32982); #184=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32983); #185=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32984); #186=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#32985); #187=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#32986); #188=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#32987); #189=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32988); #190=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#32989); #191=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#32990); #192=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32991); #193=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#32992); #194=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#32993); #195=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#32994); #196=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#32995); #197=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#32996); #198=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#32997); #199=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#32998); #200=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#32999); #201=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#33000); #202=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#33001); #203=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#33002); #204=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#33003); #205=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#33004); #206=ITEM_DEFINED_TRANSFORMATION($,$,#30342,#33005); #207=ITEM_DEFINED_TRANSFORMATION($,$,#30641,#33006); #208=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#33007); #209=ITEM_DEFINED_TRANSFORMATION($,$,#31383,#33008); #210=ITEM_DEFINED_TRANSFORMATION($,$,#31443,#33009); #211=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#33010); #212=ITEM_DEFINED_TRANSFORMATION($,$,#31520,#33011); #213=ITEM_DEFINED_TRANSFORMATION($,$,#31679,#33012); #214=ITEM_DEFINED_TRANSFORMATION($,$,#31765,#33013); #215=ITEM_DEFINED_TRANSFORMATION($,$,#31862,#33014); #216=ITEM_DEFINED_TRANSFORMATION($,$,#31948,#33015); #217=ITEM_DEFINED_TRANSFORMATION($,$,#31969,#33016); #218=ITEM_DEFINED_TRANSFORMATION($,$,#32221,#33017); #219=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#33018); #220=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#33019); #221=ITEM_DEFINED_TRANSFORMATION($,$,#32562,#33020); #222=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#33021); #223=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#33022); #224=ITEM_DEFINED_TRANSFORMATION($,$,#32719,#33023); #225=( REPRESENTATION_RELATIONSHIP($,$,#50795,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#23) SHAPE_REPRESENTATION_RELATIONSHIP() ); #226=( REPRESENTATION_RELATIONSHIP($,$,#50796,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#24) SHAPE_REPRESENTATION_RELATIONSHIP() ); #227=( REPRESENTATION_RELATIONSHIP($,$,#50797,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25) SHAPE_REPRESENTATION_RELATIONSHIP() ); #228=( REPRESENTATION_RELATIONSHIP($,$,#50797,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#26) SHAPE_REPRESENTATION_RELATIONSHIP() ); #229=( REPRESENTATION_RELATIONSHIP($,$,#50797,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#27) SHAPE_REPRESENTATION_RELATIONSHIP() ); #230=( REPRESENTATION_RELATIONSHIP($,$,#50797,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#28) SHAPE_REPRESENTATION_RELATIONSHIP() ); #231=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#29) SHAPE_REPRESENTATION_RELATIONSHIP() ); #232=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#30) SHAPE_REPRESENTATION_RELATIONSHIP() ); #233=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#31) SHAPE_REPRESENTATION_RELATIONSHIP() ); #234=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#32) SHAPE_REPRESENTATION_RELATIONSHIP() ); #235=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#33) SHAPE_REPRESENTATION_RELATIONSHIP() ); #236=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#34) SHAPE_REPRESENTATION_RELATIONSHIP() ); #237=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#35) SHAPE_REPRESENTATION_RELATIONSHIP() ); #238=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#36) SHAPE_REPRESENTATION_RELATIONSHIP() ); #239=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#37) SHAPE_REPRESENTATION_RELATIONSHIP() ); #240=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#38) SHAPE_REPRESENTATION_RELATIONSHIP() ); #241=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#39) SHAPE_REPRESENTATION_RELATIONSHIP() ); #242=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#40) SHAPE_REPRESENTATION_RELATIONSHIP() ); #243=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#41) SHAPE_REPRESENTATION_RELATIONSHIP() ); #244=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#42) SHAPE_REPRESENTATION_RELATIONSHIP() ); #245=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#43) SHAPE_REPRESENTATION_RELATIONSHIP() ); #246=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#44) SHAPE_REPRESENTATION_RELATIONSHIP() ); #247=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#45) SHAPE_REPRESENTATION_RELATIONSHIP() ); #248=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#46) SHAPE_REPRESENTATION_RELATIONSHIP() ); #249=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#47) SHAPE_REPRESENTATION_RELATIONSHIP() ); #250=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#48) SHAPE_REPRESENTATION_RELATIONSHIP() ); #251=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#49) SHAPE_REPRESENTATION_RELATIONSHIP() ); #252=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#50) SHAPE_REPRESENTATION_RELATIONSHIP() ); #253=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#51) SHAPE_REPRESENTATION_RELATIONSHIP() ); #254=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#52) SHAPE_REPRESENTATION_RELATIONSHIP() ); #255=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#53) SHAPE_REPRESENTATION_RELATIONSHIP() ); #256=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#54) SHAPE_REPRESENTATION_RELATIONSHIP() ); #257=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#55) SHAPE_REPRESENTATION_RELATIONSHIP() ); #258=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#56) SHAPE_REPRESENTATION_RELATIONSHIP() ); #259=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#57) SHAPE_REPRESENTATION_RELATIONSHIP() ); #260=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#58) SHAPE_REPRESENTATION_RELATIONSHIP() ); #261=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#59) SHAPE_REPRESENTATION_RELATIONSHIP() ); #262=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#60) SHAPE_REPRESENTATION_RELATIONSHIP() ); #263=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#61) SHAPE_REPRESENTATION_RELATIONSHIP() ); #264=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#62) SHAPE_REPRESENTATION_RELATIONSHIP() ); #265=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#63) SHAPE_REPRESENTATION_RELATIONSHIP() ); #266=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#64) SHAPE_REPRESENTATION_RELATIONSHIP() ); #267=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#65) SHAPE_REPRESENTATION_RELATIONSHIP() ); #268=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#66) SHAPE_REPRESENTATION_RELATIONSHIP() ); #269=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#67) SHAPE_REPRESENTATION_RELATIONSHIP() ); #270=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#68) SHAPE_REPRESENTATION_RELATIONSHIP() ); #271=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#69) SHAPE_REPRESENTATION_RELATIONSHIP() ); #272=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#70) SHAPE_REPRESENTATION_RELATIONSHIP() ); #273=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#71) SHAPE_REPRESENTATION_RELATIONSHIP() ); #274=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#72) SHAPE_REPRESENTATION_RELATIONSHIP() ); #275=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#73) SHAPE_REPRESENTATION_RELATIONSHIP() ); #276=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#74) SHAPE_REPRESENTATION_RELATIONSHIP() ); #277=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#75) SHAPE_REPRESENTATION_RELATIONSHIP() ); #278=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#76) SHAPE_REPRESENTATION_RELATIONSHIP() ); #279=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#77) SHAPE_REPRESENTATION_RELATIONSHIP() ); #280=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#78) SHAPE_REPRESENTATION_RELATIONSHIP() ); #281=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#79) SHAPE_REPRESENTATION_RELATIONSHIP() ); #282=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#80) SHAPE_REPRESENTATION_RELATIONSHIP() ); #283=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#81) SHAPE_REPRESENTATION_RELATIONSHIP() ); #284=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#82) SHAPE_REPRESENTATION_RELATIONSHIP() ); #285=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#83) SHAPE_REPRESENTATION_RELATIONSHIP() ); #286=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#84) SHAPE_REPRESENTATION_RELATIONSHIP() ); #287=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#85) SHAPE_REPRESENTATION_RELATIONSHIP() ); #288=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#86) SHAPE_REPRESENTATION_RELATIONSHIP() ); #289=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#87) SHAPE_REPRESENTATION_RELATIONSHIP() ); #290=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#88) SHAPE_REPRESENTATION_RELATIONSHIP() ); #291=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#89) SHAPE_REPRESENTATION_RELATIONSHIP() ); #292=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#90) SHAPE_REPRESENTATION_RELATIONSHIP() ); #293=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#91) SHAPE_REPRESENTATION_RELATIONSHIP() ); #294=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#92) SHAPE_REPRESENTATION_RELATIONSHIP() ); #295=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#93) SHAPE_REPRESENTATION_RELATIONSHIP() ); #296=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#94) SHAPE_REPRESENTATION_RELATIONSHIP() ); #297=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#95) SHAPE_REPRESENTATION_RELATIONSHIP() ); #298=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#96) SHAPE_REPRESENTATION_RELATIONSHIP() ); #299=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#97) SHAPE_REPRESENTATION_RELATIONSHIP() ); #300=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#98) SHAPE_REPRESENTATION_RELATIONSHIP() ); #301=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#99) SHAPE_REPRESENTATION_RELATIONSHIP() ); #302=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#100) SHAPE_REPRESENTATION_RELATIONSHIP() ); #303=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#101) SHAPE_REPRESENTATION_RELATIONSHIP() ); #304=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#102) SHAPE_REPRESENTATION_RELATIONSHIP() ); #305=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#103) SHAPE_REPRESENTATION_RELATIONSHIP() ); #306=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#104) SHAPE_REPRESENTATION_RELATIONSHIP() ); #307=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#105) SHAPE_REPRESENTATION_RELATIONSHIP() ); #308=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#106) SHAPE_REPRESENTATION_RELATIONSHIP() ); #309=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#107) SHAPE_REPRESENTATION_RELATIONSHIP() ); #310=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#108) SHAPE_REPRESENTATION_RELATIONSHIP() ); #311=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#109) SHAPE_REPRESENTATION_RELATIONSHIP() ); #312=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#110) SHAPE_REPRESENTATION_RELATIONSHIP() ); #313=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#111) SHAPE_REPRESENTATION_RELATIONSHIP() ); #314=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#112) SHAPE_REPRESENTATION_RELATIONSHIP() ); #315=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#113) SHAPE_REPRESENTATION_RELATIONSHIP() ); #316=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#114) SHAPE_REPRESENTATION_RELATIONSHIP() ); #317=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#115) SHAPE_REPRESENTATION_RELATIONSHIP() ); #318=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#116) SHAPE_REPRESENTATION_RELATIONSHIP() ); #319=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#117) SHAPE_REPRESENTATION_RELATIONSHIP() ); #320=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#118) SHAPE_REPRESENTATION_RELATIONSHIP() ); #321=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#119) SHAPE_REPRESENTATION_RELATIONSHIP() ); #322=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#120) SHAPE_REPRESENTATION_RELATIONSHIP() ); #323=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#121) SHAPE_REPRESENTATION_RELATIONSHIP() ); #324=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#122) SHAPE_REPRESENTATION_RELATIONSHIP() ); #325=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#123) SHAPE_REPRESENTATION_RELATIONSHIP() ); #326=( REPRESENTATION_RELATIONSHIP($,$,#50795,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#124) SHAPE_REPRESENTATION_RELATIONSHIP() ); #327=( REPRESENTATION_RELATIONSHIP($,$,#50796,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#125) SHAPE_REPRESENTATION_RELATIONSHIP() ); #328=( REPRESENTATION_RELATIONSHIP($,$,#50797,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#126) SHAPE_REPRESENTATION_RELATIONSHIP() ); #329=( REPRESENTATION_RELATIONSHIP($,$,#50797,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#127) SHAPE_REPRESENTATION_RELATIONSHIP() ); #330=( REPRESENTATION_RELATIONSHIP($,$,#50797,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#128) SHAPE_REPRESENTATION_RELATIONSHIP() ); #331=( REPRESENTATION_RELATIONSHIP($,$,#50797,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#129) SHAPE_REPRESENTATION_RELATIONSHIP() ); #332=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#130) SHAPE_REPRESENTATION_RELATIONSHIP() ); #333=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#131) SHAPE_REPRESENTATION_RELATIONSHIP() ); #334=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#132) SHAPE_REPRESENTATION_RELATIONSHIP() ); #335=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#133) SHAPE_REPRESENTATION_RELATIONSHIP() ); #336=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#134) SHAPE_REPRESENTATION_RELATIONSHIP() ); #337=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#135) SHAPE_REPRESENTATION_RELATIONSHIP() ); #338=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#136) SHAPE_REPRESENTATION_RELATIONSHIP() ); #339=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#137) SHAPE_REPRESENTATION_RELATIONSHIP() ); #340=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#138) SHAPE_REPRESENTATION_RELATIONSHIP() ); #341=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#139) SHAPE_REPRESENTATION_RELATIONSHIP() ); #342=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#140) SHAPE_REPRESENTATION_RELATIONSHIP() ); #343=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#141) SHAPE_REPRESENTATION_RELATIONSHIP() ); #344=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#142) SHAPE_REPRESENTATION_RELATIONSHIP() ); #345=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#143) SHAPE_REPRESENTATION_RELATIONSHIP() ); #346=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#144) SHAPE_REPRESENTATION_RELATIONSHIP() ); #347=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#145) SHAPE_REPRESENTATION_RELATIONSHIP() ); #348=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#146) SHAPE_REPRESENTATION_RELATIONSHIP() ); #349=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#147) SHAPE_REPRESENTATION_RELATIONSHIP() ); #350=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#148) SHAPE_REPRESENTATION_RELATIONSHIP() ); #351=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#149) SHAPE_REPRESENTATION_RELATIONSHIP() ); #352=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#150) SHAPE_REPRESENTATION_RELATIONSHIP() ); #353=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#151) SHAPE_REPRESENTATION_RELATIONSHIP() ); #354=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#152) SHAPE_REPRESENTATION_RELATIONSHIP() ); #355=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#153) SHAPE_REPRESENTATION_RELATIONSHIP() ); #356=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#154) SHAPE_REPRESENTATION_RELATIONSHIP() ); #357=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#155) SHAPE_REPRESENTATION_RELATIONSHIP() ); #358=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#156) SHAPE_REPRESENTATION_RELATIONSHIP() ); #359=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#157) SHAPE_REPRESENTATION_RELATIONSHIP() ); #360=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#158) SHAPE_REPRESENTATION_RELATIONSHIP() ); #361=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#159) SHAPE_REPRESENTATION_RELATIONSHIP() ); #362=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#160) SHAPE_REPRESENTATION_RELATIONSHIP() ); #363=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#161) SHAPE_REPRESENTATION_RELATIONSHIP() ); #364=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#162) SHAPE_REPRESENTATION_RELATIONSHIP() ); #365=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#163) SHAPE_REPRESENTATION_RELATIONSHIP() ); #366=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#164) SHAPE_REPRESENTATION_RELATIONSHIP() ); #367=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#165) SHAPE_REPRESENTATION_RELATIONSHIP() ); #368=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#166) SHAPE_REPRESENTATION_RELATIONSHIP() ); #369=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#167) SHAPE_REPRESENTATION_RELATIONSHIP() ); #370=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#168) SHAPE_REPRESENTATION_RELATIONSHIP() ); #371=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#169) SHAPE_REPRESENTATION_RELATIONSHIP() ); #372=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#170) SHAPE_REPRESENTATION_RELATIONSHIP() ); #373=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#171) SHAPE_REPRESENTATION_RELATIONSHIP() ); #374=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#172) SHAPE_REPRESENTATION_RELATIONSHIP() ); #375=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#173) SHAPE_REPRESENTATION_RELATIONSHIP() ); #376=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#174) SHAPE_REPRESENTATION_RELATIONSHIP() ); #377=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#175) SHAPE_REPRESENTATION_RELATIONSHIP() ); #378=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#176) SHAPE_REPRESENTATION_RELATIONSHIP() ); #379=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#177) SHAPE_REPRESENTATION_RELATIONSHIP() ); #380=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#178) SHAPE_REPRESENTATION_RELATIONSHIP() ); #381=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#179) SHAPE_REPRESENTATION_RELATIONSHIP() ); #382=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#180) SHAPE_REPRESENTATION_RELATIONSHIP() ); #383=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#181) SHAPE_REPRESENTATION_RELATIONSHIP() ); #384=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#182) SHAPE_REPRESENTATION_RELATIONSHIP() ); #385=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#183) SHAPE_REPRESENTATION_RELATIONSHIP() ); #386=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#184) SHAPE_REPRESENTATION_RELATIONSHIP() ); #387=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#185) SHAPE_REPRESENTATION_RELATIONSHIP() ); #388=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#186) SHAPE_REPRESENTATION_RELATIONSHIP() ); #389=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#187) SHAPE_REPRESENTATION_RELATIONSHIP() ); #390=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#188) SHAPE_REPRESENTATION_RELATIONSHIP() ); #391=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#189) SHAPE_REPRESENTATION_RELATIONSHIP() ); #392=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#190) SHAPE_REPRESENTATION_RELATIONSHIP() ); #393=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#191) SHAPE_REPRESENTATION_RELATIONSHIP() ); #394=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#192) SHAPE_REPRESENTATION_RELATIONSHIP() ); #395=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#193) SHAPE_REPRESENTATION_RELATIONSHIP() ); #396=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#194) SHAPE_REPRESENTATION_RELATIONSHIP() ); #397=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#195) SHAPE_REPRESENTATION_RELATIONSHIP() ); #398=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#196) SHAPE_REPRESENTATION_RELATIONSHIP() ); #399=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#197) SHAPE_REPRESENTATION_RELATIONSHIP() ); #400=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#198) SHAPE_REPRESENTATION_RELATIONSHIP() ); #401=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#199) SHAPE_REPRESENTATION_RELATIONSHIP() ); #402=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#200) SHAPE_REPRESENTATION_RELATIONSHIP() ); #403=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#201) SHAPE_REPRESENTATION_RELATIONSHIP() ); #404=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#202) SHAPE_REPRESENTATION_RELATIONSHIP() ); #405=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#203) SHAPE_REPRESENTATION_RELATIONSHIP() ); #406=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#204) SHAPE_REPRESENTATION_RELATIONSHIP() ); #407=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#205) SHAPE_REPRESENTATION_RELATIONSHIP() ); #408=( REPRESENTATION_RELATIONSHIP($,$,#50798,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#206) SHAPE_REPRESENTATION_RELATIONSHIP() ); #409=( REPRESENTATION_RELATIONSHIP($,$,#50799,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#207) SHAPE_REPRESENTATION_RELATIONSHIP() ); #410=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#208) SHAPE_REPRESENTATION_RELATIONSHIP() ); #411=( REPRESENTATION_RELATIONSHIP($,$,#50800,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#209) SHAPE_REPRESENTATION_RELATIONSHIP() ); #412=( REPRESENTATION_RELATIONSHIP($,$,#50801,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#210) SHAPE_REPRESENTATION_RELATIONSHIP() ); #413=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#211) SHAPE_REPRESENTATION_RELATIONSHIP() ); #414=( REPRESENTATION_RELATIONSHIP($,$,#50802,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#212) SHAPE_REPRESENTATION_RELATIONSHIP() ); #415=( REPRESENTATION_RELATIONSHIP($,$,#50803,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#213) SHAPE_REPRESENTATION_RELATIONSHIP() ); #416=( REPRESENTATION_RELATIONSHIP($,$,#50804,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#214) SHAPE_REPRESENTATION_RELATIONSHIP() ); #417=( REPRESENTATION_RELATIONSHIP($,$,#50805,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#215) SHAPE_REPRESENTATION_RELATIONSHIP() ); #418=( REPRESENTATION_RELATIONSHIP($,$,#50806,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#216) SHAPE_REPRESENTATION_RELATIONSHIP() ); #419=( REPRESENTATION_RELATIONSHIP($,$,#50807,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#217) SHAPE_REPRESENTATION_RELATIONSHIP() ); #420=( REPRESENTATION_RELATIONSHIP($,$,#50808,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#218) SHAPE_REPRESENTATION_RELATIONSHIP() ); #421=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#219) SHAPE_REPRESENTATION_RELATIONSHIP() ); #422=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#220) SHAPE_REPRESENTATION_RELATIONSHIP() ); #423=( REPRESENTATION_RELATIONSHIP($,$,#50809,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#221) SHAPE_REPRESENTATION_RELATIONSHIP() ); #424=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#222) SHAPE_REPRESENTATION_RELATIONSHIP() ); #425=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#223) SHAPE_REPRESENTATION_RELATIONSHIP() ); #426=( REPRESENTATION_RELATIONSHIP($,$,#50810,#50794) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#224) SHAPE_REPRESENTATION_RELATIONSHIP() ); #427=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#225,#50592); #428=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#226,#50593); #429=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#227,#50594); #430=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#228,#50595); #431=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#229,#50596); #432=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#230,#50597); #433=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#231,#50598); #434=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#232,#50599); #435=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#233,#50600); #436=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#234,#50601); #437=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#235,#50602); #438=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#236,#50603); #439=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#237,#50604); #440=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#238,#50605); #441=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#239,#50606); #442=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#240,#50607); #443=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#241,#50608); #444=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#242,#50609); #445=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#243,#50610); #446=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#244,#50611); #447=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#245,#50612); #448=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#246,#50613); #449=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#247,#50614); #450=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#248,#50615); #451=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#249,#50616); #452=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#250,#50617); #453=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#251,#50618); #454=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#252,#50619); #455=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#253,#50620); #456=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#254,#50621); #457=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#255,#50622); #458=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#256,#50623); #459=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#257,#50624); #460=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#258,#50625); #461=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#259,#50626); #462=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#260,#50627); #463=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#261,#50628); #464=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#262,#50629); #465=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#263,#50630); #466=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#264,#50631); #467=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#265,#50632); #468=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#266,#50633); #469=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#267,#50634); #470=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#268,#50635); #471=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#269,#50636); #472=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#270,#50637); #473=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#271,#50638); #474=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#272,#50639); #475=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#273,#50640); #476=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#274,#50641); #477=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#275,#50642); #478=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#276,#50643); #479=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#277,#50644); #480=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#278,#50645); #481=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#279,#50646); #482=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#280,#50647); #483=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#281,#50648); #484=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#282,#50649); #485=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#283,#50650); #486=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#284,#50651); #487=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#285,#50652); #488=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#286,#50653); #489=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#287,#50654); #490=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#288,#50655); #491=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#289,#50656); #492=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#290,#50657); #493=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#291,#50658); #494=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#292,#50659); #495=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#293,#50660); #496=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#294,#50661); #497=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#295,#50662); #498=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#296,#50663); #499=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#297,#50664); #500=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#298,#50665); #501=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#299,#50666); #502=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#300,#50667); #503=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#301,#50668); #504=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#302,#50669); #505=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#303,#50670); #506=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#304,#50671); #507=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#305,#50672); #508=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#306,#50673); #509=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#307,#50674); #510=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#308,#50675); #511=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#309,#50676); #512=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#310,#50677); #513=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#311,#50678); #514=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#312,#50679); #515=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#313,#50680); #516=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#314,#50681); #517=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#315,#50682); #518=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#316,#50683); #519=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#317,#50684); #520=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#318,#50685); #521=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#319,#50686); #522=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#320,#50687); #523=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#321,#50688); #524=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#322,#50689); #525=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#323,#50690); #526=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#324,#50691); #527=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#325,#50692); #528=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#326,#50693); #529=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#327,#50694); #530=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#328,#50695); #531=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#329,#50696); #532=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#330,#50697); #533=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#331,#50698); #534=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#332,#50699); #535=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#333,#50700); #536=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#334,#50701); #537=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#335,#50702); #538=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#336,#50703); #539=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#337,#50704); #540=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#338,#50705); #541=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#339,#50706); #542=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#340,#50707); #543=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#341,#50708); #544=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#342,#50709); #545=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#343,#50710); #546=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#344,#50711); #547=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#345,#50712); #548=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#346,#50713); #549=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#347,#50714); #550=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#348,#50715); #551=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#349,#50716); #552=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#350,#50717); #553=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#351,#50718); #554=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#352,#50719); #555=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#353,#50720); #556=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#354,#50721); #557=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#355,#50722); #558=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#356,#50723); #559=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#357,#50724); #560=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#358,#50725); #561=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#359,#50726); #562=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#360,#50727); #563=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#361,#50728); #564=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#362,#50729); #565=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#363,#50730); #566=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#364,#50731); #567=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#365,#50732); #568=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#366,#50733); #569=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#367,#50734); #570=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#368,#50735); #571=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#369,#50736); #572=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#370,#50737); #573=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#371,#50738); #574=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#372,#50739); #575=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#373,#50740); #576=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#374,#50741); #577=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#375,#50742); #578=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#376,#50743); #579=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#377,#50744); #580=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#378,#50745); #581=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#379,#50746); #582=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#380,#50747); #583=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#381,#50748); #584=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#382,#50749); #585=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#383,#50750); #586=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#384,#50751); #587=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#385,#50752); #588=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#386,#50753); #589=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#387,#50754); #590=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#388,#50755); #591=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#389,#50756); #592=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#390,#50757); #593=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#391,#50758); #594=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#392,#50759); #595=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#393,#50760); #596=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#394,#50761); #597=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#395,#50762); #598=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#396,#50763); #599=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#397,#50764); #600=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#398,#50765); #601=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#399,#50766); #602=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#400,#50767); #603=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#401,#50768); #604=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#402,#50769); #605=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#403,#50770); #606=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#404,#50771); #607=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#405,#50772); #608=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#406,#50773); #609=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#407,#50774); #610=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#408,#50775); #611=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#409,#50776); #612=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#410,#50777); #613=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#411,#50778); #614=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#412,#50779); #615=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#413,#50780); #616=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#414,#50781); #617=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#415,#50782); #618=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#416,#50783); #619=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#417,#50784); #620=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#418,#50785); #621=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#419,#50786); #622=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#420,#50787); #623=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#421,#50788); #624=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#422,#50789); #625=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#423,#50790); #626=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#424,#50791); #627=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#425,#50792); #628=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#426,#50793); #629=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-06:1','SP-10-01-06:1', 'SP-10-01-06:1',#50812,#50813,'SP-10-01-06:1'); #630=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-05:1','SP-10-01-05:1', 'SP-10-01-05:1',#50812,#50814,'SP-10-01-05:1'); #631=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-07:1','SP-10-01-07:1', 'SP-10-01-07:1',#50812,#50815,'SP-10-01-07:1'); #632=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-07:2','SP-10-01-07:2', 'SP-10-01-07:2',#50812,#50815,'SP-10-01-07:2'); #633=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-07:3','SP-10-01-07:3', 'SP-10-01-07:3',#50812,#50815,'SP-10-01-07:3'); #634=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-07:4','SP-10-01-07:4', 'SP-10-01-07:4',#50812,#50815,'SP-10-01-07:4'); #635=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:1', 'Aluminum Front Window:1','Aluminum Front Window:1',#50812,#50816, 'Aluminum Front Window:1'); #636=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:1','SP-10-01-09:1', 'SP-10-01-09:1',#50812,#50817,'SP-10-01-09:1'); #637=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:5','SP-10-01-18:5', 'SP-10-01-18:5',#50812,#50818,'SP-10-01-18:5'); #638=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:1','SP-10-01-18:1', 'SP-10-01-18:1',#50812,#50818,'SP-10-01-18:1'); #639=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:1','SP-10-01-19:1', 'SP-10-01-19:1',#50812,#50819,'SP-10-01-19:1'); #640=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:1','SP-10-01-08:1', 'SP-10-01-08:1',#50812,#50820,'SP-10-01-08:1'); #641=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:2','SP-10-01-08:2', 'SP-10-01-08:2',#50812,#50820,'SP-10-01-08:2'); #642=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:1','SP-10-01-03:1', 'SP-10-01-03:1',#50812,#50821,'SP-10-01-03:1'); #643=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:1','SP-10-01-01:1', 'SP-10-01-01:1',#50812,#50822,'SP-10-01-01:1'); #644=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:1','SP-10-01-02:1', 'SP-10-01-02:1',#50812,#50823,'SP-10-01-02:1'); #645=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:1','SP-10-01-16:1', 'SP-10-01-16:1',#50812,#50824,'SP-10-01-16:1'); #646=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:1','SP-10-01-14:1', 'SP-10-01-14:1',#50812,#50825,'SP-10-01-14:1'); #647=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:1','SP-10-02-01:1', 'SP-10-02-01:1',#50812,#50826,'SP-10-02-01:1'); #648=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:1','SP-10-01-13:1', 'SP-10-01-13:1',#50812,#50827,'SP-10-01-13:1'); #649=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:2','SP-10-01-13:2', 'SP-10-01-13:2',#50812,#50827,'SP-10-01-13:2'); #650=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:3','SP-10-01-13:3', 'SP-10-01-13:3',#50812,#50827,'SP-10-01-13:3'); #651=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:1','SP-10-01-12:1', 'SP-10-01-12:1',#50812,#50828,'SP-10-01-12:1'); #652=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:2','SP-10-01-12:2', 'SP-10-01-12:2',#50812,#50828,'SP-10-01-12:2'); #653=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:3','SP-10-01-12:3', 'SP-10-01-12:3',#50812,#50828,'SP-10-01-12:3'); #654=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:2', 'Aluminum Front Window:2','Aluminum Front Window:2',#50812,#50816, 'Aluminum Front Window:2'); #655=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:2','SP-10-01-09:2', 'SP-10-01-09:2',#50812,#50817,'SP-10-01-09:2'); #656=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:6','SP-10-01-18:6', 'SP-10-01-18:6',#50812,#50818,'SP-10-01-18:6'); #657=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:7','SP-10-01-18:7', 'SP-10-01-18:7',#50812,#50818,'SP-10-01-18:7'); #658=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:2','SP-10-01-19:2', 'SP-10-01-19:2',#50812,#50819,'SP-10-01-19:2'); #659=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:3','SP-10-01-08:3', 'SP-10-01-08:3',#50812,#50820,'SP-10-01-08:3'); #660=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:4','SP-10-01-08:4', 'SP-10-01-08:4',#50812,#50820,'SP-10-01-08:4'); #661=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:2','SP-10-01-03:2', 'SP-10-01-03:2',#50812,#50821,'SP-10-01-03:2'); #662=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:2','SP-10-01-01:2', 'SP-10-01-01:2',#50812,#50822,'SP-10-01-01:2'); #663=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:2','SP-10-01-02:2', 'SP-10-01-02:2',#50812,#50823,'SP-10-01-02:2'); #664=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:2','SP-10-01-16:2', 'SP-10-01-16:2',#50812,#50824,'SP-10-01-16:2'); #665=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:2','SP-10-01-14:2', 'SP-10-01-14:2',#50812,#50825,'SP-10-01-14:2'); #666=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:2','SP-10-02-01:2', 'SP-10-02-01:2',#50812,#50826,'SP-10-02-01:2'); #667=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:4','SP-10-01-13:4', 'SP-10-01-13:4',#50812,#50827,'SP-10-01-13:4'); #668=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:5','SP-10-01-13:5', 'SP-10-01-13:5',#50812,#50827,'SP-10-01-13:5'); #669=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:6','SP-10-01-13:6', 'SP-10-01-13:6',#50812,#50827,'SP-10-01-13:6'); #670=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:4','SP-10-01-12:4', 'SP-10-01-12:4',#50812,#50828,'SP-10-01-12:4'); #671=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:5','SP-10-01-12:5', 'SP-10-01-12:5',#50812,#50828,'SP-10-01-12:5'); #672=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:6','SP-10-01-12:6', 'SP-10-01-12:6',#50812,#50828,'SP-10-01-12:6'); #673=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:3', 'Aluminum Front Window:3','Aluminum Front Window:3',#50812,#50816, 'Aluminum Front Window:3'); #674=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:3','SP-10-01-09:3', 'SP-10-01-09:3',#50812,#50817,'SP-10-01-09:3'); #675=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:8','SP-10-01-18:8', 'SP-10-01-18:8',#50812,#50818,'SP-10-01-18:8'); #676=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:9','SP-10-01-18:9', 'SP-10-01-18:9',#50812,#50818,'SP-10-01-18:9'); #677=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:3','SP-10-01-19:3', 'SP-10-01-19:3',#50812,#50819,'SP-10-01-19:3'); #678=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:5','SP-10-01-08:5', 'SP-10-01-08:5',#50812,#50820,'SP-10-01-08:5'); #679=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:6','SP-10-01-08:6', 'SP-10-01-08:6',#50812,#50820,'SP-10-01-08:6'); #680=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:3','SP-10-01-03:3', 'SP-10-01-03:3',#50812,#50821,'SP-10-01-03:3'); #681=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:3','SP-10-01-01:3', 'SP-10-01-01:3',#50812,#50822,'SP-10-01-01:3'); #682=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:3','SP-10-01-02:3', 'SP-10-01-02:3',#50812,#50823,'SP-10-01-02:3'); #683=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:3','SP-10-01-16:3', 'SP-10-01-16:3',#50812,#50824,'SP-10-01-16:3'); #684=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:3','SP-10-01-14:3', 'SP-10-01-14:3',#50812,#50825,'SP-10-01-14:3'); #685=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:3','SP-10-02-01:3', 'SP-10-02-01:3',#50812,#50826,'SP-10-02-01:3'); #686=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:7','SP-10-01-13:7', 'SP-10-01-13:7',#50812,#50827,'SP-10-01-13:7'); #687=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:8','SP-10-01-13:8', 'SP-10-01-13:8',#50812,#50827,'SP-10-01-13:8'); #688=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:9','SP-10-01-13:9', 'SP-10-01-13:9',#50812,#50827,'SP-10-01-13:9'); #689=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:7','SP-10-01-12:7', 'SP-10-01-12:7',#50812,#50828,'SP-10-01-12:7'); #690=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:8','SP-10-01-12:8', 'SP-10-01-12:8',#50812,#50828,'SP-10-01-12:8'); #691=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:9','SP-10-01-12:9', 'SP-10-01-12:9',#50812,#50828,'SP-10-01-12:9'); #692=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:4', 'Aluminum Front Window:4','Aluminum Front Window:4',#50812,#50816, 'Aluminum Front Window:4'); #693=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:4','SP-10-01-09:4', 'SP-10-01-09:4',#50812,#50817,'SP-10-01-09:4'); #694=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:10','SP-10-01-18:10', 'SP-10-01-18:10',#50812,#50818,'SP-10-01-18:10'); #695=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:11','SP-10-01-18:11', 'SP-10-01-18:11',#50812,#50818,'SP-10-01-18:11'); #696=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:4','SP-10-01-19:4', 'SP-10-01-19:4',#50812,#50819,'SP-10-01-19:4'); #697=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:7','SP-10-01-08:7', 'SP-10-01-08:7',#50812,#50820,'SP-10-01-08:7'); #698=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:8','SP-10-01-08:8', 'SP-10-01-08:8',#50812,#50820,'SP-10-01-08:8'); #699=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:4','SP-10-01-03:4', 'SP-10-01-03:4',#50812,#50821,'SP-10-01-03:4'); #700=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:4','SP-10-01-01:4', 'SP-10-01-01:4',#50812,#50822,'SP-10-01-01:4'); #701=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:4','SP-10-01-02:4', 'SP-10-01-02:4',#50812,#50823,'SP-10-01-02:4'); #702=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:4','SP-10-01-16:4', 'SP-10-01-16:4',#50812,#50824,'SP-10-01-16:4'); #703=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:4','SP-10-01-14:4', 'SP-10-01-14:4',#50812,#50825,'SP-10-01-14:4'); #704=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:4','SP-10-02-01:4', 'SP-10-02-01:4',#50812,#50826,'SP-10-02-01:4'); #705=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:10','SP-10-01-13:10', 'SP-10-01-13:10',#50812,#50827,'SP-10-01-13:10'); #706=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:11','SP-10-01-13:11', 'SP-10-01-13:11',#50812,#50827,'SP-10-01-13:11'); #707=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:12','SP-10-01-13:12', 'SP-10-01-13:12',#50812,#50827,'SP-10-01-13:12'); #708=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:10','SP-10-01-12:10', 'SP-10-01-12:10',#50812,#50828,'SP-10-01-12:10'); #709=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:11','SP-10-01-12:11', 'SP-10-01-12:11',#50812,#50828,'SP-10-01-12:11'); #710=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:12','SP-10-01-12:12', 'SP-10-01-12:12',#50812,#50828,'SP-10-01-12:12'); #711=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:5', 'Aluminum Front Window:5','Aluminum Front Window:5',#50812,#50816, 'Aluminum Front Window:5'); #712=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:5','SP-10-01-09:5', 'SP-10-01-09:5',#50812,#50817,'SP-10-01-09:5'); #713=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:12','SP-10-01-18:12', 'SP-10-01-18:12',#50812,#50818,'SP-10-01-18:12'); #714=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:13','SP-10-01-18:13', 'SP-10-01-18:13',#50812,#50818,'SP-10-01-18:13'); #715=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:5','SP-10-01-19:5', 'SP-10-01-19:5',#50812,#50819,'SP-10-01-19:5'); #716=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:9','SP-10-01-08:9', 'SP-10-01-08:9',#50812,#50820,'SP-10-01-08:9'); #717=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:10','SP-10-01-08:10', 'SP-10-01-08:10',#50812,#50820,'SP-10-01-08:10'); #718=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:5','SP-10-01-03:5', 'SP-10-01-03:5',#50812,#50821,'SP-10-01-03:5'); #719=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:5','SP-10-01-01:5', 'SP-10-01-01:5',#50812,#50822,'SP-10-01-01:5'); #720=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:5','SP-10-01-02:5', 'SP-10-01-02:5',#50812,#50823,'SP-10-01-02:5'); #721=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:5','SP-10-01-16:5', 'SP-10-01-16:5',#50812,#50824,'SP-10-01-16:5'); #722=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:5','SP-10-01-14:5', 'SP-10-01-14:5',#50812,#50825,'SP-10-01-14:5'); #723=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:5','SP-10-02-01:5', 'SP-10-02-01:5',#50812,#50826,'SP-10-02-01:5'); #724=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:13','SP-10-01-13:13', 'SP-10-01-13:13',#50812,#50827,'SP-10-01-13:13'); #725=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:14','SP-10-01-13:14', 'SP-10-01-13:14',#50812,#50827,'SP-10-01-13:14'); #726=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:15','SP-10-01-13:15', 'SP-10-01-13:15',#50812,#50827,'SP-10-01-13:15'); #727=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:13','SP-10-01-12:13', 'SP-10-01-12:13',#50812,#50828,'SP-10-01-12:13'); #728=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:14','SP-10-01-12:14', 'SP-10-01-12:14',#50812,#50828,'SP-10-01-12:14'); #729=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:15','SP-10-01-12:15', 'SP-10-01-12:15',#50812,#50828,'SP-10-01-12:15'); #730=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-06:2','SP-10-01-06:2', 'SP-10-01-06:2',#50812,#50813,'SP-10-01-06:2'); #731=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-05:2','SP-10-01-05:2', 'SP-10-01-05:2',#50812,#50814,'SP-10-01-05:2'); #732=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-07:5','SP-10-01-07:5', 'SP-10-01-07:5',#50812,#50815,'SP-10-01-07:5'); #733=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-07:6','SP-10-01-07:6', 'SP-10-01-07:6',#50812,#50815,'SP-10-01-07:6'); #734=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-07:7','SP-10-01-07:7', 'SP-10-01-07:7',#50812,#50815,'SP-10-01-07:7'); #735=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-07:8','SP-10-01-07:8', 'SP-10-01-07:8',#50812,#50815,'SP-10-01-07:8'); #736=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:6', 'Aluminum Front Window:6','Aluminum Front Window:6',#50812,#50816, 'Aluminum Front Window:6'); #737=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:6','SP-10-01-09:6', 'SP-10-01-09:6',#50812,#50817,'SP-10-01-09:6'); #738=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:14','SP-10-01-18:14', 'SP-10-01-18:14',#50812,#50818,'SP-10-01-18:14'); #739=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:15','SP-10-01-18:15', 'SP-10-01-18:15',#50812,#50818,'SP-10-01-18:15'); #740=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:6','SP-10-01-19:6', 'SP-10-01-19:6',#50812,#50819,'SP-10-01-19:6'); #741=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:11','SP-10-01-08:11', 'SP-10-01-08:11',#50812,#50820,'SP-10-01-08:11'); #742=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:12','SP-10-01-08:12', 'SP-10-01-08:12',#50812,#50820,'SP-10-01-08:12'); #743=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:6','SP-10-01-03:6', 'SP-10-01-03:6',#50812,#50821,'SP-10-01-03:6'); #744=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:6','SP-10-01-01:6', 'SP-10-01-01:6',#50812,#50822,'SP-10-01-01:6'); #745=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:6','SP-10-01-02:6', 'SP-10-01-02:6',#50812,#50823,'SP-10-01-02:6'); #746=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:6','SP-10-01-16:6', 'SP-10-01-16:6',#50812,#50824,'SP-10-01-16:6'); #747=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:6','SP-10-01-14:6', 'SP-10-01-14:6',#50812,#50825,'SP-10-01-14:6'); #748=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:6','SP-10-02-01:6', 'SP-10-02-01:6',#50812,#50826,'SP-10-02-01:6'); #749=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:16','SP-10-01-13:16', 'SP-10-01-13:16',#50812,#50827,'SP-10-01-13:16'); #750=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:17','SP-10-01-13:17', 'SP-10-01-13:17',#50812,#50827,'SP-10-01-13:17'); #751=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:18','SP-10-01-13:18', 'SP-10-01-13:18',#50812,#50827,'SP-10-01-13:18'); #752=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:16','SP-10-01-12:16', 'SP-10-01-12:16',#50812,#50828,'SP-10-01-12:16'); #753=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:17','SP-10-01-12:17', 'SP-10-01-12:17',#50812,#50828,'SP-10-01-12:17'); #754=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:18','SP-10-01-12:18', 'SP-10-01-12:18',#50812,#50828,'SP-10-01-12:18'); #755=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:7', 'Aluminum Front Window:7','Aluminum Front Window:7',#50812,#50816, 'Aluminum Front Window:7'); #756=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:7','SP-10-01-09:7', 'SP-10-01-09:7',#50812,#50817,'SP-10-01-09:7'); #757=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:16','SP-10-01-18:16', 'SP-10-01-18:16',#50812,#50818,'SP-10-01-18:16'); #758=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:17','SP-10-01-18:17', 'SP-10-01-18:17',#50812,#50818,'SP-10-01-18:17'); #759=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:7','SP-10-01-19:7', 'SP-10-01-19:7',#50812,#50819,'SP-10-01-19:7'); #760=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:13','SP-10-01-08:13', 'SP-10-01-08:13',#50812,#50820,'SP-10-01-08:13'); #761=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:14','SP-10-01-08:14', 'SP-10-01-08:14',#50812,#50820,'SP-10-01-08:14'); #762=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:7','SP-10-01-03:7', 'SP-10-01-03:7',#50812,#50821,'SP-10-01-03:7'); #763=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:7','SP-10-01-01:7', 'SP-10-01-01:7',#50812,#50822,'SP-10-01-01:7'); #764=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:7','SP-10-01-02:7', 'SP-10-01-02:7',#50812,#50823,'SP-10-01-02:7'); #765=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:7','SP-10-01-16:7', 'SP-10-01-16:7',#50812,#50824,'SP-10-01-16:7'); #766=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:7','SP-10-01-14:7', 'SP-10-01-14:7',#50812,#50825,'SP-10-01-14:7'); #767=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:7','SP-10-02-01:7', 'SP-10-02-01:7',#50812,#50826,'SP-10-02-01:7'); #768=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:19','SP-10-01-13:19', 'SP-10-01-13:19',#50812,#50827,'SP-10-01-13:19'); #769=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:20','SP-10-01-13:20', 'SP-10-01-13:20',#50812,#50827,'SP-10-01-13:20'); #770=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:21','SP-10-01-13:21', 'SP-10-01-13:21',#50812,#50827,'SP-10-01-13:21'); #771=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:19','SP-10-01-12:19', 'SP-10-01-12:19',#50812,#50828,'SP-10-01-12:19'); #772=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:20','SP-10-01-12:20', 'SP-10-01-12:20',#50812,#50828,'SP-10-01-12:20'); #773=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:21','SP-10-01-12:21', 'SP-10-01-12:21',#50812,#50828,'SP-10-01-12:21'); #774=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:8', 'Aluminum Front Window:8','Aluminum Front Window:8',#50812,#50816, 'Aluminum Front Window:8'); #775=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:8','SP-10-01-09:8', 'SP-10-01-09:8',#50812,#50817,'SP-10-01-09:8'); #776=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:18','SP-10-01-18:18', 'SP-10-01-18:18',#50812,#50818,'SP-10-01-18:18'); #777=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:19','SP-10-01-18:19', 'SP-10-01-18:19',#50812,#50818,'SP-10-01-18:19'); #778=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:8','SP-10-01-19:8', 'SP-10-01-19:8',#50812,#50819,'SP-10-01-19:8'); #779=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:15','SP-10-01-08:15', 'SP-10-01-08:15',#50812,#50820,'SP-10-01-08:15'); #780=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:16','SP-10-01-08:16', 'SP-10-01-08:16',#50812,#50820,'SP-10-01-08:16'); #781=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:8','SP-10-01-03:8', 'SP-10-01-03:8',#50812,#50821,'SP-10-01-03:8'); #782=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:8','SP-10-01-01:8', 'SP-10-01-01:8',#50812,#50822,'SP-10-01-01:8'); #783=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:8','SP-10-01-02:8', 'SP-10-01-02:8',#50812,#50823,'SP-10-01-02:8'); #784=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:8','SP-10-01-16:8', 'SP-10-01-16:8',#50812,#50824,'SP-10-01-16:8'); #785=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:8','SP-10-01-14:8', 'SP-10-01-14:8',#50812,#50825,'SP-10-01-14:8'); #786=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:8','SP-10-02-01:8', 'SP-10-02-01:8',#50812,#50826,'SP-10-02-01:8'); #787=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:22','SP-10-01-13:22', 'SP-10-01-13:22',#50812,#50827,'SP-10-01-13:22'); #788=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:23','SP-10-01-13:23', 'SP-10-01-13:23',#50812,#50827,'SP-10-01-13:23'); #789=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:24','SP-10-01-13:24', 'SP-10-01-13:24',#50812,#50827,'SP-10-01-13:24'); #790=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:22','SP-10-01-12:22', 'SP-10-01-12:22',#50812,#50828,'SP-10-01-12:22'); #791=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:23','SP-10-01-12:23', 'SP-10-01-12:23',#50812,#50828,'SP-10-01-12:23'); #792=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:24','SP-10-01-12:24', 'SP-10-01-12:24',#50812,#50828,'SP-10-01-12:24'); #793=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:9', 'Aluminum Front Window:9','Aluminum Front Window:9',#50812,#50816, 'Aluminum Front Window:9'); #794=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:9','SP-10-01-09:9', 'SP-10-01-09:9',#50812,#50817,'SP-10-01-09:9'); #795=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:20','SP-10-01-18:20', 'SP-10-01-18:20',#50812,#50818,'SP-10-01-18:20'); #796=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:21','SP-10-01-18:21', 'SP-10-01-18:21',#50812,#50818,'SP-10-01-18:21'); #797=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:9','SP-10-01-19:9', 'SP-10-01-19:9',#50812,#50819,'SP-10-01-19:9'); #798=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:17','SP-10-01-08:17', 'SP-10-01-08:17',#50812,#50820,'SP-10-01-08:17'); #799=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:18','SP-10-01-08:18', 'SP-10-01-08:18',#50812,#50820,'SP-10-01-08:18'); #800=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:9','SP-10-01-03:9', 'SP-10-01-03:9',#50812,#50821,'SP-10-01-03:9'); #801=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:9','SP-10-01-01:9', 'SP-10-01-01:9',#50812,#50822,'SP-10-01-01:9'); #802=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:9','SP-10-01-02:9', 'SP-10-01-02:9',#50812,#50823,'SP-10-01-02:9'); #803=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:9','SP-10-01-16:9', 'SP-10-01-16:9',#50812,#50824,'SP-10-01-16:9'); #804=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:9','SP-10-01-14:9', 'SP-10-01-14:9',#50812,#50825,'SP-10-01-14:9'); #805=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:9','SP-10-02-01:9', 'SP-10-02-01:9',#50812,#50826,'SP-10-02-01:9'); #806=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:25','SP-10-01-13:25', 'SP-10-01-13:25',#50812,#50827,'SP-10-01-13:25'); #807=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:26','SP-10-01-13:26', 'SP-10-01-13:26',#50812,#50827,'SP-10-01-13:26'); #808=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:27','SP-10-01-13:27', 'SP-10-01-13:27',#50812,#50827,'SP-10-01-13:27'); #809=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:25','SP-10-01-12:25', 'SP-10-01-12:25',#50812,#50828,'SP-10-01-12:25'); #810=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:26','SP-10-01-12:26', 'SP-10-01-12:26',#50812,#50828,'SP-10-01-12:26'); #811=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:27','SP-10-01-12:27', 'SP-10-01-12:27',#50812,#50828,'SP-10-01-12:27'); #812=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Aluminum Front Window:10', 'Aluminum Front Window:10','Aluminum Front Window:10',#50812,#50816, 'Aluminum Front Window:10'); #813=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-09:10','SP-10-01-09:10', 'SP-10-01-09:10',#50812,#50817,'SP-10-01-09:10'); #814=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:22','SP-10-01-18:22', 'SP-10-01-18:22',#50812,#50818,'SP-10-01-18:22'); #815=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-18:23','SP-10-01-18:23', 'SP-10-01-18:23',#50812,#50818,'SP-10-01-18:23'); #816=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-19:10','SP-10-01-19:10', 'SP-10-01-19:10',#50812,#50819,'SP-10-01-19:10'); #817=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:19','SP-10-01-08:19', 'SP-10-01-08:19',#50812,#50820,'SP-10-01-08:19'); #818=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-08:20','SP-10-01-08:20', 'SP-10-01-08:20',#50812,#50820,'SP-10-01-08:20'); #819=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-03:10','SP-10-01-03:10', 'SP-10-01-03:10',#50812,#50821,'SP-10-01-03:10'); #820=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-01:10','SP-10-01-01:10', 'SP-10-01-01:10',#50812,#50822,'SP-10-01-01:10'); #821=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-02:10','SP-10-01-02:10', 'SP-10-01-02:10',#50812,#50823,'SP-10-01-02:10'); #822=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-16:10','SP-10-01-16:10', 'SP-10-01-16:10',#50812,#50824,'SP-10-01-16:10'); #823=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-14:10','SP-10-01-14:10', 'SP-10-01-14:10',#50812,#50825,'SP-10-01-14:10'); #824=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-02-01:10','SP-10-02-01:10', 'SP-10-02-01:10',#50812,#50826,'SP-10-02-01:10'); #825=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:28','SP-10-01-13:28', 'SP-10-01-13:28',#50812,#50827,'SP-10-01-13:28'); #826=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:29','SP-10-01-13:29', 'SP-10-01-13:29',#50812,#50827,'SP-10-01-13:29'); #827=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-13:30','SP-10-01-13:30', 'SP-10-01-13:30',#50812,#50827,'SP-10-01-13:30'); #828=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:28','SP-10-01-12:28', 'SP-10-01-12:28',#50812,#50828,'SP-10-01-12:28'); #829=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:29','SP-10-01-12:29', 'SP-10-01-12:29',#50812,#50828,'SP-10-01-12:29'); #830=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SP-10-01-12:30','SP-10-01-12:30', 'SP-10-01-12:30',#50812,#50828,'SP-10-01-12:30'); #831=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#47282,#47283,#47284),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0242237400323051,0.308272806001549), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03620689146738,1.2318081131817,1.03620689146738)) REPRESENTATION_ITEM('') ); #832=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50795,#848); #833=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50796,#849); #834=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50797,#850); #835=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50798,#851); #836=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50799,#852); #837=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50800,#853); #838=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50801,#854); #839=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50802,#855); #840=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50803,#856); #841=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50804,#857); #842=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50805,#858); #843=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50806,#859); #844=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50807,#860); #845=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50808,#861); #846=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50809,#862); #847=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#50810,#863); #848=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#881),#50534); #849=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#882),#50535); #850=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#883),#50536); #851=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#884),#50537); #852=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#885),#50538); #853=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#886),#50539); #854=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#887),#50540); #855=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#888),#50541); #856=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#889),#50542); #857=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#890),#50543); #858=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#891),#50544); #859=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#892),#50545); #860=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#893),#50546); #861=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#894),#50547); #862=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#895),#50548); #863=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#896),#50549); #864=STYLED_ITEM('',(#50884),#881); #865=STYLED_ITEM('',(#50884),#882); #866=STYLED_ITEM('',(#50884),#883); #867=STYLED_ITEM('',(#50885),#884); #868=STYLED_ITEM('',(#50883),#885); #869=STYLED_ITEM('',(#50886),#886); #870=STYLED_ITEM('',(#50885),#887); #871=STYLED_ITEM('',(#50887),#888); #872=STYLED_ITEM('',(#50885),#889); #873=STYLED_ITEM('',(#50885),#890); #874=STYLED_ITEM('',(#50885),#891); #875=STYLED_ITEM('',(#50885),#892); #876=STYLED_ITEM('',(#50888),#893); #877=STYLED_ITEM('',(#50885),#894); #878=STYLED_ITEM('',(#50889),#895); #879=STYLED_ITEM('',(#50883),#896); #880=STYLED_ITEM('',(#50890),#21); #881=MANIFOLD_SOLID_BREP('Solid1',#28948); #882=MANIFOLD_SOLID_BREP('Solid1',#28949); #883=MANIFOLD_SOLID_BREP('Solid1',#28950); #884=MANIFOLD_SOLID_BREP('Solid1',#28951); #885=MANIFOLD_SOLID_BREP('Solid1',#28952); #886=MANIFOLD_SOLID_BREP('Solid1',#28953); #887=MANIFOLD_SOLID_BREP('Solid1',#28954); #888=MANIFOLD_SOLID_BREP('Solid1',#28955); #889=MANIFOLD_SOLID_BREP('Solid1',#28956); #890=MANIFOLD_SOLID_BREP('Solid1',#28957); #891=MANIFOLD_SOLID_BREP('Solid1',#28958); #892=MANIFOLD_SOLID_BREP('Solid1',#28959); #893=MANIFOLD_SOLID_BREP('Solid1',#28960); #894=MANIFOLD_SOLID_BREP('Solid1',#28961); #895=MANIFOLD_SOLID_BREP('Solid1',#28962); #896=MANIFOLD_SOLID_BREP('Solid2',#28963); #897=FACE_BOUND('',#4494,.T.); #898=FACE_BOUND('',#4559,.T.); #899=FACE_BOUND('',#4560,.T.); #900=FACE_BOUND('',#4561,.T.); #901=FACE_BOUND('',#4562,.T.); #902=FACE_BOUND('',#4563,.T.); #903=FACE_BOUND('',#4564,.T.); #904=FACE_BOUND('',#4565,.T.); #905=FACE_BOUND('',#4566,.T.); #906=FACE_BOUND('',#4567,.T.); #907=FACE_BOUND('',#4569,.T.); #908=FACE_BOUND('',#4570,.T.); #909=FACE_BOUND('',#4571,.T.); #910=FACE_BOUND('',#4572,.T.); #911=FACE_BOUND('',#4576,.T.); #912=FACE_BOUND('',#4577,.T.); #913=FACE_BOUND('',#4578,.T.); #914=FACE_BOUND('',#4579,.T.); #915=FACE_BOUND('',#4580,.T.); #916=FACE_BOUND('',#4581,.T.); #917=FACE_BOUND('',#4584,.T.); #918=FACE_BOUND('',#4585,.T.); #919=FACE_BOUND('',#4586,.T.); #920=FACE_BOUND('',#4587,.T.); #921=FACE_BOUND('',#4588,.T.); #922=FACE_BOUND('',#4589,.T.); #923=FACE_BOUND('',#4590,.T.); #924=FACE_BOUND('',#4591,.T.); #925=FACE_BOUND('',#4592,.T.); #926=FACE_BOUND('',#4594,.T.); #927=FACE_BOUND('',#4595,.T.); #928=FACE_BOUND('',#4596,.T.); #929=FACE_BOUND('',#4597,.T.); #930=FACE_BOUND('',#4598,.T.); #931=FACE_BOUND('',#4599,.T.); #932=FACE_BOUND('',#4600,.T.); #933=FACE_BOUND('',#4601,.T.); #934=FACE_BOUND('',#4602,.T.); #935=FACE_BOUND('',#4603,.T.); #936=FACE_BOUND('',#4604,.T.); #937=FACE_BOUND('',#4605,.T.); #938=FACE_BOUND('',#4606,.T.); #939=FACE_BOUND('',#4607,.T.); #940=FACE_BOUND('',#4608,.T.); #941=FACE_BOUND('',#4609,.T.); #942=FACE_BOUND('',#4611,.T.); #943=FACE_BOUND('',#4778,.T.); #944=FACE_BOUND('',#4779,.T.); #945=FACE_BOUND('',#4780,.T.); #946=FACE_BOUND('',#4781,.T.); #947=FACE_BOUND('',#4782,.T.); #948=FACE_BOUND('',#4783,.T.); #949=FACE_BOUND('',#4785,.T.); #950=FACE_BOUND('',#4786,.T.); #951=FACE_BOUND('',#4787,.T.); #952=FACE_BOUND('',#4788,.T.); #953=FACE_BOUND('',#4789,.T.); #954=FACE_BOUND('',#4790,.T.); #955=FACE_BOUND('',#4791,.T.); #956=FACE_BOUND('',#4792,.T.); #957=FACE_BOUND('',#4793,.T.); #958=FACE_BOUND('',#4794,.T.); #959=FACE_BOUND('',#4795,.T.); #960=FACE_BOUND('',#4796,.T.); #961=FACE_BOUND('',#4797,.T.); #962=FACE_BOUND('',#4798,.T.); #963=FACE_BOUND('',#4799,.T.); #964=FACE_BOUND('',#4800,.T.); #965=FACE_BOUND('',#4801,.T.); #966=FACE_BOUND('',#4802,.T.); #967=FACE_BOUND('',#4803,.T.); #968=FACE_BOUND('',#4804,.T.); #969=FACE_BOUND('',#4805,.T.); #970=FACE_BOUND('',#4806,.T.); #971=FACE_BOUND('',#4807,.T.); #972=FACE_BOUND('',#4808,.T.); #973=FACE_BOUND('',#4809,.T.); #974=FACE_BOUND('',#4810,.T.); #975=FACE_BOUND('',#4811,.T.); #976=FACE_BOUND('',#4812,.T.); #977=FACE_BOUND('',#4813,.T.); #978=FACE_BOUND('',#4814,.T.); #979=FACE_BOUND('',#4815,.T.); #980=FACE_BOUND('',#4816,.T.); #981=FACE_BOUND('',#4817,.T.); #982=FACE_BOUND('',#4818,.T.); #983=FACE_BOUND('',#4819,.T.); #984=FACE_BOUND('',#4820,.T.); #985=FACE_BOUND('',#4821,.T.); #986=FACE_BOUND('',#4822,.T.); #987=FACE_BOUND('',#4823,.T.); #988=FACE_BOUND('',#4824,.T.); #989=FACE_BOUND('',#4826,.T.); #990=FACE_BOUND('',#4827,.T.); #991=FACE_BOUND('',#4828,.T.); #992=FACE_BOUND('',#4829,.T.); #993=FACE_BOUND('',#4830,.T.); #994=FACE_BOUND('',#4831,.T.); #995=FACE_BOUND('',#4832,.T.); #996=FACE_BOUND('',#4833,.T.); #997=FACE_BOUND('',#4834,.T.); #998=FACE_BOUND('',#4838,.T.); #999=FACE_BOUND('',#4839,.T.); #1000=FACE_BOUND('',#4840,.T.); #1001=FACE_BOUND('',#4841,.T.); #1002=FACE_BOUND('',#4842,.T.); #1003=FACE_BOUND('',#4843,.T.); #1004=FACE_BOUND('',#4844,.T.); #1005=FACE_BOUND('',#4845,.T.); #1006=FACE_BOUND('',#4846,.T.); #1007=FACE_BOUND('',#4848,.T.); #1008=FACE_BOUND('',#4849,.T.); #1009=FACE_BOUND('',#4850,.T.); #1010=FACE_BOUND('',#4851,.T.); #1011=FACE_BOUND('',#4855,.T.); #1012=FACE_BOUND('',#4865,.T.); #1013=FACE_BOUND('',#4866,.T.); #1014=FACE_BOUND('',#4867,.T.); #1015=FACE_BOUND('',#4868,.T.); #1016=FACE_BOUND('',#4869,.T.); #1017=FACE_BOUND('',#4870,.T.); #1018=FACE_BOUND('',#4871,.T.); #1019=FACE_BOUND('',#4872,.T.); #1020=FACE_BOUND('',#4890,.T.); #1021=FACE_BOUND('',#5099,.T.); #1022=FACE_BOUND('',#5100,.T.); #1023=FACE_BOUND('',#5101,.T.); #1024=FACE_BOUND('',#5102,.T.); #1025=FACE_BOUND('',#5103,.T.); #1026=FACE_BOUND('',#5104,.T.); #1027=FACE_BOUND('',#5105,.T.); #1028=FACE_BOUND('',#5106,.T.); #1029=FACE_BOUND('',#5107,.T.); #1030=FACE_BOUND('',#5109,.T.); #1031=FACE_BOUND('',#5111,.T.); #1032=FACE_BOUND('',#5112,.T.); #1033=FACE_BOUND('',#5113,.T.); #1034=FACE_BOUND('',#5114,.T.); #1035=FACE_BOUND('',#5115,.T.); #1036=FACE_BOUND('',#5116,.T.); #1037=FACE_BOUND('',#5118,.T.); #1038=FACE_BOUND('',#5119,.T.); #1039=FACE_BOUND('',#5120,.T.); #1040=FACE_BOUND('',#5121,.T.); #1041=FACE_BOUND('',#5122,.T.); #1042=FACE_BOUND('',#5123,.T.); #1043=FACE_BOUND('',#5124,.T.); #1044=FACE_BOUND('',#5125,.T.); #1045=FACE_BOUND('',#5126,.T.); #1046=FACE_BOUND('',#5127,.T.); #1047=FACE_BOUND('',#5128,.T.); #1048=FACE_BOUND('',#5129,.T.); #1049=FACE_BOUND('',#5130,.T.); #1050=FACE_BOUND('',#5131,.T.); #1051=FACE_BOUND('',#5132,.T.); #1052=FACE_BOUND('',#5133,.T.); #1053=FACE_BOUND('',#5134,.T.); #1054=FACE_BOUND('',#5135,.T.); #1055=FACE_BOUND('',#5136,.T.); #1056=FACE_BOUND('',#5137,.T.); #1057=FACE_BOUND('',#5138,.T.); #1058=FACE_BOUND('',#5139,.T.); #1059=FACE_BOUND('',#5140,.T.); #1060=FACE_BOUND('',#5141,.T.); #1061=FACE_BOUND('',#5142,.T.); #1062=FACE_BOUND('',#5143,.T.); #1063=FACE_BOUND('',#5144,.T.); #1064=FACE_BOUND('',#5145,.T.); #1065=FACE_BOUND('',#5146,.T.); #1066=FACE_BOUND('',#5147,.T.); #1067=FACE_BOUND('',#5148,.T.); #1068=FACE_BOUND('',#5149,.T.); #1069=FACE_BOUND('',#5150,.T.); #1070=FACE_BOUND('',#5151,.T.); #1071=FACE_BOUND('',#5152,.T.); #1072=FACE_BOUND('',#5153,.T.); #1073=FACE_BOUND('',#5154,.T.); #1074=FACE_BOUND('',#5155,.T.); #1075=FACE_BOUND('',#5156,.T.); #1076=FACE_BOUND('',#5157,.T.); #1077=FACE_BOUND('',#5158,.T.); #1078=FACE_BOUND('',#5159,.T.); #1079=FACE_BOUND('',#5160,.T.); #1080=FACE_BOUND('',#5161,.T.); #1081=FACE_BOUND('',#5162,.T.); #1082=FACE_BOUND('',#5163,.T.); #1083=FACE_BOUND('',#5164,.T.); #1084=FACE_BOUND('',#5165,.T.); #1085=FACE_BOUND('',#5166,.T.); #1086=FACE_BOUND('',#5167,.T.); #1087=FACE_BOUND('',#5168,.T.); #1088=FACE_BOUND('',#5169,.T.); #1089=FACE_BOUND('',#5170,.T.); #1090=FACE_BOUND('',#5171,.T.); #1091=FACE_BOUND('',#5172,.T.); #1092=FACE_BOUND('',#5173,.T.); #1093=FACE_BOUND('',#5175,.T.); #1094=FACE_BOUND('',#5176,.T.); #1095=FACE_BOUND('',#5177,.T.); #1096=FACE_BOUND('',#5178,.T.); #1097=FACE_BOUND('',#5179,.T.); #1098=FACE_BOUND('',#5180,.T.); #1099=FACE_BOUND('',#5182,.T.); #1100=FACE_BOUND('',#5183,.T.); #1101=FACE_BOUND('',#5184,.T.); #1102=FACE_BOUND('',#5185,.T.); #1103=FACE_BOUND('',#5186,.T.); #1104=FACE_BOUND('',#5187,.T.); #1105=FACE_BOUND('',#5188,.T.); #1106=FACE_BOUND('',#5189,.T.); #1107=FACE_BOUND('',#5190,.T.); #1108=FACE_BOUND('',#5192,.T.); #1109=FACE_BOUND('',#5203,.T.); #1110=FACE_BOUND('',#5204,.T.); #1111=FACE_BOUND('',#5205,.T.); #1112=FACE_BOUND('',#5206,.T.); #1113=FACE_BOUND('',#5207,.T.); #1114=FACE_BOUND('',#5208,.T.); #1115=FACE_BOUND('',#5209,.T.); #1116=FACE_BOUND('',#5210,.T.); #1117=FACE_BOUND('',#5211,.T.); #1118=FACE_BOUND('',#5213,.T.); #1119=FACE_BOUND('',#5214,.T.); #1120=FACE_BOUND('',#5215,.T.); #1121=FACE_BOUND('',#5216,.T.); #1122=FACE_BOUND('',#5217,.T.); #1123=FACE_BOUND('',#5218,.T.); #1124=FACE_BOUND('',#5219,.T.); #1125=FACE_BOUND('',#5220,.T.); #1126=FACE_BOUND('',#5221,.T.); #1127=FACE_BOUND('',#5330,.T.); #1128=FACE_BOUND('',#5331,.T.); #1129=FACE_BOUND('',#5332,.T.); #1130=FACE_BOUND('',#5333,.T.); #1131=FACE_BOUND('',#5334,.T.); #1132=FACE_BOUND('',#5335,.T.); #1133=FACE_BOUND('',#5336,.T.); #1134=FACE_BOUND('',#5337,.T.); #1135=FACE_BOUND('',#5338,.T.); #1136=FACE_BOUND('',#5339,.T.); #1137=FACE_BOUND('',#5340,.T.); #1138=FACE_BOUND('',#5341,.T.); #1139=FACE_BOUND('',#5342,.T.); #1140=FACE_BOUND('',#5343,.T.); #1141=FACE_BOUND('',#5344,.T.); #1142=FACE_BOUND('',#5345,.T.); #1143=FACE_BOUND('',#5346,.T.); #1144=FACE_BOUND('',#5347,.T.); #1145=FACE_BOUND('',#5348,.T.); #1146=FACE_BOUND('',#5349,.T.); #1147=FACE_BOUND('',#5350,.T.); #1148=FACE_BOUND('',#5351,.T.); #1149=FACE_BOUND('',#5352,.T.); #1150=FACE_BOUND('',#5353,.T.); #1151=FACE_BOUND('',#5354,.T.); #1152=FACE_BOUND('',#5355,.T.); #1153=FACE_BOUND('',#5356,.T.); #1154=FACE_BOUND('',#5357,.T.); #1155=FACE_BOUND('',#5358,.T.); #1156=FACE_BOUND('',#5359,.T.); #1157=FACE_BOUND('',#5360,.T.); #1158=FACE_BOUND('',#5361,.T.); #1159=FACE_BOUND('',#5362,.T.); #1160=FACE_BOUND('',#5363,.T.); #1161=FACE_BOUND('',#5364,.T.); #1162=FACE_BOUND('',#5365,.T.); #1163=FACE_BOUND('',#5366,.T.); #1164=FACE_BOUND('',#5367,.T.); #1165=FACE_BOUND('',#5368,.T.); #1166=FACE_BOUND('',#5369,.T.); #1167=FACE_BOUND('',#5370,.T.); #1168=FACE_BOUND('',#5371,.T.); #1169=FACE_BOUND('',#5372,.T.); #1170=FACE_BOUND('',#5373,.T.); #1171=FACE_BOUND('',#5374,.T.); #1172=FACE_BOUND('',#5375,.T.); #1173=FACE_BOUND('',#5376,.T.); #1174=FACE_BOUND('',#5377,.T.); #1175=FACE_BOUND('',#5378,.T.); #1176=FACE_BOUND('',#5379,.T.); #1177=FACE_BOUND('',#5380,.T.); #1178=FACE_BOUND('',#5381,.T.); #1179=FACE_BOUND('',#5382,.T.); #1180=FACE_BOUND('',#5383,.T.); #1181=FACE_BOUND('',#5384,.T.); #1182=FACE_BOUND('',#5385,.T.); #1183=FACE_BOUND('',#5386,.T.); #1184=FACE_BOUND('',#5387,.T.); #1185=FACE_BOUND('',#5388,.T.); #1186=FACE_BOUND('',#5389,.T.); #1187=FACE_BOUND('',#5390,.T.); #1188=FACE_BOUND('',#5391,.T.); #1189=FACE_BOUND('',#5392,.T.); #1190=FACE_BOUND('',#5393,.T.); #1191=FACE_BOUND('',#5394,.T.); #1192=FACE_BOUND('',#5395,.T.); #1193=FACE_BOUND('',#5396,.T.); #1194=FACE_BOUND('',#5397,.T.); #1195=FACE_BOUND('',#5398,.T.); #1196=FACE_BOUND('',#5399,.T.); #1197=FACE_BOUND('',#5400,.T.); #1198=FACE_BOUND('',#5401,.T.); #1199=FACE_BOUND('',#5402,.T.); #1200=FACE_BOUND('',#5403,.T.); #1201=FACE_BOUND('',#5404,.T.); #1202=FACE_BOUND('',#5405,.T.); #1203=FACE_BOUND('',#5406,.T.); #1204=FACE_BOUND('',#5407,.T.); #1205=FACE_BOUND('',#5408,.T.); #1206=FACE_BOUND('',#5409,.T.); #1207=FACE_BOUND('',#5410,.T.); #1208=FACE_BOUND('',#5411,.T.); #1209=FACE_BOUND('',#5412,.T.); #1210=FACE_BOUND('',#5413,.T.); #1211=FACE_BOUND('',#5414,.T.); #1212=FACE_BOUND('',#5415,.T.); #1213=FACE_BOUND('',#5416,.T.); #1214=FACE_BOUND('',#5417,.T.); #1215=FACE_BOUND('',#5418,.T.); #1216=FACE_BOUND('',#5419,.T.); #1217=FACE_BOUND('',#5420,.T.); #1218=FACE_BOUND('',#5421,.T.); #1219=FACE_BOUND('',#5422,.T.); #1220=FACE_BOUND('',#5423,.T.); #1221=FACE_BOUND('',#5424,.T.); #1222=FACE_BOUND('',#5425,.T.); #1223=FACE_BOUND('',#5427,.T.); #1224=FACE_BOUND('',#5428,.T.); #1225=FACE_BOUND('',#5429,.T.); #1226=FACE_BOUND('',#5430,.T.); #1227=FACE_BOUND('',#5431,.T.); #1228=FACE_BOUND('',#5432,.T.); #1229=FACE_BOUND('',#5433,.T.); #1230=FACE_BOUND('',#5434,.T.); #1231=FACE_BOUND('',#5435,.T.); #1232=FACE_BOUND('',#5436,.T.); #1233=FACE_BOUND('',#5437,.T.); #1234=FACE_BOUND('',#5438,.T.); #1235=FACE_BOUND('',#5439,.T.); #1236=FACE_BOUND('',#5440,.T.); #1237=FACE_BOUND('',#5441,.T.); #1238=FACE_BOUND('',#5442,.T.); #1239=FACE_BOUND('',#5443,.T.); #1240=FACE_BOUND('',#5444,.T.); #1241=FACE_BOUND('',#5445,.T.); #1242=FACE_BOUND('',#5446,.T.); #1243=FACE_BOUND('',#5447,.T.); #1244=FACE_BOUND('',#5448,.T.); #1245=FACE_BOUND('',#5449,.T.); #1246=FACE_BOUND('',#5450,.T.); #1247=FACE_BOUND('',#5451,.T.); #1248=FACE_BOUND('',#5452,.T.); #1249=FACE_BOUND('',#5453,.T.); #1250=FACE_BOUND('',#5454,.T.); #1251=FACE_BOUND('',#5455,.T.); #1252=FACE_BOUND('',#5456,.T.); #1253=FACE_BOUND('',#5457,.T.); #1254=FACE_BOUND('',#5458,.T.); #1255=FACE_BOUND('',#5459,.T.); #1256=FACE_BOUND('',#5460,.T.); #1257=FACE_BOUND('',#5461,.T.); #1258=FACE_BOUND('',#5462,.T.); #1259=FACE_BOUND('',#5463,.T.); #1260=FACE_BOUND('',#5464,.T.); #1261=FACE_BOUND('',#5465,.T.); #1262=FACE_BOUND('',#5466,.T.); #1263=FACE_BOUND('',#5467,.T.); #1264=FACE_BOUND('',#5468,.T.); #1265=FACE_BOUND('',#5469,.T.); #1266=FACE_BOUND('',#5470,.T.); #1267=FACE_BOUND('',#5471,.T.); #1268=FACE_BOUND('',#5472,.T.); #1269=FACE_BOUND('',#5473,.T.); #1270=FACE_BOUND('',#5474,.T.); #1271=FACE_BOUND('',#5475,.T.); #1272=FACE_BOUND('',#5476,.T.); #1273=FACE_BOUND('',#5477,.T.); #1274=FACE_BOUND('',#5478,.T.); #1275=FACE_BOUND('',#5479,.T.); #1276=FACE_BOUND('',#5480,.T.); #1277=FACE_BOUND('',#5481,.T.); #1278=FACE_BOUND('',#5482,.T.); #1279=FACE_BOUND('',#5483,.T.); #1280=FACE_BOUND('',#5484,.T.); #1281=FACE_BOUND('',#5485,.T.); #1282=FACE_BOUND('',#5486,.T.); #1283=FACE_BOUND('',#5487,.T.); #1284=FACE_BOUND('',#5488,.T.); #1285=FACE_BOUND('',#5489,.T.); #1286=FACE_BOUND('',#5490,.T.); #1287=FACE_BOUND('',#5491,.T.); #1288=FACE_BOUND('',#5492,.T.); #1289=FACE_BOUND('',#5493,.T.); #1290=FACE_BOUND('',#5494,.T.); #1291=FACE_BOUND('',#5495,.T.); #1292=FACE_BOUND('',#5496,.T.); #1293=FACE_BOUND('',#5497,.T.); #1294=FACE_BOUND('',#5498,.T.); #1295=FACE_BOUND('',#5499,.T.); #1296=FACE_BOUND('',#5500,.T.); #1297=FACE_BOUND('',#5501,.T.); #1298=FACE_BOUND('',#5502,.T.); #1299=FACE_BOUND('',#5503,.T.); #1300=FACE_BOUND('',#5504,.T.); #1301=FACE_BOUND('',#5505,.T.); #1302=FACE_BOUND('',#5506,.T.); #1303=FACE_BOUND('',#5507,.T.); #1304=FACE_BOUND('',#5508,.T.); #1305=FACE_BOUND('',#5509,.T.); #1306=FACE_BOUND('',#5510,.T.); #1307=FACE_BOUND('',#5511,.T.); #1308=FACE_BOUND('',#5512,.T.); #1309=FACE_BOUND('',#5513,.T.); #1310=FACE_BOUND('',#5514,.T.); #1311=FACE_BOUND('',#5515,.T.); #1312=FACE_BOUND('',#5516,.T.); #1313=FACE_BOUND('',#5517,.T.); #1314=FACE_BOUND('',#5518,.T.); #1315=FACE_BOUND('',#5519,.T.); #1316=FACE_BOUND('',#5520,.T.); #1317=FACE_BOUND('',#5521,.T.); #1318=FACE_BOUND('',#5522,.T.); #1319=FACE_BOUND('',#5528,.T.); #1320=FACE_BOUND('',#5532,.T.); #1321=FACE_BOUND('',#5538,.T.); #1322=FACE_BOUND('',#5540,.T.); #1323=FACE_BOUND('',#5546,.T.); #1324=FACE_BOUND('',#5548,.T.); #1325=FACE_BOUND('',#5554,.T.); #1326=FACE_BOUND('',#5556,.T.); #1327=FACE_BOUND('',#5562,.T.); #1328=FACE_BOUND('',#5564,.T.); #1329=FACE_BOUND('',#5570,.T.); #1330=FACE_BOUND('',#5572,.T.); #1331=FACE_BOUND('',#5578,.T.); #1332=FACE_BOUND('',#5580,.T.); #1333=FACE_BOUND('',#5586,.T.); #1334=FACE_BOUND('',#5588,.T.); #1335=FACE_BOUND('',#5592,.T.); #1336=FACE_BOUND('',#5596,.T.); #1337=FACE_BOUND('',#5600,.T.); #1338=FACE_BOUND('',#5604,.T.); #1339=FACE_BOUND('',#5608,.T.); #1340=FACE_BOUND('',#5612,.T.); #1341=FACE_BOUND('',#5616,.T.); #1342=FACE_BOUND('',#5620,.T.); #1343=FACE_BOUND('',#5624,.T.); #1344=FACE_BOUND('',#5628,.T.); #1345=FACE_BOUND('',#5632,.T.); #1346=FACE_BOUND('',#5636,.T.); #1347=FACE_BOUND('',#5640,.T.); #1348=FACE_BOUND('',#5644,.T.); #1349=FACE_BOUND('',#5714,.T.); #1350=FACE_BOUND('',#5789,.T.); #1351=FACE_BOUND('',#5863,.T.); #1352=FACE_BOUND('',#5864,.T.); #1353=FACE_BOUND('',#5865,.T.); #1354=FACE_BOUND('',#5866,.T.); #1355=FACE_BOUND('',#5867,.T.); #1356=FACE_BOUND('',#5868,.T.); #1357=FACE_BOUND('',#5869,.T.); #1358=FACE_BOUND('',#5871,.T.); #1359=FACE_BOUND('',#5872,.T.); #1360=FACE_BOUND('',#5873,.T.); #1361=FACE_BOUND('',#5874,.T.); #1362=FACE_BOUND('',#5875,.T.); #1363=FACE_BOUND('',#5876,.T.); #1364=FACE_BOUND('',#5877,.T.); #1365=FACE_BOUND('',#5878,.T.); #1366=FACE_BOUND('',#5879,.T.); #1367=FACE_BOUND('',#5880,.T.); #1368=FACE_BOUND('',#5881,.T.); #1369=FACE_BOUND('',#5882,.T.); #1370=FACE_BOUND('',#5883,.T.); #1371=FACE_BOUND('',#5884,.T.); #1372=FACE_BOUND('',#5885,.T.); #1373=FACE_BOUND('',#5886,.T.); #1374=FACE_BOUND('',#5887,.T.); #1375=FACE_BOUND('',#5888,.T.); #1376=FACE_BOUND('',#5889,.T.); #1377=FACE_BOUND('',#5890,.T.); #1378=FACE_BOUND('',#5891,.T.); #1379=FACE_BOUND('',#5892,.T.); #1380=FACE_BOUND('',#5893,.T.); #1381=FACE_BOUND('',#5894,.T.); #1382=FACE_BOUND('',#5895,.T.); #1383=FACE_BOUND('',#5896,.T.); #1384=FACE_BOUND('',#5897,.T.); #1385=FACE_BOUND('',#5898,.T.); #1386=FACE_BOUND('',#5899,.T.); #1387=FACE_BOUND('',#5900,.T.); #1388=FACE_BOUND('',#5901,.T.); #1389=FACE_BOUND('',#5902,.T.); #1390=FACE_BOUND('',#5903,.T.); #1391=FACE_BOUND('',#5904,.T.); #1392=FACE_BOUND('',#5905,.T.); #1393=FACE_BOUND('',#5906,.T.); #1394=FACE_BOUND('',#5907,.T.); #1395=FACE_BOUND('',#5908,.T.); #1396=FACE_BOUND('',#5909,.T.); #1397=FACE_BOUND('',#5910,.T.); #1398=FACE_BOUND('',#5911,.T.); #1399=FACE_BOUND('',#5912,.T.); #1400=FACE_BOUND('',#5913,.T.); #1401=FACE_BOUND('',#5914,.T.); #1402=FACE_BOUND('',#5915,.T.); #1403=FACE_BOUND('',#5916,.T.); #1404=FACE_BOUND('',#5917,.T.); #1405=FACE_BOUND('',#5918,.T.); #1406=FACE_BOUND('',#5919,.T.); #1407=FACE_BOUND('',#5920,.T.); #1408=FACE_BOUND('',#5921,.T.); #1409=FACE_BOUND('',#5922,.T.); #1410=FACE_BOUND('',#5923,.T.); #1411=FACE_BOUND('',#5924,.T.); #1412=FACE_BOUND('',#5925,.T.); #1413=FACE_BOUND('',#5926,.T.); #1414=FACE_BOUND('',#5927,.T.); #1415=FACE_BOUND('',#5928,.T.); #1416=FACE_BOUND('',#5929,.T.); #1417=FACE_BOUND('',#5930,.T.); #1418=FACE_BOUND('',#5931,.T.); #1419=FACE_BOUND('',#5932,.T.); #1420=FACE_BOUND('',#5933,.T.); #1421=FACE_BOUND('',#5934,.T.); #1422=FACE_BOUND('',#5935,.T.); #1423=FACE_BOUND('',#5936,.T.); #1424=FACE_BOUND('',#5937,.T.); #1425=FACE_BOUND('',#5938,.T.); #1426=FACE_BOUND('',#5939,.T.); #1427=FACE_BOUND('',#5940,.T.); #1428=FACE_BOUND('',#5941,.T.); #1429=FACE_BOUND('',#5942,.T.); #1430=FACE_BOUND('',#5943,.T.); #1431=FACE_BOUND('',#5944,.T.); #1432=FACE_BOUND('',#5945,.T.); #1433=FACE_BOUND('',#5946,.T.); #1434=FACE_BOUND('',#5947,.T.); #1435=FACE_BOUND('',#5948,.T.); #1436=FACE_BOUND('',#5949,.T.); #1437=FACE_BOUND('',#5950,.T.); #1438=FACE_BOUND('',#5951,.T.); #1439=FACE_BOUND('',#5952,.T.); #1440=FACE_BOUND('',#5953,.T.); #1441=FACE_BOUND('',#5954,.T.); #1442=FACE_BOUND('',#5955,.T.); #1443=FACE_BOUND('',#5956,.T.); #1444=FACE_BOUND('',#5957,.T.); #1445=FACE_BOUND('',#5958,.T.); #1446=FACE_BOUND('',#5959,.T.); #1447=FACE_BOUND('',#5960,.T.); #1448=FACE_BOUND('',#5961,.T.); #1449=FACE_BOUND('',#5962,.T.); #1450=FACE_BOUND('',#5963,.T.); #1451=FACE_BOUND('',#5964,.T.); #1452=FACE_BOUND('',#5965,.T.); #1453=FACE_BOUND('',#5966,.T.); #1454=FACE_BOUND('',#5967,.T.); #1455=FACE_BOUND('',#5968,.T.); #1456=FACE_BOUND('',#5969,.T.); #1457=FACE_BOUND('',#5970,.T.); #1458=FACE_BOUND('',#5971,.T.); #1459=FACE_BOUND('',#5972,.T.); #1460=FACE_BOUND('',#5974,.T.); #1461=FACE_BOUND('',#5975,.T.); #1462=FACE_BOUND('',#5976,.T.); #1463=FACE_BOUND('',#5977,.T.); #1464=FACE_BOUND('',#5978,.T.); #1465=FACE_BOUND('',#5979,.T.); #1466=FACE_BOUND('',#5980,.T.); #1467=FACE_BOUND('',#5982,.T.); #1468=FACE_BOUND('',#5983,.T.); #1469=FACE_BOUND('',#5984,.T.); #1470=FACE_BOUND('',#5985,.T.); #1471=FACE_BOUND('',#5986,.T.); #1472=FACE_BOUND('',#5987,.T.); #1473=FACE_BOUND('',#5988,.T.); #1474=FACE_BOUND('',#5991,.T.); #1475=FACE_BOUND('',#5992,.T.); #1476=FACE_BOUND('',#5993,.T.); #1477=FACE_BOUND('',#5994,.T.); #1478=FACE_BOUND('',#5995,.T.); #1479=FACE_BOUND('',#5996,.T.); #1480=FACE_BOUND('',#5997,.T.); #1481=FACE_BOUND('',#5998,.T.); #1482=FACE_BOUND('',#5999,.T.); #1483=FACE_BOUND('',#6000,.T.); #1484=FACE_BOUND('',#6001,.T.); #1485=FACE_BOUND('',#6002,.T.); #1486=FACE_BOUND('',#6003,.T.); #1487=FACE_BOUND('',#6004,.T.); #1488=FACE_BOUND('',#6005,.T.); #1489=FACE_BOUND('',#6006,.T.); #1490=FACE_BOUND('',#6007,.T.); #1491=FACE_BOUND('',#6008,.T.); #1492=FACE_BOUND('',#6009,.T.); #1493=FACE_BOUND('',#6010,.T.); #1494=FACE_BOUND('',#6011,.T.); #1495=FACE_BOUND('',#6012,.T.); #1496=FACE_BOUND('',#6013,.T.); #1497=FACE_BOUND('',#6014,.T.); #1498=FACE_BOUND('',#6015,.T.); #1499=FACE_BOUND('',#6016,.T.); #1500=FACE_BOUND('',#6017,.T.); #1501=FACE_BOUND('',#6018,.T.); #1502=FACE_BOUND('',#6019,.T.); #1503=FACE_BOUND('',#6020,.T.); #1504=FACE_BOUND('',#6021,.T.); #1505=FACE_BOUND('',#6022,.T.); #1506=FACE_BOUND('',#6023,.T.); #1507=FACE_BOUND('',#6024,.T.); #1508=FACE_BOUND('',#6025,.T.); #1509=FACE_BOUND('',#6026,.T.); #1510=FACE_BOUND('',#6027,.T.); #1511=FACE_BOUND('',#6028,.T.); #1512=FACE_BOUND('',#6029,.T.); #1513=FACE_BOUND('',#6030,.T.); #1514=FACE_BOUND('',#6031,.T.); #1515=FACE_BOUND('',#6032,.T.); #1516=FACE_BOUND('',#6033,.T.); #1517=FACE_BOUND('',#6034,.T.); #1518=FACE_BOUND('',#6035,.T.); #1519=FACE_BOUND('',#6036,.T.); #1520=FACE_BOUND('',#6037,.T.); #1521=FACE_BOUND('',#6038,.T.); #1522=FACE_BOUND('',#6039,.T.); #1523=FACE_BOUND('',#6040,.T.); #1524=FACE_BOUND('',#6041,.T.); #1525=FACE_BOUND('',#6042,.T.); #1526=FACE_BOUND('',#6043,.T.); #1527=FACE_BOUND('',#6044,.T.); #1528=FACE_BOUND('',#6045,.T.); #1529=FACE_BOUND('',#6046,.T.); #1530=FACE_BOUND('',#6047,.T.); #1531=FACE_BOUND('',#6048,.T.); #1532=FACE_BOUND('',#6049,.T.); #1533=FACE_BOUND('',#6050,.T.); #1534=FACE_BOUND('',#6051,.T.); #1535=FACE_BOUND('',#6052,.T.); #1536=FACE_BOUND('',#6053,.T.); #1537=FACE_BOUND('',#6054,.T.); #1538=FACE_BOUND('',#6055,.T.); #1539=FACE_BOUND('',#6056,.T.); #1540=FACE_BOUND('',#6057,.T.); #1541=FACE_BOUND('',#6058,.T.); #1542=FACE_BOUND('',#6059,.T.); #1543=FACE_BOUND('',#6060,.T.); #1544=FACE_BOUND('',#6061,.T.); #1545=FACE_BOUND('',#6062,.T.); #1546=FACE_BOUND('',#6063,.T.); #1547=FACE_BOUND('',#6064,.T.); #1548=FACE_BOUND('',#6065,.T.); #1549=FACE_BOUND('',#6066,.T.); #1550=FACE_BOUND('',#6067,.T.); #1551=FACE_BOUND('',#6068,.T.); #1552=FACE_BOUND('',#6069,.T.); #1553=FACE_BOUND('',#6070,.T.); #1554=FACE_BOUND('',#6071,.T.); #1555=FACE_BOUND('',#6072,.T.); #1556=FACE_BOUND('',#6073,.T.); #1557=FACE_BOUND('',#6074,.T.); #1558=FACE_BOUND('',#6075,.T.); #1559=FACE_BOUND('',#6076,.T.); #1560=FACE_BOUND('',#6077,.T.); #1561=FACE_BOUND('',#6078,.T.); #1562=FACE_BOUND('',#6079,.T.); #1563=FACE_BOUND('',#6080,.T.); #1564=FACE_BOUND('',#6081,.T.); #1565=FACE_BOUND('',#6082,.T.); #1566=FACE_BOUND('',#6083,.T.); #1567=FACE_BOUND('',#6084,.T.); #1568=FACE_BOUND('',#6085,.T.); #1569=FACE_BOUND('',#6086,.T.); #1570=FACE_BOUND('',#6087,.T.); #1571=FACE_BOUND('',#6088,.T.); #1572=FACE_BOUND('',#6089,.T.); #1573=FACE_BOUND('',#6090,.T.); #1574=FACE_BOUND('',#6091,.T.); #1575=FACE_BOUND('',#6092,.T.); #1576=FACE_BOUND('',#6093,.T.); #1577=FACE_BOUND('',#6094,.T.); #1578=FACE_BOUND('',#6095,.T.); #1579=FACE_BOUND('',#6096,.T.); #1580=FACE_BOUND('',#6097,.T.); #1581=FACE_BOUND('',#6098,.T.); #1582=FACE_BOUND('',#6099,.T.); #1583=FACE_BOUND('',#6100,.T.); #1584=FACE_BOUND('',#6101,.T.); #1585=FACE_BOUND('',#6102,.T.); #1586=FACE_BOUND('',#6103,.T.); #1587=FACE_BOUND('',#6104,.T.); #1588=FACE_BOUND('',#6105,.T.); #1589=FACE_BOUND('',#6106,.T.); #1590=FACE_BOUND('',#6107,.T.); #1591=FACE_BOUND('',#6108,.T.); #1592=FACE_BOUND('',#6109,.T.); #1593=FACE_BOUND('',#6110,.T.); #1594=FACE_BOUND('',#6111,.T.); #1595=FACE_BOUND('',#6112,.T.); #1596=FACE_BOUND('',#6113,.T.); #1597=FACE_BOUND('',#6114,.T.); #1598=FACE_BOUND('',#6115,.T.); #1599=FACE_BOUND('',#6116,.T.); #1600=FACE_BOUND('',#6117,.T.); #1601=FACE_BOUND('',#6118,.T.); #1602=FACE_BOUND('',#6119,.T.); #1603=FACE_BOUND('',#6120,.T.); #1604=FACE_BOUND('',#6121,.T.); #1605=FACE_BOUND('',#6122,.T.); #1606=FACE_BOUND('',#6123,.T.); #1607=FACE_BOUND('',#6124,.T.); #1608=FACE_BOUND('',#6125,.T.); #1609=FACE_BOUND('',#6126,.T.); #1610=FACE_BOUND('',#6127,.T.); #1611=FACE_BOUND('',#6141,.T.); #1612=FACE_BOUND('',#6142,.T.); #1613=FACE_BOUND('',#6143,.T.); #1614=FACE_BOUND('',#6144,.T.); #1615=FACE_BOUND('',#6145,.T.); #1616=FACE_BOUND('',#6147,.T.); #1617=FACE_BOUND('',#6148,.T.); #1618=FACE_BOUND('',#6149,.T.); #1619=FACE_BOUND('',#6150,.T.); #1620=FACE_BOUND('',#6157,.T.); #1621=FACE_BOUND('',#6158,.T.); #1622=FACE_BOUND('',#6159,.T.); #1623=FACE_BOUND('',#6161,.T.); #1624=FACE_BOUND('',#6162,.T.); #1625=FACE_BOUND('',#6163,.T.); #1626=FACE_BOUND('',#6164,.T.); #1627=FACE_BOUND('',#6172,.T.); #1628=FACE_BOUND('',#6173,.T.); #1629=FACE_BOUND('',#6174,.T.); #1630=FACE_BOUND('',#6176,.T.); #1631=FACE_BOUND('',#6177,.T.); #1632=FACE_BOUND('',#6178,.T.); #1633=FACE_BOUND('',#6179,.T.); #1634=FACE_BOUND('',#6180,.T.); #1635=FACE_BOUND('',#6181,.T.); #1636=FACE_BOUND('',#6184,.T.); #1637=FACE_BOUND('',#6188,.T.); #1638=FACE_BOUND('',#6192,.T.); #1639=FACE_BOUND('',#6196,.T.); #1640=FACE_BOUND('',#6200,.T.); #1641=FACE_BOUND('',#6204,.T.); #1642=FACE_BOUND('',#6208,.T.); #1643=FACE_BOUND('',#6212,.T.); #1644=FACE_BOUND('',#6216,.T.); #1645=FACE_BOUND('',#6220,.T.); #1646=FACE_BOUND('',#6227,.T.); #1647=FACE_BOUND('',#6228,.T.); #1648=FACE_BOUND('',#6229,.T.); #1649=FACE_BOUND('',#6230,.T.); #1650=FACE_BOUND('',#6231,.T.); #1651=FACE_BOUND('',#6232,.T.); #1652=FACE_BOUND('',#6233,.T.); #1653=FACE_BOUND('',#6234,.T.); #1654=FACE_BOUND('',#6235,.T.); #1655=FACE_BOUND('',#6236,.T.); #1656=FACE_BOUND('',#6238,.T.); #1657=FACE_BOUND('',#6239,.T.); #1658=FACE_BOUND('',#6240,.T.); #1659=FACE_BOUND('',#6241,.T.); #1660=FACE_BOUND('',#6242,.T.); #1661=FACE_BOUND('',#6243,.T.); #1662=FACE_BOUND('',#6244,.T.); #1663=FACE_BOUND('',#6245,.T.); #1664=FACE_BOUND('',#6246,.T.); #1665=FACE_BOUND('',#6247,.T.); #1666=FACE_BOUND('',#6321,.T.); #1667=FACE_BOUND('',#6322,.T.); #1668=FACE_BOUND('',#6323,.T.); #1669=FACE_BOUND('',#6324,.T.); #1670=FACE_BOUND('',#6325,.T.); #1671=FACE_BOUND('',#6326,.T.); #1672=FACE_BOUND('',#6328,.T.); #1673=FACE_BOUND('',#6329,.T.); #1674=FACE_BOUND('',#6331,.T.); #1675=FACE_BOUND('',#6332,.T.); #1676=FACE_BOUND('',#6333,.T.); #1677=FACE_BOUND('',#6334,.T.); #1678=FACE_BOUND('',#6335,.T.); #1679=FACE_BOUND('',#6336,.T.); #1680=FACE_BOUND('',#6339,.T.); #1681=FACE_BOUND('',#6340,.T.); #1682=FACE_BOUND('',#6341,.T.); #1683=FACE_BOUND('',#6342,.T.); #1684=FACE_BOUND('',#6343,.T.); #1685=FACE_BOUND('',#6344,.T.); #1686=FACE_BOUND('',#6345,.T.); #1687=FACE_BOUND('',#6346,.T.); #1688=FACE_BOUND('',#6347,.T.); #1689=FACE_BOUND('',#6349,.T.); #1690=FACE_BOUND('',#6350,.T.); #1691=FACE_BOUND('',#6351,.T.); #1692=FACE_BOUND('',#6352,.T.); #1693=FACE_BOUND('',#6354,.T.); #1694=FACE_BOUND('',#6355,.T.); #1695=FACE_BOUND('',#6356,.T.); #1696=FACE_BOUND('',#6357,.T.); #1697=FACE_BOUND('',#6358,.T.); #1698=FACE_BOUND('',#6359,.T.); #1699=FACE_BOUND('',#6360,.T.); #1700=FACE_BOUND('',#6361,.T.); #1701=FACE_BOUND('',#6362,.T.); #1702=FACE_BOUND('',#6396,.T.); #1703=FACE_BOUND('',#6397,.T.); #1704=FACE_BOUND('',#6398,.T.); #1705=FACE_BOUND('',#6399,.T.); #1706=FACE_BOUND('',#6400,.T.); #1707=FACE_BOUND('',#6401,.T.); #1708=FACE_BOUND('',#6402,.T.); #1709=FACE_BOUND('',#6403,.T.); #1710=FACE_BOUND('',#6404,.T.); #1711=FACE_BOUND('',#6405,.T.); #1712=FACE_BOUND('',#6406,.T.); #1713=FACE_BOUND('',#6407,.T.); #1714=FACE_BOUND('',#6408,.T.); #1715=FACE_BOUND('',#6409,.T.); #1716=FACE_BOUND('',#6410,.T.); #1717=FACE_BOUND('',#6411,.T.); #1718=FACE_BOUND('',#6412,.T.); #1719=FACE_BOUND('',#6414,.T.); #1720=FACE_BOUND('',#6415,.T.); #1721=FACE_BOUND('',#6416,.T.); #1722=FACE_BOUND('',#6417,.T.); #1723=FACE_BOUND('',#6418,.T.); #1724=FACE_BOUND('',#6422,.T.); #1725=FACE_BOUND('',#6423,.T.); #1726=FACE_BOUND('',#6424,.T.); #1727=FACE_BOUND('',#6425,.T.); #1728=FACE_BOUND('',#6426,.T.); #1729=FACE_BOUND('',#6427,.T.); #1730=FACE_BOUND('',#6428,.T.); #1731=FACE_BOUND('',#6429,.T.); #1732=FACE_BOUND('',#6432,.T.); #1733=FACE_BOUND('',#6433,.T.); #1734=FACE_BOUND('',#6434,.T.); #1735=FACE_BOUND('',#6435,.T.); #1736=FACE_BOUND('',#6436,.T.); #1737=FACE_BOUND('',#6437,.T.); #1738=FACE_BOUND('',#6438,.T.); #1739=FACE_BOUND('',#6439,.T.); #1740=FACE_BOUND('',#6440,.T.); #1741=FACE_BOUND('',#6475,.T.); #1742=FACE_BOUND('',#6476,.T.); #1743=FACE_BOUND('',#6477,.T.); #1744=FACE_BOUND('',#6478,.T.); #1745=FACE_BOUND('',#6479,.T.); #1746=FACE_BOUND('',#6480,.T.); #1747=FACE_BOUND('',#6481,.T.); #1748=FACE_BOUND('',#6482,.T.); #1749=FACE_BOUND('',#6485,.T.); #1750=FACE_BOUND('',#6486,.T.); #1751=FACE_BOUND('',#6487,.T.); #1752=FACE_BOUND('',#6488,.T.); #1753=FACE_BOUND('',#6489,.T.); #1754=FACE_BOUND('',#6490,.T.); #1755=FACE_BOUND('',#6491,.T.); #1756=FACE_BOUND('',#6492,.T.); #1757=FACE_BOUND('',#6495,.T.); #1758=FACE_BOUND('',#6496,.T.); #1759=FACE_BOUND('',#6497,.T.); #1760=FACE_BOUND('',#6498,.T.); #1761=FACE_BOUND('',#6499,.T.); #1762=FACE_BOUND('',#6500,.T.); #1763=FACE_BOUND('',#6501,.T.); #1764=FACE_BOUND('',#6504,.T.); #1765=FACE_BOUND('',#6505,.T.); #1766=FACE_BOUND('',#6506,.T.); #1767=FACE_BOUND('',#6507,.T.); #1768=FACE_BOUND('',#6508,.T.); #1769=FACE_BOUND('',#6509,.T.); #1770=FACE_BOUND('',#6510,.T.); #1771=FACE_BOUND('',#6511,.T.); #1772=FACE_BOUND('',#6514,.T.); #1773=FACE_BOUND('',#6515,.T.); #1774=FACE_BOUND('',#6516,.T.); #1775=FACE_BOUND('',#6517,.T.); #1776=FACE_BOUND('',#6518,.T.); #1777=FACE_BOUND('',#6519,.T.); #1778=FACE_BOUND('',#6520,.T.); #1779=FACE_BOUND('',#6521,.T.); #1780=FACE_BOUND('',#6552,.T.); #1781=FACE_BOUND('',#6553,.T.); #1782=FACE_BOUND('',#6554,.T.); #1783=FACE_BOUND('',#6555,.T.); #1784=FACE_BOUND('',#6556,.T.); #1785=FACE_BOUND('',#6557,.T.); #1786=FACE_BOUND('',#6558,.T.); #1787=FACE_BOUND('',#6559,.T.); #1788=FACE_BOUND('',#6562,.T.); #1789=FACE_BOUND('',#6563,.T.); #1790=FACE_BOUND('',#6564,.T.); #1791=FACE_BOUND('',#6565,.T.); #1792=FACE_BOUND('',#6566,.T.); #1793=FACE_BOUND('',#6567,.T.); #1794=FACE_BOUND('',#6568,.T.); #1795=FACE_BOUND('',#6569,.T.); #1796=FACE_BOUND('',#6570,.T.); #1797=FACE_BOUND('',#6571,.T.); #1798=FACE_BOUND('',#6572,.T.); #1799=FACE_BOUND('',#6573,.T.); #1800=FACE_BOUND('',#6574,.T.); #1801=FACE_BOUND('',#6575,.T.); #1802=FACE_BOUND('',#6576,.T.); #1803=FACE_BOUND('',#6577,.T.); #1804=FACE_BOUND('',#6578,.T.); #1805=FACE_BOUND('',#6580,.T.); #1806=FACE_BOUND('',#6581,.T.); #1807=FACE_BOUND('',#6582,.T.); #1808=FACE_BOUND('',#6583,.T.); #1809=FACE_BOUND('',#6584,.T.); #1810=FACE_BOUND('',#6588,.T.); #1811=FACE_BOUND('',#6589,.T.); #1812=FACE_BOUND('',#6590,.T.); #1813=FACE_BOUND('',#6591,.T.); #1814=FACE_BOUND('',#6592,.T.); #1815=FACE_BOUND('',#6593,.T.); #1816=FACE_BOUND('',#6594,.T.); #1817=FACE_BOUND('',#6595,.T.); #1818=FACE_BOUND('',#6596,.T.); #1819=FACE_BOUND('',#6606,.T.); #1820=FACE_BOUND('',#6607,.T.); #1821=FACE_BOUND('',#6609,.T.); #1822=FACE_BOUND('',#6610,.T.); #1823=FACE_BOUND('',#6663,.T.); #1824=FACE_BOUND('',#6664,.T.); #1825=FACE_BOUND('',#6665,.T.); #1826=FACE_BOUND('',#6666,.T.); #1827=FACE_BOUND('',#6667,.T.); #1828=FACE_BOUND('',#6668,.T.); #1829=FACE_BOUND('',#6669,.T.); #1830=FACE_BOUND('',#6670,.T.); #1831=FACE_BOUND('',#6671,.T.); #1832=FACE_BOUND('',#6672,.T.); #1833=FACE_BOUND('',#6673,.T.); #1834=FACE_BOUND('',#6674,.T.); #1835=FACE_BOUND('',#6675,.T.); #1836=FACE_BOUND('',#6676,.T.); #1837=FACE_BOUND('',#6677,.T.); #1838=FACE_BOUND('',#6678,.T.); #1839=FACE_BOUND('',#6679,.T.); #1840=FACE_BOUND('',#6680,.T.); #1841=FACE_BOUND('',#6681,.T.); #1842=FACE_BOUND('',#6682,.T.); #1843=FACE_BOUND('',#6683,.T.); #1844=FACE_BOUND('',#6688,.T.); #1845=FACE_BOUND('',#6689,.T.); #1846=FACE_BOUND('',#6690,.T.); #1847=FACE_BOUND('',#6691,.T.); #1848=FACE_BOUND('',#6692,.T.); #1849=FACE_BOUND('',#6693,.T.); #1850=FACE_BOUND('',#6694,.T.); #1851=FACE_BOUND('',#6695,.T.); #1852=FACE_BOUND('',#6696,.T.); #1853=FACE_BOUND('',#6697,.T.); #1854=FACE_BOUND('',#6698,.T.); #1855=FACE_BOUND('',#6699,.T.); #1856=FACE_BOUND('',#6705,.T.); #1857=FACE_BOUND('',#6706,.T.); #1858=FACE_BOUND('',#6707,.T.); #1859=FACE_BOUND('',#6708,.T.); #1860=FACE_BOUND('',#6709,.T.); #1861=FACE_BOUND('',#6710,.T.); #1862=FACE_BOUND('',#6711,.T.); #1863=FACE_BOUND('',#6712,.T.); #1864=FACE_BOUND('',#6728,.T.); #1865=FACE_BOUND('',#6729,.T.); #1866=FACE_BOUND('',#6730,.T.); #1867=FACE_BOUND('',#6731,.T.); #1868=FACE_BOUND('',#6732,.T.); #1869=FACE_BOUND('',#6733,.T.); #1870=FACE_BOUND('',#6734,.T.); #1871=FACE_BOUND('',#6735,.T.); #1872=FACE_BOUND('',#6736,.T.); #1873=FACE_BOUND('',#6737,.T.); #1874=FACE_BOUND('',#6738,.T.); #1875=FACE_BOUND('',#6739,.T.); #1876=FACE_BOUND('',#6740,.T.); #1877=FACE_BOUND('',#6741,.T.); #1878=FACE_BOUND('',#6742,.T.); #1879=FACE_BOUND('',#6743,.T.); #1880=FACE_BOUND('',#6744,.T.); #1881=FACE_BOUND('',#6745,.T.); #1882=FACE_BOUND('',#6746,.T.); #1883=FACE_BOUND('',#6747,.T.); #1884=FACE_BOUND('',#6748,.T.); #1885=FACE_BOUND('',#6749,.T.); #1886=FACE_BOUND('',#6750,.T.); #1887=FACE_BOUND('',#6751,.T.); #1888=FACE_BOUND('',#6752,.T.); #1889=FACE_BOUND('',#6753,.T.); #1890=FACE_BOUND('',#6754,.T.); #1891=FACE_BOUND('',#6755,.T.); #1892=FACE_BOUND('',#6756,.T.); #1893=FACE_BOUND('',#6757,.T.); #1894=FACE_BOUND('',#6758,.T.); #1895=FACE_BOUND('',#6759,.T.); #1896=FACE_BOUND('',#6760,.T.); #1897=FACE_BOUND('',#6761,.T.); #1898=FACE_BOUND('',#6762,.T.); #1899=FACE_BOUND('',#6763,.T.); #1900=FACE_BOUND('',#6764,.T.); #1901=FACE_BOUND('',#6765,.T.); #1902=FACE_BOUND('',#6766,.T.); #1903=FACE_BOUND('',#6767,.T.); #1904=FACE_BOUND('',#6768,.T.); #1905=FACE_BOUND('',#6769,.T.); #1906=FACE_BOUND('',#6770,.T.); #1907=FACE_BOUND('',#6771,.T.); #1908=FACE_BOUND('',#6772,.T.); #1909=FACE_BOUND('',#6773,.T.); #1910=FACE_BOUND('',#6774,.T.); #1911=FACE_BOUND('',#6775,.T.); #1912=FACE_BOUND('',#6776,.T.); #1913=FACE_BOUND('',#6777,.T.); #1914=FACE_BOUND('',#6778,.T.); #1915=FACE_BOUND('',#6779,.T.); #1916=FACE_BOUND('',#6780,.T.); #1917=FACE_BOUND('',#6781,.T.); #1918=FACE_BOUND('',#6782,.T.); #1919=FACE_BOUND('',#6783,.T.); #1920=FACE_BOUND('',#6784,.T.); #1921=FACE_BOUND('',#6785,.T.); #1922=FACE_BOUND('',#6786,.T.); #1923=FACE_BOUND('',#6787,.T.); #1924=FACE_BOUND('',#6788,.T.); #1925=FACE_BOUND('',#6789,.T.); #1926=FACE_BOUND('',#6790,.T.); #1927=FACE_BOUND('',#6791,.T.); #1928=FACE_BOUND('',#6792,.T.); #1929=FACE_BOUND('',#6793,.T.); #1930=FACE_BOUND('',#6938,.T.); #1931=FACE_BOUND('',#6939,.T.); #1932=FACE_BOUND('',#6940,.T.); #1933=FACE_BOUND('',#6941,.T.); #1934=FACE_BOUND('',#6942,.T.); #1935=FACE_BOUND('',#6943,.T.); #1936=FACE_BOUND('',#6944,.T.); #1937=FACE_BOUND('',#6945,.T.); #1938=FACE_BOUND('',#6946,.T.); #1939=FACE_BOUND('',#6947,.T.); #1940=FACE_BOUND('',#6948,.T.); #1941=FACE_BOUND('',#6949,.T.); #1942=FACE_BOUND('',#6950,.T.); #1943=FACE_BOUND('',#6951,.T.); #1944=FACE_BOUND('',#6952,.T.); #1945=FACE_BOUND('',#6953,.T.); #1946=FACE_BOUND('',#6954,.T.); #1947=FACE_BOUND('',#6955,.T.); #1948=FACE_BOUND('',#6956,.T.); #1949=FACE_BOUND('',#6957,.T.); #1950=FACE_BOUND('',#6958,.T.); #1951=FACE_BOUND('',#6959,.T.); #1952=FACE_BOUND('',#6960,.T.); #1953=FACE_BOUND('',#6961,.T.); #1954=FACE_BOUND('',#6962,.T.); #1955=FACE_BOUND('',#6963,.T.); #1956=FACE_BOUND('',#6964,.T.); #1957=FACE_BOUND('',#6965,.T.); #1958=FACE_BOUND('',#6966,.T.); #1959=FACE_BOUND('',#6967,.T.); #1960=FACE_BOUND('',#6968,.T.); #1961=FACE_BOUND('',#6969,.T.); #1962=FACE_BOUND('',#6970,.T.); #1963=FACE_BOUND('',#6971,.T.); #1964=FACE_BOUND('',#6972,.T.); #1965=FACE_BOUND('',#6973,.T.); #1966=FACE_BOUND('',#6974,.T.); #1967=FACE_BOUND('',#6975,.T.); #1968=FACE_BOUND('',#6976,.T.); #1969=FACE_BOUND('',#6977,.T.); #1970=FACE_BOUND('',#6978,.T.); #1971=FACE_BOUND('',#6979,.T.); #1972=FACE_BOUND('',#6980,.T.); #1973=FACE_BOUND('',#6981,.T.); #1974=FACE_BOUND('',#6982,.T.); #1975=FACE_BOUND('',#6983,.T.); #1976=FACE_BOUND('',#6984,.T.); #1977=FACE_BOUND('',#6985,.T.); #1978=FACE_BOUND('',#6986,.T.); #1979=FACE_BOUND('',#6987,.T.); #1980=FACE_BOUND('',#6988,.T.); #1981=FACE_BOUND('',#6989,.T.); #1982=FACE_BOUND('',#6990,.T.); #1983=FACE_BOUND('',#6991,.T.); #1984=FACE_BOUND('',#6992,.T.); #1985=FACE_BOUND('',#6993,.T.); #1986=FACE_BOUND('',#6994,.T.); #1987=FACE_BOUND('',#6995,.T.); #1988=FACE_BOUND('',#6996,.T.); #1989=FACE_BOUND('',#6997,.T.); #1990=FACE_BOUND('',#6998,.T.); #1991=FACE_BOUND('',#6999,.T.); #1992=FACE_BOUND('',#7000,.T.); #1993=FACE_BOUND('',#7001,.T.); #1994=FACE_BOUND('',#7002,.T.); #1995=FACE_BOUND('',#7003,.T.); #1996=FACE_BOUND('',#7004,.T.); #1997=FACE_BOUND('',#7005,.T.); #1998=FACE_BOUND('',#7006,.T.); #1999=FACE_BOUND('',#7007,.T.); #2000=FACE_BOUND('',#7008,.T.); #2001=FACE_BOUND('',#7009,.T.); #2002=FACE_BOUND('',#7010,.T.); #2003=FACE_BOUND('',#7011,.T.); #2004=FACE_BOUND('',#7012,.T.); #2005=FACE_BOUND('',#7013,.T.); #2006=FACE_BOUND('',#7014,.T.); #2007=FACE_BOUND('',#7015,.T.); #2008=FACE_BOUND('',#7016,.T.); #2009=FACE_BOUND('',#7017,.T.); #2010=FACE_BOUND('',#7018,.T.); #2011=FACE_BOUND('',#7019,.T.); #2012=FACE_BOUND('',#7020,.T.); #2013=FACE_BOUND('',#7021,.T.); #2014=FACE_BOUND('',#7022,.T.); #2015=FACE_BOUND('',#7023,.T.); #2016=FACE_BOUND('',#7024,.T.); #2017=FACE_BOUND('',#7025,.T.); #2018=FACE_BOUND('',#7026,.T.); #2019=FACE_BOUND('',#7027,.T.); #2020=FACE_BOUND('',#7028,.T.); #2021=FACE_BOUND('',#7029,.T.); #2022=FACE_BOUND('',#7030,.T.); #2023=FACE_BOUND('',#7031,.T.); #2024=FACE_BOUND('',#7032,.T.); #2025=FACE_BOUND('',#7033,.T.); #2026=FACE_BOUND('',#7034,.T.); #2027=FACE_BOUND('',#7044,.T.); #2028=FACE_BOUND('',#7045,.T.); #2029=FACE_BOUND('',#7046,.T.); #2030=FACE_BOUND('',#7047,.T.); #2031=FACE_BOUND('',#7048,.T.); #2032=FACE_BOUND('',#7049,.T.); #2033=FACE_BOUND('',#7050,.T.); #2034=FACE_BOUND('',#7051,.T.); #2035=FACE_BOUND('',#7052,.T.); #2036=FACE_BOUND('',#7053,.T.); #2037=FACE_BOUND('',#7054,.T.); #2038=FACE_BOUND('',#7055,.T.); #2039=FACE_BOUND('',#7056,.T.); #2040=FACE_BOUND('',#7057,.T.); #2041=FACE_BOUND('',#7058,.T.); #2042=FACE_BOUND('',#7059,.T.); #2043=FACE_BOUND('',#7060,.T.); #2044=FACE_BOUND('',#7061,.T.); #2045=FACE_BOUND('',#7062,.T.); #2046=FACE_BOUND('',#7063,.T.); #2047=FACE_BOUND('',#7064,.T.); #2048=FACE_BOUND('',#7065,.T.); #2049=FACE_BOUND('',#7066,.T.); #2050=FACE_BOUND('',#7067,.T.); #2051=FACE_BOUND('',#7068,.T.); #2052=FACE_BOUND('',#7069,.T.); #2053=FACE_BOUND('',#7070,.T.); #2054=FACE_BOUND('',#7071,.T.); #2055=FACE_BOUND('',#7072,.T.); #2056=FACE_BOUND('',#7073,.T.); #2057=FACE_BOUND('',#7074,.T.); #2058=FACE_BOUND('',#7075,.T.); #2059=FACE_BOUND('',#7076,.T.); #2060=FACE_BOUND('',#7077,.T.); #2061=FACE_BOUND('',#7078,.T.); #2062=FACE_BOUND('',#7079,.T.); #2063=FACE_BOUND('',#7080,.T.); #2064=FACE_BOUND('',#7081,.T.); #2065=FACE_BOUND('',#7082,.T.); #2066=FACE_BOUND('',#7083,.T.); #2067=FACE_BOUND('',#7084,.T.); #2068=FACE_BOUND('',#7085,.T.); #2069=FACE_BOUND('',#7086,.T.); #2070=FACE_BOUND('',#7087,.T.); #2071=FACE_BOUND('',#7088,.T.); #2072=FACE_BOUND('',#7089,.T.); #2073=FACE_BOUND('',#7090,.T.); #2074=FACE_BOUND('',#7091,.T.); #2075=FACE_BOUND('',#7092,.T.); #2076=FACE_BOUND('',#7093,.T.); #2077=FACE_BOUND('',#7094,.T.); #2078=FACE_BOUND('',#7095,.T.); #2079=FACE_BOUND('',#7096,.T.); #2080=FACE_BOUND('',#7097,.T.); #2081=FACE_BOUND('',#7098,.T.); #2082=FACE_BOUND('',#7099,.T.); #2083=FACE_BOUND('',#7100,.T.); #2084=FACE_BOUND('',#7101,.T.); #2085=FACE_BOUND('',#7102,.T.); #2086=FACE_BOUND('',#7103,.T.); #2087=FACE_BOUND('',#7104,.T.); #2088=FACE_BOUND('',#7105,.T.); #2089=FACE_BOUND('',#7106,.T.); #2090=FACE_BOUND('',#7107,.T.); #2091=FACE_BOUND('',#7108,.T.); #2092=FACE_BOUND('',#7109,.T.); #2093=FACE_BOUND('',#7110,.T.); #2094=FACE_BOUND('',#7111,.T.); #2095=FACE_BOUND('',#7112,.T.); #2096=FACE_BOUND('',#7113,.T.); #2097=FACE_BOUND('',#7114,.T.); #2098=FACE_BOUND('',#7115,.T.); #2099=FACE_BOUND('',#7116,.T.); #2100=FACE_BOUND('',#7117,.T.); #2101=FACE_BOUND('',#7118,.T.); #2102=FACE_BOUND('',#7119,.T.); #2103=FACE_BOUND('',#7120,.T.); #2104=FACE_BOUND('',#7121,.T.); #2105=FACE_BOUND('',#7122,.T.); #2106=FACE_BOUND('',#7123,.T.); #2107=FACE_BOUND('',#7124,.T.); #2108=FACE_BOUND('',#7125,.T.); #2109=FACE_BOUND('',#7126,.T.); #2110=FACE_BOUND('',#7127,.T.); #2111=FACE_BOUND('',#7128,.T.); #2112=FACE_BOUND('',#7129,.T.); #2113=FACE_BOUND('',#7130,.T.); #2114=FACE_BOUND('',#7131,.T.); #2115=FACE_BOUND('',#7132,.T.); #2116=FACE_BOUND('',#7133,.T.); #2117=FACE_BOUND('',#7134,.T.); #2118=FACE_BOUND('',#7135,.T.); #2119=FACE_BOUND('',#7136,.T.); #2120=FACE_BOUND('',#7137,.T.); #2121=FACE_BOUND('',#7138,.T.); #2122=FACE_BOUND('',#7139,.T.); #2123=FACE_BOUND('',#7140,.T.); #2124=FACE_BOUND('',#7208,.T.); #2125=FACE_BOUND('',#7209,.T.); #2126=FACE_BOUND('',#7210,.T.); #2127=FACE_BOUND('',#7211,.T.); #2128=FACE_BOUND('',#7212,.T.); #2129=FACE_BOUND('',#7213,.T.); #2130=FACE_BOUND('',#7214,.T.); #2131=FACE_BOUND('',#7216,.T.); #2132=FACE_BOUND('',#7217,.T.); #2133=FACE_BOUND('',#7218,.T.); #2134=FACE_BOUND('',#7219,.T.); #2135=FACE_BOUND('',#7220,.T.); #2136=FACE_BOUND('',#7221,.T.); #2137=FACE_BOUND('',#7222,.T.); #2138=FACE_BOUND('',#7223,.T.); #2139=FACE_BOUND('',#7224,.T.); #2140=FACE_BOUND('',#7225,.T.); #2141=FACE_BOUND('',#7226,.T.); #2142=FACE_BOUND('',#7227,.T.); #2143=FACE_BOUND('',#7228,.T.); #2144=FACE_BOUND('',#7229,.T.); #2145=FACE_BOUND('',#7230,.T.); #2146=FACE_BOUND('',#7231,.T.); #2147=FACE_BOUND('',#7232,.T.); #2148=FACE_BOUND('',#7233,.T.); #2149=FACE_BOUND('',#7236,.T.); #2150=FACE_BOUND('',#7237,.T.); #2151=FACE_BOUND('',#7238,.T.); #2152=FACE_BOUND('',#7239,.T.); #2153=FACE_BOUND('',#7240,.T.); #2154=FACE_BOUND('',#7241,.T.); #2155=FACE_BOUND('',#7242,.T.); #2156=FACE_BOUND('',#7244,.T.); #2157=FACE_BOUND('',#7245,.T.); #2158=FACE_BOUND('',#7246,.T.); #2159=FACE_BOUND('',#7247,.T.); #2160=FACE_BOUND('',#7248,.T.); #2161=FACE_BOUND('',#7249,.T.); #2162=FACE_BOUND('',#7250,.T.); #2163=FACE_BOUND('',#7251,.T.); #2164=FACE_BOUND('',#7252,.T.); #2165=FACE_BOUND('',#7253,.T.); #2166=FACE_BOUND('',#7254,.T.); #2167=FACE_BOUND('',#7255,.T.); #2168=FACE_BOUND('',#7256,.T.); #2169=FACE_BOUND('',#7257,.T.); #2170=FACE_BOUND('',#7258,.T.); #2171=FACE_BOUND('',#7259,.T.); #2172=FACE_BOUND('',#7260,.T.); #2173=FACE_BOUND('',#7261,.T.); #2174=FACE_BOUND('',#7262,.T.); #2175=FACE_BOUND('',#7263,.T.); #2176=FACE_BOUND('',#7264,.T.); #2177=FACE_BOUND('',#7265,.T.); #2178=FACE_BOUND('',#7266,.T.); #2179=FACE_BOUND('',#7267,.T.); #2180=FACE_BOUND('',#7268,.T.); #2181=FACE_BOUND('',#7269,.T.); #2182=FACE_BOUND('',#7270,.T.); #2183=FACE_BOUND('',#7271,.T.); #2184=FACE_BOUND('',#7308,.T.); #2185=FACE_BOUND('',#7309,.T.); #2186=FACE_BOUND('',#7310,.T.); #2187=FACE_BOUND('',#7311,.T.); #2188=FACE_BOUND('',#7312,.T.); #2189=FACE_BOUND('',#7313,.T.); #2190=FACE_BOUND('',#7314,.T.); #2191=FACE_BOUND('',#7315,.T.); #2192=FACE_BOUND('',#7316,.T.); #2193=FACE_BOUND('',#7317,.T.); #2194=FACE_BOUND('',#7318,.T.); #2195=FACE_BOUND('',#7319,.T.); #2196=FACE_BOUND('',#7320,.T.); #2197=FACE_BOUND('',#7321,.T.); #2198=FACE_BOUND('',#7322,.T.); #2199=FACE_BOUND('',#7323,.T.); #2200=FACE_BOUND('',#7324,.T.); #2201=FACE_BOUND('',#7325,.T.); #2202=FACE_BOUND('',#7326,.T.); #2203=FACE_BOUND('',#7327,.T.); #2204=FACE_BOUND('',#7328,.T.); #2205=FACE_BOUND('',#7329,.T.); #2206=FACE_BOUND('',#7330,.T.); #2207=FACE_BOUND('',#7331,.T.); #2208=FACE_BOUND('',#7332,.T.); #2209=FACE_BOUND('',#7333,.T.); #2210=FACE_BOUND('',#7334,.T.); #2211=FACE_BOUND('',#7335,.T.); #2212=FACE_BOUND('',#7336,.T.); #2213=FACE_BOUND('',#7337,.T.); #2214=FACE_BOUND('',#7338,.T.); #2215=FACE_BOUND('',#7339,.T.); #2216=FACE_BOUND('',#7342,.T.); #2217=FACE_BOUND('',#7343,.T.); #2218=FACE_BOUND('',#7344,.T.); #2219=FACE_BOUND('',#7345,.T.); #2220=FACE_BOUND('',#7346,.T.); #2221=FACE_BOUND('',#7347,.T.); #2222=FACE_BOUND('',#7348,.T.); #2223=FACE_BOUND('',#7349,.T.); #2224=FACE_BOUND('',#7350,.T.); #2225=FACE_BOUND('',#7351,.T.); #2226=FACE_BOUND('',#7352,.T.); #2227=FACE_BOUND('',#7353,.T.); #2228=FACE_BOUND('',#7354,.T.); #2229=FACE_BOUND('',#7355,.T.); #2230=FACE_BOUND('',#7356,.T.); #2231=FACE_BOUND('',#7357,.T.); #2232=FACE_BOUND('',#7358,.T.); #2233=FACE_BOUND('',#7359,.T.); #2234=FACE_BOUND('',#7360,.T.); #2235=FACE_BOUND('',#7361,.T.); #2236=FACE_BOUND('',#7362,.T.); #2237=FACE_BOUND('',#7363,.T.); #2238=FACE_BOUND('',#7364,.T.); #2239=FACE_BOUND('',#7365,.T.); #2240=FACE_BOUND('',#7366,.T.); #2241=FACE_BOUND('',#7367,.T.); #2242=FACE_BOUND('',#7368,.T.); #2243=FACE_BOUND('',#7369,.T.); #2244=FACE_BOUND('',#7370,.T.); #2245=FACE_BOUND('',#7371,.T.); #2246=FACE_BOUND('',#7372,.T.); #2247=FACE_BOUND('',#7373,.T.); #2248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42511,#42512,#42513,#42514,#42515, #42516,#42517,#42518,#42519,#42520,#42521,#42522,#42523,#42524,#42525), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,3,2,4),(-0.383381532784666,-0.307290526916946, -0.142113064167825,-0.071056532083912,0.,0.158320985573796,0.302869945967054), .UNSPECIFIED.); #2249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42527,#42528,#42529,#42530,#42531, #42532),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.567384629977354,0.615558377473853, 0.698588572744429),.UNSPECIFIED.); #2250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42533,#42534,#42535,#42536,#42537, #42538,#42539,#42540,#42541,#42542,#42543,#42544,#42545,#42546,#42547,#42548, #42549,#42550,#42551,#42552,#42553,#42554,#42555,#42556,#42557,#42558,#42559), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,3,2,2,2,2,2,4),(-0.587085710529863, -0.517522799816233,-0.433129662172169,-0.348736524528105,-0.238691158757452, -0.119345579378726,0.,0.122785347810656,0.245570695621312,0.357247067393547, 0.441191133738381,0.525135200083216,0.567384629977354),.UNSPECIFIED.); #2251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43023,#43024,#43025,#43026,#43027, #43028),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.07090554302662,2.10056124265951, 2.11356025207628),.UNSPECIFIED.); #2252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43030,#43031,#43032,#43033,#43034, #43035,#43036,#43037,#43038,#43039,#43040,#43041,#43042,#43043,#43044,#43045, #43046,#43047,#43048,#43049,#43050,#43051,#43052,#43053,#43054,#43055,#43056, #43057,#43058),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,3,2,4),(-0.4630317876014, -0.404127783435722,-0.328518360762979,-0.290713649426608,-0.252908938090237, -0.219639476192331,-0.186370014294425,-0.155021843414883,-0.123673672535342, -0.0859441773032302,-0.0482146820711182,0.,0.0763231297311462,0.0979725279073298), .UNSPECIFIED.); #2253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43059,#43060,#43061,#43062,#43063, #43064),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.11356025207628,2.19084061591685, 2.27646260068711),.UNSPECIFIED.); #2254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43875,#43876,#43877,#43878,#43879, #43880,#43881,#43882,#43883,#43884,#43885,#43886,#43887,#43888), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(1.16680380659992,1.31135289910275, 1.46967302008756,1.54073022322348,1.6117874263594,1.77696400851851,1.8530549054458), .UNSPECIFIED.); #2255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43890,#43891,#43892,#43893,#43894, #43895,#43896,#43897,#43898,#43899,#43900,#43901,#43902,#43903,#43904,#43905, #43906,#43907,#43908,#43909,#43910,#43911,#43912,#43913,#43914,#43915), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(1.18902783312587,1.23127516735319, 1.31521965250903,1.39916413766487,1.51084110359888,1.63362657022657,1.75641203685425, 1.87575767272507,1.99510330859589,2.10514916809175,2.18954267655008,2.2739361850084, 2.34349702940325),.UNSPECIFIED.); #2256=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43916,#43917,#43918,#43919,#43920, #43921),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.05782364916435,1.14085138864556, 1.18902783312587),.UNSPECIFIED.); #2257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43966,#43967,#43968,#43969,#43970, #43971),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.97333423762872,2.06201792176739, 2.13571439915208),.UNSPECIFIED.); #2258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43973,#43974,#43975,#43976,#43977, #43978),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.13571439915208,2.15191355107703, 2.182023569003),.UNSPECIFIED.); #2259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43988,#43989,#43990,#43991,#43992, #43993,#43994,#43995,#43996,#43997,#43998,#43999,#44000,#44001,#44002,#44003, #44004,#44005,#44006,#44007,#44008,#44009,#44010,#44011,#44012,#44013,#44014, #44015,#44016),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,3,4),(-0.505159889365343, -0.48698974340047,-0.408127881037484,-0.357801966789843,-0.318892729588378, -0.279983492386913,-0.248546704999989,-0.217109917613065,-0.183831593232934, -0.150553268852803,-0.112914951639602,-0.0752766344264016,0.,0.0589924235527475), .UNSPECIFIED.); #2260=CONICAL_SURFACE('',#29297,0.06675,1.02974425867665); #2261=CONICAL_SURFACE('',#29301,0.06675,1.02974425867665); #2262=CONICAL_SURFACE('',#29305,0.06675,1.02974425867665); #2263=CONICAL_SURFACE('',#29309,0.06675,1.02974425867665); #2264=CONICAL_SURFACE('',#29313,0.06675,1.02974425867665); #2265=CONICAL_SURFACE('',#29317,0.06675,1.02974425867665); #2266=CONICAL_SURFACE('',#29321,0.06675,1.02974425867665); #2267=CONICAL_SURFACE('',#29325,0.06675,1.02974425867665); #2268=CONICAL_SURFACE('',#29329,0.06675,1.02974425867665); #2269=CONICAL_SURFACE('',#29333,0.06675,1.02974425867665); #2270=CONICAL_SURFACE('',#29337,0.06675,1.02974425867665); #2271=CONICAL_SURFACE('',#29341,0.06675,1.02974425867665); #2272=CONICAL_SURFACE('',#29348,0.06675,1.02974425867665); #2273=CONICAL_SURFACE('',#29349,0.06675,1.02974425867665); #2274=CONICAL_SURFACE('',#29351,0.06675,1.02974425867665); #2275=CONICAL_SURFACE('',#29355,0.06675,1.02974425867665); #2276=CONICAL_SURFACE('',#29359,0.06675,1.02974425867665); #2277=CONICAL_SURFACE('',#29363,0.06675,1.02974425867665); #2278=CONICAL_SURFACE('',#29367,0.06675,1.02974425867665); #2279=CONICAL_SURFACE('',#29371,0.06675,1.02974425867665); #2280=CONICAL_SURFACE('',#29375,0.06675,1.02974425867665); #2281=CONICAL_SURFACE('',#29379,0.06675,1.02974425867665); #2282=CONICAL_SURFACE('',#29383,0.06675,1.02974425867665); #2283=CONICAL_SURFACE('',#29387,0.06675,1.02974425867665); #2284=CONICAL_SURFACE('',#29391,0.06675,1.02974425867665); #2285=CONICAL_SURFACE('',#29395,0.06675,1.02974425867665); #2286=CONICAL_SURFACE('',#29399,0.06675,1.02974425867665); #2287=CONICAL_SURFACE('',#29403,0.06675,1.02974425867665); #2288=CONICAL_SURFACE('',#29407,0.06675,1.02974425867665); #2289=CONICAL_SURFACE('',#29411,0.06675,1.02974425867665); #2290=CONICAL_SURFACE('',#29415,0.06675,1.02974425867665); #2291=CONICAL_SURFACE('',#29419,0.06675,1.02974425867665); #2292=CONICAL_SURFACE('',#29423,0.06675,1.02974425867665); #2293=CONICAL_SURFACE('',#29427,0.06675,1.02974425867665); #2294=CONICAL_SURFACE('',#29431,0.06675,1.02974425867665); #2295=CONICAL_SURFACE('',#29435,0.06675,1.02974425867665); #2296=CONICAL_SURFACE('',#29439,0.06675,1.02974425867665); #2297=CONICAL_SURFACE('',#29443,0.06675,1.02974425867665); #2298=CONICAL_SURFACE('',#29447,0.06675,1.02974425867665); #2299=CONICAL_SURFACE('',#29451,0.06675,1.02974425867665); #2300=CONICAL_SURFACE('',#29455,0.06675,1.02974425867665); #2301=CONICAL_SURFACE('',#29459,0.06675,1.02974425867665); #2302=CONICAL_SURFACE('',#29463,0.06675,1.02974425867665); #2303=CONICAL_SURFACE('',#29467,0.06675,1.02974425867665); #2304=CONICAL_SURFACE('',#29496,0.049,1.02974425867665); #2305=CONICAL_SURFACE('',#29500,0.049,1.02974425867665); #2306=CONICAL_SURFACE('',#29504,0.049,1.02974425867665); #2307=CONICAL_SURFACE('',#29508,0.049,1.02974425867665); #2308=CONICAL_SURFACE('',#29512,0.049,1.02974425867665); #2309=CONICAL_SURFACE('',#29516,0.049,1.02974425867665); #2310=CONICAL_SURFACE('',#29520,0.049,1.02974425867665); #2311=CONICAL_SURFACE('',#29524,0.049,1.02974425867665); #2312=CONICAL_SURFACE('',#29528,0.049,1.02974425867665); #2313=CONICAL_SURFACE('',#29532,0.049,1.02974425867665); #2314=CONICAL_SURFACE('',#29536,0.049,1.02974425867665); #2315=CONICAL_SURFACE('',#29540,0.049,1.02974425867665); #2316=CONICAL_SURFACE('',#29548,0.06675,1.02974425867665); #2317=CONICAL_SURFACE('',#29552,0.06675,1.02974425867665); #2318=CONICAL_SURFACE('',#29556,0.06675,1.02974425867665); #2319=CONICAL_SURFACE('',#29560,0.06675,1.02974425867665); #2320=CONICAL_SURFACE('',#29615,0.06675,1.02974425867665); #2321=CONICAL_SURFACE('',#29619,0.06675,1.02974425867665); #2322=CONICAL_SURFACE('',#29623,0.06675,1.02974425867665); #2323=CONICAL_SURFACE('',#29627,0.06675,1.02974425867665); #2324=CONICAL_SURFACE('',#29631,0.06675,1.02974425867665); #2325=CONICAL_SURFACE('',#29632,0.06675,1.02974425867665); #2326=CONICAL_SURFACE('',#29636,0.06675,1.02974425867665); #2327=CONICAL_SURFACE('',#29640,0.06675,1.02974425867665); #2328=CONICAL_SURFACE('',#29644,0.06675,1.02974425867665); #2329=CONICAL_SURFACE('',#29648,0.06675,1.02974425867665); #2330=CONICAL_SURFACE('',#29652,0.06675,1.02974425867665); #2331=CONICAL_SURFACE('',#29656,0.06675,1.02974425867665); #2332=CONICAL_SURFACE('',#29660,0.06675,1.02974425867665); #2333=CONICAL_SURFACE('',#29664,0.06675,1.02974425867665); #2334=CONICAL_SURFACE('',#29668,0.06675,1.02974425867665); #2335=CONICAL_SURFACE('',#29672,0.06675,1.02974425867665); #2336=CONICAL_SURFACE('',#29676,0.06675,1.02974425867665); #2337=CONICAL_SURFACE('',#29680,0.06675,1.02974425867665); #2338=CONICAL_SURFACE('',#29684,0.06675,1.02974425867665); #2339=CONICAL_SURFACE('',#29688,0.06675,1.02974425867665); #2340=CONICAL_SURFACE('',#29692,0.06675,1.02974425867665); #2341=CONICAL_SURFACE('',#29696,0.06675,1.02974425867665); #2342=CONICAL_SURFACE('',#29700,0.06675,1.02974425867665); #2343=CONICAL_SURFACE('',#29704,0.06675,1.02974425867665); #2344=CONICAL_SURFACE('',#29708,0.06675,1.02974425867665); #2345=CONICAL_SURFACE('',#29712,0.06675,1.02974425867665); #2346=CONICAL_SURFACE('',#29716,0.06675,1.02974425867665); #2347=CONICAL_SURFACE('',#29720,0.06675,1.02974425867665); #2348=CONICAL_SURFACE('',#29724,0.06675,1.02974425867665); #2349=CONICAL_SURFACE('',#29728,0.06675,1.02974425867665); #2350=CONICAL_SURFACE('',#29732,0.06675,1.02974425867665); #2351=CONICAL_SURFACE('',#29736,0.06675,1.02974425867665); #2352=CONICAL_SURFACE('',#29740,0.06675,1.02974425867665); #2353=CONICAL_SURFACE('',#29744,0.06675,1.02974425867665); #2354=CONICAL_SURFACE('',#29748,0.06675,1.02974425867665); #2355=CONICAL_SURFACE('',#29752,0.06675,1.02974425867665); #2356=CONICAL_SURFACE('',#29756,0.06675,1.02974425867665); #2357=CONICAL_SURFACE('',#29760,0.06675,1.02974425867665); #2358=CONICAL_SURFACE('',#29764,0.06675,1.02974425867665); #2359=CONICAL_SURFACE('',#29768,0.06675,1.02974425867665); #2360=CONICAL_SURFACE('',#29772,0.06675,1.02974425867665); #2361=CONICAL_SURFACE('',#29776,0.06675,1.02974425867665); #2362=CONICAL_SURFACE('',#29780,0.06675,1.02974425867665); #2363=CONICAL_SURFACE('',#29784,0.06675,1.02974425867665); #2364=CONICAL_SURFACE('',#29788,0.06675,1.02974425867665); #2365=CONICAL_SURFACE('',#29792,0.06675,1.02974425867665); #2366=CONICAL_SURFACE('',#29796,0.06675,1.02974425867665); #2367=CONICAL_SURFACE('',#29800,0.06675,1.02974425867665); #2368=CONICAL_SURFACE('',#29804,0.06675,1.02974425867665); #2369=CONICAL_SURFACE('',#29808,0.06675,1.02974425867665); #2370=CONICAL_SURFACE('',#29812,0.06675,1.02974425867665); #2371=CONICAL_SURFACE('',#29816,0.06675,1.02974425867665); #2372=CONICAL_SURFACE('',#29856,0.06675,1.02974425867665); #2373=CONICAL_SURFACE('',#29860,0.06675,1.02974425867665); #2374=CONICAL_SURFACE('',#29864,0.06675,1.02974425867665); #2375=CONICAL_SURFACE('',#29868,0.06675,1.02974425867665); #2376=CONICAL_SURFACE('',#29872,0.06675,1.02974425867665); #2377=CONICAL_SURFACE('',#29876,0.06675,1.02974425867665); #2378=CONICAL_SURFACE('',#29880,0.06675,1.02974425867665); #2379=CONICAL_SURFACE('',#29884,0.06675,1.02974425867665); #2380=CONICAL_SURFACE('',#29891,0.06675,1.02974425867665); #2381=CONICAL_SURFACE('',#29892,0.06675,1.02974425867665); #2382=CONICAL_SURFACE('',#29894,0.06675,1.02974425867665); #2383=CONICAL_SURFACE('',#29898,0.06675,1.02974425867665); #2384=CONICAL_SURFACE('',#29902,0.06675,1.02974425867665); #2385=CONICAL_SURFACE('',#29906,0.06675,1.02974425867665); #2386=CONICAL_SURFACE('',#29910,0.06675,1.02974425867665); #2387=CONICAL_SURFACE('',#29915,0.06675,1.02974425867665); #2388=CONICAL_SURFACE('',#29919,0.06675,1.02974425867665); #2389=CONICAL_SURFACE('',#29923,0.06675,1.02974425867665); #2390=CONICAL_SURFACE('',#29927,0.06675,1.02974425867665); #2391=CONICAL_SURFACE('',#29931,0.049,1.02974425867665); #2392=CONICAL_SURFACE('',#29933,0.049,1.02974425867665); #2393=CONICAL_SURFACE('',#29937,0.049,1.02974425867665); #2394=CONICAL_SURFACE('',#29941,0.049,1.02974425867665); #2395=CONICAL_SURFACE('',#29945,0.049,1.02974425867665); #2396=CONICAL_SURFACE('',#29949,0.049,1.02974425867665); #2397=CONICAL_SURFACE('',#29953,0.049,1.02974425867665); #2398=CONICAL_SURFACE('',#29957,0.049,1.02974425867665); #2399=CONICAL_SURFACE('',#29961,0.049,1.02974425867665); #2400=CONICAL_SURFACE('',#29965,0.049,1.02974425867665); #2401=CONICAL_SURFACE('',#29969,0.049,1.02974425867665); #2402=CONICAL_SURFACE('',#29973,0.049,1.02974425867665); #2403=CONICAL_SURFACE('',#29979,0.06675,1.02974425867665); #2404=CONICAL_SURFACE('',#29983,0.06675,1.02974425867665); #2405=CONICAL_SURFACE('',#29987,0.06675,1.02974425867665); #2406=CONICAL_SURFACE('',#29991,0.06675,1.02974425867665); #2407=CONICAL_SURFACE('',#29995,0.06675,1.02974425867665); #2408=CONICAL_SURFACE('',#29999,0.06675,1.02974425867665); #2409=CONICAL_SURFACE('',#30003,0.06675,1.02974425867665); #2410=CONICAL_SURFACE('',#30007,0.06675,1.02974425867665); #2411=CONICAL_SURFACE('',#30011,0.06675,1.02974425867665); #2412=CONICAL_SURFACE('',#30015,0.06675,1.02974425867665); #2413=CONICAL_SURFACE('',#30019,0.06675,1.02974425867665); #2414=CONICAL_SURFACE('',#30023,0.06675,1.02974425867665); #2415=CONICAL_SURFACE('',#30027,0.06675,1.02974425867665); #2416=CONICAL_SURFACE('',#30031,0.06675,1.02974425867665); #2417=CONICAL_SURFACE('',#30035,0.06675,1.02974425867665); #2418=CONICAL_SURFACE('',#30039,0.06675,1.02974425867665); #2419=CONICAL_SURFACE('',#30043,0.06675,1.02974425867665); #2420=CONICAL_SURFACE('',#30047,0.06675,1.02974425867665); #2421=CONICAL_SURFACE('',#30051,0.06675,1.02974425867665); #2422=CONICAL_SURFACE('',#30055,0.06675,1.02974425867665); #2423=CONICAL_SURFACE('',#30059,0.06675,1.02974425867665); #2424=CONICAL_SURFACE('',#30063,0.06675,1.02974425867665); #2425=CONICAL_SURFACE('',#30067,0.06675,1.02974425867665); #2426=CONICAL_SURFACE('',#30071,0.06675,1.02974425867665); #2427=CONICAL_SURFACE('',#30075,0.06675,1.02974425867665); #2428=CONICAL_SURFACE('',#30079,0.06675,1.02974425867665); #2429=CONICAL_SURFACE('',#30083,0.06675,1.02974425867665); #2430=CONICAL_SURFACE('',#30087,0.06675,1.02974425867665); #2431=CONICAL_SURFACE('',#30091,0.06675,1.02974425867665); #2432=CONICAL_SURFACE('',#30095,0.06675,1.02974425867665); #2433=CONICAL_SURFACE('',#30099,0.06675,1.02974425867665); #2434=CONICAL_SURFACE('',#30103,0.06675,1.02974425867665); #2435=CONICAL_SURFACE('',#30107,0.06675,1.02974425867665); #2436=CONICAL_SURFACE('',#30111,0.06675,1.02974425867665); #2437=CONICAL_SURFACE('',#30115,0.06675,1.02974425867665); #2438=CONICAL_SURFACE('',#30119,0.06675,1.02974425867665); #2439=CONICAL_SURFACE('',#30123,0.06675,1.02974425867665); #2440=CONICAL_SURFACE('',#30127,0.06675,1.02974425867665); #2441=CONICAL_SURFACE('',#30131,0.06675,1.02974425867665); #2442=CONICAL_SURFACE('',#30135,0.06675,1.02974425867665); #2443=CONICAL_SURFACE('',#30139,0.06675,1.02974425867665); #2444=CONICAL_SURFACE('',#30143,0.06675,1.02974425867665); #2445=CONICAL_SURFACE('',#30147,0.06675,1.02974425867665); #2446=CONICAL_SURFACE('',#30151,0.06675,1.02974425867665); #2447=CONICAL_SURFACE('',#30155,0.06675,1.02974425867665); #2448=CONICAL_SURFACE('',#30159,0.06675,1.02974425867665); #2449=CONICAL_SURFACE('',#30163,0.06675,1.02974425867665); #2450=CONICAL_SURFACE('',#30167,0.06675,1.02974425867665); #2451=CONICAL_SURFACE('',#30171,0.06675,1.02974425867665); #2452=CONICAL_SURFACE('',#30175,0.06675,1.02974425867665); #2453=CONICAL_SURFACE('',#30179,0.06675,1.02974425867665); #2454=CONICAL_SURFACE('',#30183,0.06675,1.02974425867665); #2455=CONICAL_SURFACE('',#30187,0.06675,1.02974425867665); #2456=CONICAL_SURFACE('',#30191,0.06675,1.02974425867665); #2457=CONICAL_SURFACE('',#30195,0.06675,1.02974425867665); #2458=CONICAL_SURFACE('',#30199,0.06675,1.02974425867665); #2459=CONICAL_SURFACE('',#30203,0.06675,1.02974425867665); #2460=CONICAL_SURFACE('',#30207,0.06675,1.02974425867665); #2461=CONICAL_SURFACE('',#30211,0.06675,1.02974425867665); #2462=CONICAL_SURFACE('',#30214,0.06675,1.02974425867665); #2463=CONICAL_SURFACE('',#30217,0.06675,1.02974425867665); #2464=CONICAL_SURFACE('',#30220,0.06675,1.02974425867665); #2465=CONICAL_SURFACE('',#30223,0.06675,1.02974425867665); #2466=CONICAL_SURFACE('',#30226,0.06675,1.02974425867665); #2467=CONICAL_SURFACE('',#30229,0.06675,1.02974425867665); #2468=CONICAL_SURFACE('',#30232,0.06675,1.02974425867665); #2469=CONICAL_SURFACE('',#30235,0.06675,1.02974425867665); #2470=CONICAL_SURFACE('',#30239,0.06675,1.02974425867665); #2471=CONICAL_SURFACE('',#30243,0.06675,1.02974425867665); #2472=CONICAL_SURFACE('',#30247,0.06675,1.02974425867665); #2473=CONICAL_SURFACE('',#30251,0.06675,1.02974425867665); #2474=CONICAL_SURFACE('',#30255,0.06675,1.02974425867665); #2475=CONICAL_SURFACE('',#30259,0.06675,1.02974425867665); #2476=CONICAL_SURFACE('',#30263,0.06675,1.02974425867665); #2477=CONICAL_SURFACE('',#30267,0.06675,1.02974425867665); #2478=CONICAL_SURFACE('',#30271,0.06675,1.02974425867665); #2479=CONICAL_SURFACE('',#30275,0.06675,1.02974425867665); #2480=CONICAL_SURFACE('',#30279,0.06675,1.02974425867665); #2481=CONICAL_SURFACE('',#30283,0.06675,1.02974425867665); #2482=CONICAL_SURFACE('',#30287,0.06675,1.02974425867665); #2483=CONICAL_SURFACE('',#30291,0.06675,1.02974425867665); #2484=CONICAL_SURFACE('',#30870,0.06675,1.02974425867665); #2485=CONICAL_SURFACE('',#30874,0.06675,1.02974425867665); #2486=CONICAL_SURFACE('',#30878,0.06675,1.02974425867665); #2487=CONICAL_SURFACE('',#30882,0.06675,1.02974425867665); #2488=CONICAL_SURFACE('',#30886,0.06675,1.02974425867665); #2489=CONICAL_SURFACE('',#30890,0.06675,1.02974425867665); #2490=CONICAL_SURFACE('',#30894,0.06675,1.02974425867665); #2491=CONICAL_SURFACE('',#30898,0.06675,1.02974425867665); #2492=CONICAL_SURFACE('',#30902,0.06675,1.02974425867665); #2493=CONICAL_SURFACE('',#30906,0.06675,1.02974425867665); #2494=CONICAL_SURFACE('',#30910,0.06675,1.02974425867665); #2495=CONICAL_SURFACE('',#30914,0.06675,1.02974425867665); #2496=CONICAL_SURFACE('',#30918,0.06675,1.02974425867665); #2497=CONICAL_SURFACE('',#30922,0.06675,1.02974425867665); #2498=CONICAL_SURFACE('',#30926,0.06675,1.02974425867665); #2499=CONICAL_SURFACE('',#30930,0.06675,1.02974425867665); #2500=CONICAL_SURFACE('',#30934,0.06675,1.02974425867665); #2501=CONICAL_SURFACE('',#30938,0.06675,1.02974425867665); #2502=CONICAL_SURFACE('',#30942,0.06675,1.02974425867665); #2503=CONICAL_SURFACE('',#30946,0.06675,1.02974425867665); #2504=CONICAL_SURFACE('',#30950,0.06675,1.02974425867665); #2505=CONICAL_SURFACE('',#31233,0.063,1.02974425867665); #2506=CONICAL_SURFACE('',#31237,0.063,1.02974425867665); #2507=CONICAL_SURFACE('',#31241,0.063,1.02974425867665); #2508=CONICAL_SURFACE('',#31245,0.063,1.02974425867665); #2509=CONICAL_SURFACE('',#31249,0.063,1.02974425867665); #2510=CONICAL_SURFACE('',#31253,0.063,1.02974425867665); #2511=CONICAL_SURFACE('',#31257,0.063,1.02974425867665); #2512=CONICAL_SURFACE('',#31261,0.063,1.02974425867665); #2513=CONICAL_SURFACE('',#31265,0.063,1.02974425867665); #2514=CONICAL_SURFACE('',#31269,0.063,1.02974425867665); #2515=CONICAL_SURFACE('',#31273,0.063,1.02974425867665); #2516=CONICAL_SURFACE('',#31277,0.063,1.02974425867665); #2517=CONICAL_SURFACE('',#31281,0.063,1.02974425867665); #2518=CONICAL_SURFACE('',#31285,0.063,1.02974425867665); #2519=CONICAL_SURFACE('',#31289,0.063,1.02974425867665); #2520=CONICAL_SURFACE('',#31293,0.063,1.02974425867665); #2521=CONICAL_SURFACE('',#31297,0.063,1.02974425867665); #2522=CONICAL_SURFACE('',#31301,0.063,1.02974425867665); #2523=CONICAL_SURFACE('',#31305,0.063,1.02974425867665); #2524=CONICAL_SURFACE('',#31309,0.063,1.02974425867665); #2525=CONICAL_SURFACE('',#31313,0.063,1.02974425867665); #2526=CONICAL_SURFACE('',#31317,0.063,1.02974425867665); #2527=CONICAL_SURFACE('',#31321,0.063,1.02974425867665); #2528=CONICAL_SURFACE('',#31325,0.063,1.02974425867665); #2529=CONICAL_SURFACE('',#31329,0.063,1.02974425867665); #2530=CONICAL_SURFACE('',#31333,0.063,1.02974425867665); #2531=CONICAL_SURFACE('',#31337,0.063,1.02974425867665); #2532=CONICAL_SURFACE('',#31341,0.063,1.02974425867665); #2533=CONICAL_SURFACE('',#31345,0.063,1.02974425867665); #2534=CONICAL_SURFACE('',#31349,0.063,1.02974425867665); #2535=CONICAL_SURFACE('',#31353,0.063,1.02974425867665); #2536=CONICAL_SURFACE('',#31357,0.063,1.02974425867665); #2537=CONICAL_SURFACE('',#31361,0.063,1.02974425867665); #2538=CONICAL_SURFACE('',#31365,0.063,1.02974425867665); #2539=CONICAL_SURFACE('',#31369,0.063,1.02974425867665); #2540=CONICAL_SURFACE('',#31396,0.06675,1.02974425867665); #2541=CONICAL_SURFACE('',#31400,0.06675,1.02974425867665); #2542=CONICAL_SURFACE('',#31404,0.06675,1.02974425867665); #2543=CONICAL_SURFACE('',#31430,0.07675,1.02974425867665); #2544=CONICAL_SURFACE('',#31433,0.07675,1.02974425867665); #2545=CONICAL_SURFACE('',#31440,0.07675,1.02974425867665); #2546=CONICAL_SURFACE('',#31521,0.06675,1.02974425867665); #2547=CONICAL_SURFACE('',#31525,0.06675,1.02974425867665); #2548=CONICAL_SURFACE('',#31529,0.06675,1.02974425867665); #2549=CONICAL_SURFACE('',#31533,0.06675,1.02974425867665); #2550=CONICAL_SURFACE('',#31537,0.06675,1.02974425867665); #2551=CONICAL_SURFACE('',#31541,0.06675,1.02974425867665); #2552=CONICAL_SURFACE('',#31545,0.06675,1.02974425867665); #2553=CONICAL_SURFACE('',#31549,0.06675,1.02974425867665); #2554=CONICAL_SURFACE('',#31553,0.06675,1.02974425867665); #2555=CONICAL_SURFACE('',#31557,0.06675,1.02974425867665); #2556=CONICAL_SURFACE('',#31561,0.06675,1.02974425867665); #2557=CONICAL_SURFACE('',#31565,0.06675,1.02974425867665); #2558=CONICAL_SURFACE('',#31569,0.06675,1.02974425867665); #2559=CONICAL_SURFACE('',#31573,0.06675,1.02974425867665); #2560=CONICAL_SURFACE('',#31577,0.06675,1.02974425867665); #2561=CONICAL_SURFACE('',#31581,0.06675,1.02974425867665); #2562=CONICAL_SURFACE('',#31585,0.06675,1.02974425867665); #2563=CONICAL_SURFACE('',#31589,0.06675,1.02974425867665); #2564=CONICAL_SURFACE('',#31593,0.06675,1.02974425867665); #2565=CONICAL_SURFACE('',#31597,0.06675,1.02974425867665); #2566=CONICAL_SURFACE('',#31601,0.06675,1.02974425867665); #2567=CONICAL_SURFACE('',#31605,0.06675,1.02974425867665); #2568=CONICAL_SURFACE('',#31609,0.06675,1.02974425867665); #2569=CONICAL_SURFACE('',#31613,0.06675,1.02974425867665); #2570=CONICAL_SURFACE('',#31617,0.06675,1.02974425867665); #2571=CONICAL_SURFACE('',#31621,0.06675,1.02974425867665); #2572=CONICAL_SURFACE('',#31625,0.06675,1.02974425867665); #2573=CONICAL_SURFACE('',#31629,0.06675,1.02974425867665); #2574=CONICAL_SURFACE('',#31633,0.06675,1.02974425867665); #2575=CONICAL_SURFACE('',#31637,0.06675,1.02974425867665); #2576=CONICAL_SURFACE('',#31641,0.06675,1.02974425867665); #2577=CONICAL_SURFACE('',#31645,0.06675,1.02974425867665); #2578=CONICAL_SURFACE('',#31649,0.06675,1.02974425867665); #2579=CONICAL_SURFACE('',#31653,0.06675,1.02974425867665); #2580=CONICAL_SURFACE('',#31657,0.06675,1.02974425867665); #2581=CONICAL_SURFACE('',#31661,0.06675,1.02974425867665); #2582=CONICAL_SURFACE('',#31680,0.049,1.02974425867665); #2583=CONICAL_SURFACE('',#31684,0.049,1.02974425867665); #2584=CONICAL_SURFACE('',#31688,0.06675,1.02974425867665); #2585=CONICAL_SURFACE('',#31692,0.06675,1.02974425867665); #2586=CONICAL_SURFACE('',#31696,0.06675,1.02974425867665); #2587=CONICAL_SURFACE('',#31766,0.049,1.02974425867665); #2588=CONICAL_SURFACE('',#31770,0.049,1.02974425867665); #2589=CONICAL_SURFACE('',#31774,0.06675,1.02974425867665); #2590=CONICAL_SURFACE('',#31778,0.06675,1.02974425867665); #2591=CONICAL_SURFACE('',#31782,0.06675,1.02974425867665); #2592=CONICAL_SURFACE('',#31786,0.06675,1.02974425867665); #2593=CONICAL_SURFACE('',#31790,0.06675,1.02974425867665); #2594=CONICAL_SURFACE('',#31863,0.049,1.02974425867665); #2595=CONICAL_SURFACE('',#31867,0.049,1.02974425867665); #2596=CONICAL_SURFACE('',#31871,0.06675,1.02974425867665); #2597=CONICAL_SURFACE('',#31875,0.06675,1.02974425867665); #2598=CONICAL_SURFACE('',#31879,0.06675,1.02974425867665); #2599=CONICAL_SURFACE('',#31970,0.06675,1.02974425867665); #2600=CONICAL_SURFACE('',#31974,0.06675,1.02974425867665); #2601=CONICAL_SURFACE('',#31978,0.06675,1.02974425867665); #2602=CONICAL_SURFACE('',#31982,0.06675,1.02974425867665); #2603=CONICAL_SURFACE('',#31986,0.06675,1.02974425867665); #2604=CONICAL_SURFACE('',#31990,0.06675,1.02974425867665); #2605=CONICAL_SURFACE('',#31994,0.06675,1.02974425867665); #2606=CONICAL_SURFACE('',#31998,0.06675,1.02974425867665); #2607=CONICAL_SURFACE('',#32002,0.06675,1.02974425867665); #2608=CONICAL_SURFACE('',#32006,0.06675,1.02974425867665); #2609=CONICAL_SURFACE('',#32010,0.06675,1.02974425867665); #2610=CONICAL_SURFACE('',#32014,0.06675,1.02974425867665); #2611=CONICAL_SURFACE('',#32018,0.06675,1.02974425867665); #2612=CONICAL_SURFACE('',#32022,0.06675,1.02974425867665); #2613=CONICAL_SURFACE('',#32026,0.06675,1.02974425867665); #2614=CONICAL_SURFACE('',#32030,0.06675,1.02974425867665); #2615=CONICAL_SURFACE('',#32034,0.06675,1.02974425867665); #2616=CONICAL_SURFACE('',#32038,0.06675,1.02974425867665); #2617=CONICAL_SURFACE('',#32042,0.06675,1.02974425867665); #2618=CONICAL_SURFACE('',#32046,0.06675,1.02974425867665); #2619=CONICAL_SURFACE('',#32050,0.06675,1.02974425867665); #2620=CONICAL_SURFACE('',#32054,0.06675,1.02974425867665); #2621=CONICAL_SURFACE('',#32058,0.06675,1.02974425867665); #2622=CONICAL_SURFACE('',#32062,0.06675,1.02974425867665); #2623=CONICAL_SURFACE('',#32066,0.06675,1.02974425867665); #2624=CONICAL_SURFACE('',#32563,0.07675,1.02974425867665); #2625=CONICAL_SURFACE('',#32567,0.07675,1.02974425867665); #2626=CONICAL_SURFACE('',#32571,0.07675,1.02974425867665); #2627=CONICAL_SURFACE('',#32575,0.07675,1.02974425867665); #2628=CONICAL_SURFACE('',#32579,0.07675,1.02974425867665); #2629=CONICAL_SURFACE('',#32583,0.07675,1.02974425867665); #2630=CONICAL_SURFACE('',#32587,0.07675,1.02974425867665); #2631=CONICAL_SURFACE('',#32591,0.07675,1.02974425867665); #2632=CONICAL_SURFACE('',#32595,0.07675,1.02974425867665); #2633=CONICAL_SURFACE('',#32599,0.07675,1.02974425867665); #2634=CONICAL_SURFACE('',#32603,0.06675,1.02974425867665); #2635=CONICAL_SURFACE('',#32607,0.06675,1.02974425867665); #2636=CONICAL_SURFACE('',#32611,0.06675,1.02974425867665); #2637=CONICAL_SURFACE('',#32615,0.06675,1.02974425867665); #2638=CONICAL_SURFACE('',#32619,0.06675,1.02974425867665); #2639=CONICAL_SURFACE('',#32623,0.06675,1.02974425867665); #2640=CONICAL_SURFACE('',#32627,0.06675,1.02974425867665); #2641=CONICAL_SURFACE('',#32631,0.06675,1.02974425867665); #2642=CONICAL_SURFACE('',#32635,0.06675,1.02974425867665); #2643=CONICAL_SURFACE('',#32639,0.06675,1.02974425867665); #2644=CONICAL_SURFACE('',#32643,0.06675,1.02974425867665); #2645=CONICAL_SURFACE('',#32647,0.06675,1.02974425867665); #2646=CONICAL_SURFACE('',#32651,0.06675,1.02974425867665); #2647=CONICAL_SURFACE('',#32655,0.06675,1.02974425867665); #2648=PLANE('',#29285); #2649=PLANE('',#29288); #2650=PLANE('',#29295); #2651=PLANE('',#29296); #2652=PLANE('',#29473); #2653=PLANE('',#29474); #2654=PLANE('',#29475); #2655=PLANE('',#29476); #2656=PLANE('',#29477); #2657=PLANE('',#29478); #2658=PLANE('',#29480); #2659=PLANE('',#29481); #2660=PLANE('',#29482); #2661=PLANE('',#29487); #2662=PLANE('',#29491); #2663=PLANE('',#29493); #2664=PLANE('',#29494); #2665=PLANE('',#29606); #2666=PLANE('',#29609); #2667=PLANE('',#29612); #2668=PLANE('',#29613); #2669=PLANE('',#29614); #2670=PLANE('',#29820); #2671=PLANE('',#29821); #2672=PLANE('',#29822); #2673=PLANE('',#29824); #2674=PLANE('',#29829); #2675=PLANE('',#29830); #2676=PLANE('',#29831); #2677=PLANE('',#29832); #2678=PLANE('',#29833); #2679=PLANE('',#29838); #2680=PLANE('',#29840); #2681=PLANE('',#29843); #2682=PLANE('',#29846); #2683=PLANE('',#29847); #2684=PLANE('',#30297); #2685=PLANE('',#30298); #2686=PLANE('',#30307); #2687=PLANE('',#30308); #2688=PLANE('',#30309); #2689=PLANE('',#30310); #2690=PLANE('',#30311); #2691=PLANE('',#30321); #2692=PLANE('',#30323); #2693=PLANE('',#30328); #2694=PLANE('',#30329); #2695=PLANE('',#30330); #2696=PLANE('',#30335); #2697=PLANE('',#30337); #2698=PLANE('',#30339); #2699=PLANE('',#30340); #2700=PLANE('',#30634); #2701=PLANE('',#30638); #2702=PLANE('',#30639); #2703=PLANE('',#30640); #2704=PLANE('',#30654); #2705=PLANE('',#30661); #2706=PLANE('',#30674); #2707=PLANE('',#30675); #2708=PLANE('',#30688); #2709=PLANE('',#30689); #2710=PLANE('',#30702); #2711=PLANE('',#30703); #2712=PLANE('',#30716); #2713=PLANE('',#30717); #2714=PLANE('',#30730); #2715=PLANE('',#30731); #2716=PLANE('',#30744); #2717=PLANE('',#30745); #2718=PLANE('',#30758); #2719=PLANE('',#30759); #2720=PLANE('',#30766); #2721=PLANE('',#30773); #2722=PLANE('',#30780); #2723=PLANE('',#30787); #2724=PLANE('',#30794); #2725=PLANE('',#30801); #2726=PLANE('',#30808); #2727=PLANE('',#30815); #2728=PLANE('',#30822); #2729=PLANE('',#30829); #2730=PLANE('',#30836); #2731=PLANE('',#30843); #2732=PLANE('',#30850); #2733=PLANE('',#30857); #2734=PLANE('',#31016); #2735=PLANE('',#31019); #2736=PLANE('',#31028); #2737=PLANE('',#31207); #2738=PLANE('',#31210); #2739=PLANE('',#31220); #2740=PLANE('',#31225); #2741=PLANE('',#31226); #2742=PLANE('',#31373); #2743=PLANE('',#31374); #2744=PLANE('',#31376); #2745=PLANE('',#31377); #2746=PLANE('',#31378); #2747=PLANE('',#31379); #2748=PLANE('',#31382); #2749=PLANE('',#31408); #2750=PLANE('',#31414); #2751=PLANE('',#31425); #2752=PLANE('',#31429); #2753=PLANE('',#31441); #2754=PLANE('',#31442); #2755=PLANE('',#31444); #2756=PLANE('',#31445); #2757=PLANE('',#31452); #2758=PLANE('',#31459); #2759=PLANE('',#31466); #2760=PLANE('',#31473); #2761=PLANE('',#31480); #2762=PLANE('',#31487); #2763=PLANE('',#31494); #2764=PLANE('',#31501); #2765=PLANE('',#31508); #2766=PLANE('',#31515); #2767=PLANE('',#31516); #2768=PLANE('',#31517); #2769=PLANE('',#31518); #2770=PLANE('',#31519); #2771=PLANE('',#31665); #2772=PLANE('',#31666); #2773=PLANE('',#31667); #2774=PLANE('',#31668); #2775=PLANE('',#31670); #2776=PLANE('',#31671); #2777=PLANE('',#31672); #2778=PLANE('',#31675); #2779=PLANE('',#31676); #2780=PLANE('',#31677); #2781=PLANE('',#31678); #2782=PLANE('',#31751); #2783=PLANE('',#31753); #2784=PLANE('',#31754); #2785=PLANE('',#31761); #2786=PLANE('',#31762); #2787=PLANE('',#31763); #2788=PLANE('',#31764); #2789=PLANE('',#31842); #2790=PLANE('',#31844); #2791=PLANE('',#31845); #2792=PLANE('',#31854); #2793=PLANE('',#31855); #2794=PLANE('',#31856); #2795=PLANE('',#31857); #2796=PLANE('',#31858); #2797=PLANE('',#31859); #2798=PLANE('',#31860); #2799=PLANE('',#31861); #2800=PLANE('',#31934); #2801=PLANE('',#31936); #2802=PLANE('',#31938); #2803=PLANE('',#31940); #2804=PLANE('',#31942); #2805=PLANE('',#31946); #2806=PLANE('',#31947); #2807=PLANE('',#31949); #2808=PLANE('',#31962); #2809=PLANE('',#31963); #2810=PLANE('',#31967); #2811=PLANE('',#31968); #2812=PLANE('',#32073); #2813=PLANE('',#32096); #2814=PLANE('',#32099); #2815=PLANE('',#32100); #2816=PLANE('',#32114); #2817=PLANE('',#32115); #2818=PLANE('',#32116); #2819=PLANE('',#32117); #2820=PLANE('',#32118); #2821=PLANE('',#32128); #2822=PLANE('',#32155); #2823=PLANE('',#32215); #2824=PLANE('',#32217); #2825=PLANE('',#32218); #2826=PLANE('',#32219); #2827=PLANE('',#32220); #2828=PLANE('',#32538); #2829=PLANE('',#32545); #2830=PLANE('',#32548); #2831=PLANE('',#32555); #2832=PLANE('',#32558); #2833=PLANE('',#32561); #2834=PLANE('',#32713); #2835=PLANE('',#32714); #2836=PLANE('',#32715); #2837=PLANE('',#32716); #2838=PLANE('',#32717); #2839=PLANE('',#32718); #2840=PLANE('',#32816); #2841=PLANE('',#32817); #2842=PLANE('',#32818); #2843=PLANE('',#32819); #2844=PLANE('',#32820); #2845=PLANE('',#32821); #2846=TOROIDAL_SURFACE('',#29248,0.5,0.25); #2847=TOROIDAL_SURFACE('',#29252,98.25,0.25); #2848=TOROIDAL_SURFACE('',#29256,0.5,0.25); #2849=TOROIDAL_SURFACE('',#29262,0.5,0.25); #2850=TOROIDAL_SURFACE('',#29268,0.5,0.25); #2851=TOROIDAL_SURFACE('',#29274,0.5,0.25); #2852=TOROIDAL_SURFACE('',#29280,0.5,0.25); #2853=TOROIDAL_SURFACE('',#29567,0.5,0.25); #2854=TOROIDAL_SURFACE('',#29571,98.25,0.25); #2855=TOROIDAL_SURFACE('',#29575,0.5,0.25); #2856=TOROIDAL_SURFACE('',#29581,0.5,0.25); #2857=TOROIDAL_SURFACE('',#29587,0.5,0.25); #2858=TOROIDAL_SURFACE('',#29593,0.5,0.25); #2859=TOROIDAL_SURFACE('',#29599,0.5,0.25); #2860=TOROIDAL_SURFACE('',#30984,3.09499999999987,0.125); #2861=TOROIDAL_SURFACE('',#30991,3.09499999999987,0.125); #2862=TOROIDAL_SURFACE('',#30995,32.1597734880977,0.125); #2863=TOROIDAL_SURFACE('',#30999,3.09499999999987,0.125); #2864=TOROIDAL_SURFACE('',#31005,3.09499999999987,0.125); #2865=TOROIDAL_SURFACE('',#31009,69.6769999999999,0.125); #2866=TOROIDAL_SURFACE('',#32510,3.31999999999999,0.375); #2867=TOROIDAL_SURFACE('',#32515,69.902,0.375); #2868=TOROIDAL_SURFACE('',#32519,3.31999999999999,0.375); #2869=TOROIDAL_SURFACE('',#32525,3.31999999999999,0.375); #2870=TOROIDAL_SURFACE('',#32529,31.9347734880976,0.375); #2871=TOROIDAL_SURFACE('',#32533,3.31999999999999,0.375); #2872=FACE_OUTER_BOUND('',#4448,.T.); #2873=FACE_OUTER_BOUND('',#4449,.T.); #2874=FACE_OUTER_BOUND('',#4450,.T.); #2875=FACE_OUTER_BOUND('',#4451,.T.); #2876=FACE_OUTER_BOUND('',#4452,.T.); #2877=FACE_OUTER_BOUND('',#4453,.T.); #2878=FACE_OUTER_BOUND('',#4454,.T.); #2879=FACE_OUTER_BOUND('',#4455,.T.); #2880=FACE_OUTER_BOUND('',#4456,.T.); #2881=FACE_OUTER_BOUND('',#4457,.T.); #2882=FACE_OUTER_BOUND('',#4458,.T.); #2883=FACE_OUTER_BOUND('',#4459,.T.); #2884=FACE_OUTER_BOUND('',#4460,.T.); #2885=FACE_OUTER_BOUND('',#4461,.T.); #2886=FACE_OUTER_BOUND('',#4462,.T.); #2887=FACE_OUTER_BOUND('',#4463,.T.); #2888=FACE_OUTER_BOUND('',#4464,.T.); #2889=FACE_OUTER_BOUND('',#4465,.T.); #2890=FACE_OUTER_BOUND('',#4466,.T.); #2891=FACE_OUTER_BOUND('',#4467,.T.); #2892=FACE_OUTER_BOUND('',#4468,.T.); #2893=FACE_OUTER_BOUND('',#4469,.T.); #2894=FACE_OUTER_BOUND('',#4470,.T.); #2895=FACE_OUTER_BOUND('',#4471,.T.); #2896=FACE_OUTER_BOUND('',#4472,.T.); #2897=FACE_OUTER_BOUND('',#4473,.T.); #2898=FACE_OUTER_BOUND('',#4474,.T.); #2899=FACE_OUTER_BOUND('',#4475,.T.); #2900=FACE_OUTER_BOUND('',#4476,.T.); #2901=FACE_OUTER_BOUND('',#4477,.T.); #2902=FACE_OUTER_BOUND('',#4478,.T.); #2903=FACE_OUTER_BOUND('',#4479,.T.); #2904=FACE_OUTER_BOUND('',#4480,.T.); #2905=FACE_OUTER_BOUND('',#4481,.T.); #2906=FACE_OUTER_BOUND('',#4482,.T.); #2907=FACE_OUTER_BOUND('',#4483,.T.); #2908=FACE_OUTER_BOUND('',#4484,.T.); #2909=FACE_OUTER_BOUND('',#4485,.T.); #2910=FACE_OUTER_BOUND('',#4486,.T.); #2911=FACE_OUTER_BOUND('',#4487,.T.); #2912=FACE_OUTER_BOUND('',#4488,.T.); #2913=FACE_OUTER_BOUND('',#4489,.T.); #2914=FACE_OUTER_BOUND('',#4490,.T.); #2915=FACE_OUTER_BOUND('',#4491,.T.); #2916=FACE_OUTER_BOUND('',#4492,.T.); #2917=FACE_OUTER_BOUND('',#4493,.T.); #2918=FACE_OUTER_BOUND('',#4495,.T.); #2919=FACE_OUTER_BOUND('',#4496,.T.); #2920=FACE_OUTER_BOUND('',#4497,.T.); #2921=FACE_OUTER_BOUND('',#4498,.T.); #2922=FACE_OUTER_BOUND('',#4499,.T.); #2923=FACE_OUTER_BOUND('',#4500,.T.); #2924=FACE_OUTER_BOUND('',#4501,.T.); #2925=FACE_OUTER_BOUND('',#4502,.T.); #2926=FACE_OUTER_BOUND('',#4503,.T.); #2927=FACE_OUTER_BOUND('',#4504,.T.); #2928=FACE_OUTER_BOUND('',#4505,.T.); #2929=FACE_OUTER_BOUND('',#4506,.T.); #2930=FACE_OUTER_BOUND('',#4507,.T.); #2931=FACE_OUTER_BOUND('',#4508,.T.); #2932=FACE_OUTER_BOUND('',#4509,.T.); #2933=FACE_OUTER_BOUND('',#4510,.T.); #2934=FACE_OUTER_BOUND('',#4511,.T.); #2935=FACE_OUTER_BOUND('',#4512,.T.); #2936=FACE_OUTER_BOUND('',#4513,.T.); #2937=FACE_OUTER_BOUND('',#4514,.T.); #2938=FACE_OUTER_BOUND('',#4515,.T.); #2939=FACE_OUTER_BOUND('',#4516,.T.); #2940=FACE_OUTER_BOUND('',#4517,.T.); #2941=FACE_OUTER_BOUND('',#4518,.T.); #2942=FACE_OUTER_BOUND('',#4519,.T.); #2943=FACE_OUTER_BOUND('',#4520,.T.); #2944=FACE_OUTER_BOUND('',#4521,.T.); #2945=FACE_OUTER_BOUND('',#4522,.T.); #2946=FACE_OUTER_BOUND('',#4523,.T.); #2947=FACE_OUTER_BOUND('',#4524,.T.); #2948=FACE_OUTER_BOUND('',#4525,.T.); #2949=FACE_OUTER_BOUND('',#4526,.T.); #2950=FACE_OUTER_BOUND('',#4527,.T.); #2951=FACE_OUTER_BOUND('',#4528,.T.); #2952=FACE_OUTER_BOUND('',#4529,.T.); #2953=FACE_OUTER_BOUND('',#4530,.T.); #2954=FACE_OUTER_BOUND('',#4531,.T.); #2955=FACE_OUTER_BOUND('',#4532,.T.); #2956=FACE_OUTER_BOUND('',#4533,.T.); #2957=FACE_OUTER_BOUND('',#4534,.T.); #2958=FACE_OUTER_BOUND('',#4535,.T.); #2959=FACE_OUTER_BOUND('',#4536,.T.); #2960=FACE_OUTER_BOUND('',#4537,.T.); #2961=FACE_OUTER_BOUND('',#4538,.T.); #2962=FACE_OUTER_BOUND('',#4539,.T.); #2963=FACE_OUTER_BOUND('',#4540,.T.); #2964=FACE_OUTER_BOUND('',#4541,.T.); #2965=FACE_OUTER_BOUND('',#4542,.T.); #2966=FACE_OUTER_BOUND('',#4543,.T.); #2967=FACE_OUTER_BOUND('',#4544,.T.); #2968=FACE_OUTER_BOUND('',#4545,.T.); #2969=FACE_OUTER_BOUND('',#4546,.T.); #2970=FACE_OUTER_BOUND('',#4547,.T.); #2971=FACE_OUTER_BOUND('',#4548,.T.); #2972=FACE_OUTER_BOUND('',#4549,.T.); #2973=FACE_OUTER_BOUND('',#4550,.T.); #2974=FACE_OUTER_BOUND('',#4551,.T.); #2975=FACE_OUTER_BOUND('',#4552,.T.); #2976=FACE_OUTER_BOUND('',#4553,.T.); #2977=FACE_OUTER_BOUND('',#4554,.T.); #2978=FACE_OUTER_BOUND('',#4555,.T.); #2979=FACE_OUTER_BOUND('',#4556,.T.); #2980=FACE_OUTER_BOUND('',#4557,.T.); #2981=FACE_OUTER_BOUND('',#4558,.T.); #2982=FACE_OUTER_BOUND('',#4568,.T.); #2983=FACE_OUTER_BOUND('',#4573,.T.); #2984=FACE_OUTER_BOUND('',#4574,.T.); #2985=FACE_OUTER_BOUND('',#4575,.T.); #2986=FACE_OUTER_BOUND('',#4582,.T.); #2987=FACE_OUTER_BOUND('',#4583,.T.); #2988=FACE_OUTER_BOUND('',#4593,.T.); #2989=FACE_OUTER_BOUND('',#4610,.T.); #2990=FACE_OUTER_BOUND('',#4612,.T.); #2991=FACE_OUTER_BOUND('',#4613,.T.); #2992=FACE_OUTER_BOUND('',#4614,.T.); #2993=FACE_OUTER_BOUND('',#4615,.T.); #2994=FACE_OUTER_BOUND('',#4616,.T.); #2995=FACE_OUTER_BOUND('',#4617,.T.); #2996=FACE_OUTER_BOUND('',#4618,.T.); #2997=FACE_OUTER_BOUND('',#4619,.T.); #2998=FACE_OUTER_BOUND('',#4620,.T.); #2999=FACE_OUTER_BOUND('',#4621,.T.); #3000=FACE_OUTER_BOUND('',#4622,.T.); #3001=FACE_OUTER_BOUND('',#4623,.T.); #3002=FACE_OUTER_BOUND('',#4624,.T.); #3003=FACE_OUTER_BOUND('',#4625,.T.); #3004=FACE_OUTER_BOUND('',#4626,.T.); #3005=FACE_OUTER_BOUND('',#4627,.T.); #3006=FACE_OUTER_BOUND('',#4628,.T.); #3007=FACE_OUTER_BOUND('',#4629,.T.); #3008=FACE_OUTER_BOUND('',#4630,.T.); #3009=FACE_OUTER_BOUND('',#4631,.T.); #3010=FACE_OUTER_BOUND('',#4632,.T.); #3011=FACE_OUTER_BOUND('',#4633,.T.); #3012=FACE_OUTER_BOUND('',#4634,.T.); #3013=FACE_OUTER_BOUND('',#4635,.T.); #3014=FACE_OUTER_BOUND('',#4636,.T.); #3015=FACE_OUTER_BOUND('',#4637,.T.); #3016=FACE_OUTER_BOUND('',#4638,.T.); #3017=FACE_OUTER_BOUND('',#4639,.T.); #3018=FACE_OUTER_BOUND('',#4640,.T.); #3019=FACE_OUTER_BOUND('',#4641,.T.); #3020=FACE_OUTER_BOUND('',#4642,.T.); #3021=FACE_OUTER_BOUND('',#4643,.T.); #3022=FACE_OUTER_BOUND('',#4644,.T.); #3023=FACE_OUTER_BOUND('',#4645,.T.); #3024=FACE_OUTER_BOUND('',#4646,.T.); #3025=FACE_OUTER_BOUND('',#4647,.T.); #3026=FACE_OUTER_BOUND('',#4648,.T.); #3027=FACE_OUTER_BOUND('',#4649,.T.); #3028=FACE_OUTER_BOUND('',#4650,.T.); #3029=FACE_OUTER_BOUND('',#4651,.T.); #3030=FACE_OUTER_BOUND('',#4652,.T.); #3031=FACE_OUTER_BOUND('',#4653,.T.); #3032=FACE_OUTER_BOUND('',#4654,.T.); #3033=FACE_OUTER_BOUND('',#4655,.T.); #3034=FACE_OUTER_BOUND('',#4656,.T.); #3035=FACE_OUTER_BOUND('',#4657,.T.); #3036=FACE_OUTER_BOUND('',#4658,.T.); #3037=FACE_OUTER_BOUND('',#4659,.T.); #3038=FACE_OUTER_BOUND('',#4660,.T.); #3039=FACE_OUTER_BOUND('',#4661,.T.); #3040=FACE_OUTER_BOUND('',#4662,.T.); #3041=FACE_OUTER_BOUND('',#4663,.T.); #3042=FACE_OUTER_BOUND('',#4664,.T.); #3043=FACE_OUTER_BOUND('',#4665,.T.); #3044=FACE_OUTER_BOUND('',#4666,.T.); #3045=FACE_OUTER_BOUND('',#4667,.T.); #3046=FACE_OUTER_BOUND('',#4668,.T.); #3047=FACE_OUTER_BOUND('',#4669,.T.); #3048=FACE_OUTER_BOUND('',#4670,.T.); #3049=FACE_OUTER_BOUND('',#4671,.T.); #3050=FACE_OUTER_BOUND('',#4672,.T.); #3051=FACE_OUTER_BOUND('',#4673,.T.); #3052=FACE_OUTER_BOUND('',#4674,.T.); #3053=FACE_OUTER_BOUND('',#4675,.T.); #3054=FACE_OUTER_BOUND('',#4676,.T.); #3055=FACE_OUTER_BOUND('',#4677,.T.); #3056=FACE_OUTER_BOUND('',#4678,.T.); #3057=FACE_OUTER_BOUND('',#4679,.T.); #3058=FACE_OUTER_BOUND('',#4680,.T.); #3059=FACE_OUTER_BOUND('',#4681,.T.); #3060=FACE_OUTER_BOUND('',#4682,.T.); #3061=FACE_OUTER_BOUND('',#4683,.T.); #3062=FACE_OUTER_BOUND('',#4684,.T.); #3063=FACE_OUTER_BOUND('',#4685,.T.); #3064=FACE_OUTER_BOUND('',#4686,.T.); #3065=FACE_OUTER_BOUND('',#4687,.T.); #3066=FACE_OUTER_BOUND('',#4688,.T.); #3067=FACE_OUTER_BOUND('',#4689,.T.); #3068=FACE_OUTER_BOUND('',#4690,.T.); #3069=FACE_OUTER_BOUND('',#4691,.T.); #3070=FACE_OUTER_BOUND('',#4692,.T.); #3071=FACE_OUTER_BOUND('',#4693,.T.); #3072=FACE_OUTER_BOUND('',#4694,.T.); #3073=FACE_OUTER_BOUND('',#4695,.T.); #3074=FACE_OUTER_BOUND('',#4696,.T.); #3075=FACE_OUTER_BOUND('',#4697,.T.); #3076=FACE_OUTER_BOUND('',#4698,.T.); #3077=FACE_OUTER_BOUND('',#4699,.T.); #3078=FACE_OUTER_BOUND('',#4700,.T.); #3079=FACE_OUTER_BOUND('',#4701,.T.); #3080=FACE_OUTER_BOUND('',#4702,.T.); #3081=FACE_OUTER_BOUND('',#4703,.T.); #3082=FACE_OUTER_BOUND('',#4704,.T.); #3083=FACE_OUTER_BOUND('',#4705,.T.); #3084=FACE_OUTER_BOUND('',#4706,.T.); #3085=FACE_OUTER_BOUND('',#4707,.T.); #3086=FACE_OUTER_BOUND('',#4708,.T.); #3087=FACE_OUTER_BOUND('',#4709,.T.); #3088=FACE_OUTER_BOUND('',#4710,.T.); #3089=FACE_OUTER_BOUND('',#4711,.T.); #3090=FACE_OUTER_BOUND('',#4712,.T.); #3091=FACE_OUTER_BOUND('',#4713,.T.); #3092=FACE_OUTER_BOUND('',#4714,.T.); #3093=FACE_OUTER_BOUND('',#4715,.T.); #3094=FACE_OUTER_BOUND('',#4716,.T.); #3095=FACE_OUTER_BOUND('',#4717,.T.); #3096=FACE_OUTER_BOUND('',#4718,.T.); #3097=FACE_OUTER_BOUND('',#4719,.T.); #3098=FACE_OUTER_BOUND('',#4720,.T.); #3099=FACE_OUTER_BOUND('',#4721,.T.); #3100=FACE_OUTER_BOUND('',#4722,.T.); #3101=FACE_OUTER_BOUND('',#4723,.T.); #3102=FACE_OUTER_BOUND('',#4724,.T.); #3103=FACE_OUTER_BOUND('',#4725,.T.); #3104=FACE_OUTER_BOUND('',#4726,.T.); #3105=FACE_OUTER_BOUND('',#4727,.T.); #3106=FACE_OUTER_BOUND('',#4728,.T.); #3107=FACE_OUTER_BOUND('',#4729,.T.); #3108=FACE_OUTER_BOUND('',#4730,.T.); #3109=FACE_OUTER_BOUND('',#4731,.T.); #3110=FACE_OUTER_BOUND('',#4732,.T.); #3111=FACE_OUTER_BOUND('',#4733,.T.); #3112=FACE_OUTER_BOUND('',#4734,.T.); #3113=FACE_OUTER_BOUND('',#4735,.T.); #3114=FACE_OUTER_BOUND('',#4736,.T.); #3115=FACE_OUTER_BOUND('',#4737,.T.); #3116=FACE_OUTER_BOUND('',#4738,.T.); #3117=FACE_OUTER_BOUND('',#4739,.T.); #3118=FACE_OUTER_BOUND('',#4740,.T.); #3119=FACE_OUTER_BOUND('',#4741,.T.); #3120=FACE_OUTER_BOUND('',#4742,.T.); #3121=FACE_OUTER_BOUND('',#4743,.T.); #3122=FACE_OUTER_BOUND('',#4744,.T.); #3123=FACE_OUTER_BOUND('',#4745,.T.); #3124=FACE_OUTER_BOUND('',#4746,.T.); #3125=FACE_OUTER_BOUND('',#4747,.T.); #3126=FACE_OUTER_BOUND('',#4748,.T.); #3127=FACE_OUTER_BOUND('',#4749,.T.); #3128=FACE_OUTER_BOUND('',#4750,.T.); #3129=FACE_OUTER_BOUND('',#4751,.T.); #3130=FACE_OUTER_BOUND('',#4752,.T.); #3131=FACE_OUTER_BOUND('',#4753,.T.); #3132=FACE_OUTER_BOUND('',#4754,.T.); #3133=FACE_OUTER_BOUND('',#4755,.T.); #3134=FACE_OUTER_BOUND('',#4756,.T.); #3135=FACE_OUTER_BOUND('',#4757,.T.); #3136=FACE_OUTER_BOUND('',#4758,.T.); #3137=FACE_OUTER_BOUND('',#4759,.T.); #3138=FACE_OUTER_BOUND('',#4760,.T.); #3139=FACE_OUTER_BOUND('',#4761,.T.); #3140=FACE_OUTER_BOUND('',#4762,.T.); #3141=FACE_OUTER_BOUND('',#4763,.T.); #3142=FACE_OUTER_BOUND('',#4764,.T.); #3143=FACE_OUTER_BOUND('',#4765,.T.); #3144=FACE_OUTER_BOUND('',#4766,.T.); #3145=FACE_OUTER_BOUND('',#4767,.T.); #3146=FACE_OUTER_BOUND('',#4768,.T.); #3147=FACE_OUTER_BOUND('',#4769,.T.); #3148=FACE_OUTER_BOUND('',#4770,.T.); #3149=FACE_OUTER_BOUND('',#4771,.T.); #3150=FACE_OUTER_BOUND('',#4772,.T.); #3151=FACE_OUTER_BOUND('',#4773,.T.); #3152=FACE_OUTER_BOUND('',#4774,.T.); #3153=FACE_OUTER_BOUND('',#4775,.T.); #3154=FACE_OUTER_BOUND('',#4776,.T.); #3155=FACE_OUTER_BOUND('',#4777,.T.); #3156=FACE_OUTER_BOUND('',#4784,.T.); #3157=FACE_OUTER_BOUND('',#4825,.T.); #3158=FACE_OUTER_BOUND('',#4835,.T.); #3159=FACE_OUTER_BOUND('',#4836,.T.); #3160=FACE_OUTER_BOUND('',#4837,.T.); #3161=FACE_OUTER_BOUND('',#4847,.T.); #3162=FACE_OUTER_BOUND('',#4852,.T.); #3163=FACE_OUTER_BOUND('',#4853,.T.); #3164=FACE_OUTER_BOUND('',#4854,.T.); #3165=FACE_OUTER_BOUND('',#4856,.T.); #3166=FACE_OUTER_BOUND('',#4857,.T.); #3167=FACE_OUTER_BOUND('',#4858,.T.); #3168=FACE_OUTER_BOUND('',#4859,.T.); #3169=FACE_OUTER_BOUND('',#4860,.T.); #3170=FACE_OUTER_BOUND('',#4861,.T.); #3171=FACE_OUTER_BOUND('',#4862,.T.); #3172=FACE_OUTER_BOUND('',#4863,.T.); #3173=FACE_OUTER_BOUND('',#4864,.T.); #3174=FACE_OUTER_BOUND('',#4873,.T.); #3175=FACE_OUTER_BOUND('',#4874,.T.); #3176=FACE_OUTER_BOUND('',#4875,.T.); #3177=FACE_OUTER_BOUND('',#4876,.T.); #3178=FACE_OUTER_BOUND('',#4877,.T.); #3179=FACE_OUTER_BOUND('',#4878,.T.); #3180=FACE_OUTER_BOUND('',#4879,.T.); #3181=FACE_OUTER_BOUND('',#4880,.T.); #3182=FACE_OUTER_BOUND('',#4881,.T.); #3183=FACE_OUTER_BOUND('',#4882,.T.); #3184=FACE_OUTER_BOUND('',#4883,.T.); #3185=FACE_OUTER_BOUND('',#4884,.T.); #3186=FACE_OUTER_BOUND('',#4885,.T.); #3187=FACE_OUTER_BOUND('',#4886,.T.); #3188=FACE_OUTER_BOUND('',#4887,.T.); #3189=FACE_OUTER_BOUND('',#4888,.T.); #3190=FACE_OUTER_BOUND('',#4889,.T.); #3191=FACE_OUTER_BOUND('',#4891,.T.); #3192=FACE_OUTER_BOUND('',#4892,.T.); #3193=FACE_OUTER_BOUND('',#4893,.T.); #3194=FACE_OUTER_BOUND('',#4894,.T.); #3195=FACE_OUTER_BOUND('',#4895,.T.); #3196=FACE_OUTER_BOUND('',#4896,.T.); #3197=FACE_OUTER_BOUND('',#4897,.T.); #3198=FACE_OUTER_BOUND('',#4898,.T.); #3199=FACE_OUTER_BOUND('',#4899,.T.); #3200=FACE_OUTER_BOUND('',#4900,.T.); #3201=FACE_OUTER_BOUND('',#4901,.T.); #3202=FACE_OUTER_BOUND('',#4902,.T.); #3203=FACE_OUTER_BOUND('',#4903,.T.); #3204=FACE_OUTER_BOUND('',#4904,.T.); #3205=FACE_OUTER_BOUND('',#4905,.T.); #3206=FACE_OUTER_BOUND('',#4906,.T.); #3207=FACE_OUTER_BOUND('',#4907,.T.); #3208=FACE_OUTER_BOUND('',#4908,.T.); #3209=FACE_OUTER_BOUND('',#4909,.T.); #3210=FACE_OUTER_BOUND('',#4910,.T.); #3211=FACE_OUTER_BOUND('',#4911,.T.); #3212=FACE_OUTER_BOUND('',#4912,.T.); #3213=FACE_OUTER_BOUND('',#4913,.T.); #3214=FACE_OUTER_BOUND('',#4914,.T.); #3215=FACE_OUTER_BOUND('',#4915,.T.); #3216=FACE_OUTER_BOUND('',#4916,.T.); #3217=FACE_OUTER_BOUND('',#4917,.T.); #3218=FACE_OUTER_BOUND('',#4918,.T.); #3219=FACE_OUTER_BOUND('',#4919,.T.); #3220=FACE_OUTER_BOUND('',#4920,.T.); #3221=FACE_OUTER_BOUND('',#4921,.T.); #3222=FACE_OUTER_BOUND('',#4922,.T.); #3223=FACE_OUTER_BOUND('',#4923,.T.); #3224=FACE_OUTER_BOUND('',#4924,.T.); #3225=FACE_OUTER_BOUND('',#4925,.T.); #3226=FACE_OUTER_BOUND('',#4926,.T.); #3227=FACE_OUTER_BOUND('',#4927,.T.); #3228=FACE_OUTER_BOUND('',#4928,.T.); #3229=FACE_OUTER_BOUND('',#4929,.T.); #3230=FACE_OUTER_BOUND('',#4930,.T.); #3231=FACE_OUTER_BOUND('',#4931,.T.); #3232=FACE_OUTER_BOUND('',#4932,.T.); #3233=FACE_OUTER_BOUND('',#4933,.T.); #3234=FACE_OUTER_BOUND('',#4934,.T.); #3235=FACE_OUTER_BOUND('',#4935,.T.); #3236=FACE_OUTER_BOUND('',#4936,.T.); #3237=FACE_OUTER_BOUND('',#4937,.T.); #3238=FACE_OUTER_BOUND('',#4938,.T.); #3239=FACE_OUTER_BOUND('',#4939,.T.); #3240=FACE_OUTER_BOUND('',#4940,.T.); #3241=FACE_OUTER_BOUND('',#4941,.T.); #3242=FACE_OUTER_BOUND('',#4942,.T.); #3243=FACE_OUTER_BOUND('',#4943,.T.); #3244=FACE_OUTER_BOUND('',#4944,.T.); #3245=FACE_OUTER_BOUND('',#4945,.T.); #3246=FACE_OUTER_BOUND('',#4946,.T.); #3247=FACE_OUTER_BOUND('',#4947,.T.); #3248=FACE_OUTER_BOUND('',#4948,.T.); #3249=FACE_OUTER_BOUND('',#4949,.T.); #3250=FACE_OUTER_BOUND('',#4950,.T.); #3251=FACE_OUTER_BOUND('',#4951,.T.); #3252=FACE_OUTER_BOUND('',#4952,.T.); #3253=FACE_OUTER_BOUND('',#4953,.T.); #3254=FACE_OUTER_BOUND('',#4954,.T.); #3255=FACE_OUTER_BOUND('',#4955,.T.); #3256=FACE_OUTER_BOUND('',#4956,.T.); #3257=FACE_OUTER_BOUND('',#4957,.T.); #3258=FACE_OUTER_BOUND('',#4958,.T.); #3259=FACE_OUTER_BOUND('',#4959,.T.); #3260=FACE_OUTER_BOUND('',#4960,.T.); #3261=FACE_OUTER_BOUND('',#4961,.T.); #3262=FACE_OUTER_BOUND('',#4962,.T.); #3263=FACE_OUTER_BOUND('',#4963,.T.); #3264=FACE_OUTER_BOUND('',#4964,.T.); #3265=FACE_OUTER_BOUND('',#4965,.T.); #3266=FACE_OUTER_BOUND('',#4966,.T.); #3267=FACE_OUTER_BOUND('',#4967,.T.); #3268=FACE_OUTER_BOUND('',#4968,.T.); #3269=FACE_OUTER_BOUND('',#4969,.T.); #3270=FACE_OUTER_BOUND('',#4970,.T.); #3271=FACE_OUTER_BOUND('',#4971,.T.); #3272=FACE_OUTER_BOUND('',#4972,.T.); #3273=FACE_OUTER_BOUND('',#4973,.T.); #3274=FACE_OUTER_BOUND('',#4974,.T.); #3275=FACE_OUTER_BOUND('',#4975,.T.); #3276=FACE_OUTER_BOUND('',#4976,.T.); #3277=FACE_OUTER_BOUND('',#4977,.T.); #3278=FACE_OUTER_BOUND('',#4978,.T.); #3279=FACE_OUTER_BOUND('',#4979,.T.); #3280=FACE_OUTER_BOUND('',#4980,.T.); #3281=FACE_OUTER_BOUND('',#4981,.T.); #3282=FACE_OUTER_BOUND('',#4982,.T.); #3283=FACE_OUTER_BOUND('',#4983,.T.); #3284=FACE_OUTER_BOUND('',#4984,.T.); #3285=FACE_OUTER_BOUND('',#4985,.T.); #3286=FACE_OUTER_BOUND('',#4986,.T.); #3287=FACE_OUTER_BOUND('',#4987,.T.); #3288=FACE_OUTER_BOUND('',#4988,.T.); #3289=FACE_OUTER_BOUND('',#4989,.T.); #3290=FACE_OUTER_BOUND('',#4990,.T.); #3291=FACE_OUTER_BOUND('',#4991,.T.); #3292=FACE_OUTER_BOUND('',#4992,.T.); #3293=FACE_OUTER_BOUND('',#4993,.T.); #3294=FACE_OUTER_BOUND('',#4994,.T.); #3295=FACE_OUTER_BOUND('',#4995,.T.); #3296=FACE_OUTER_BOUND('',#4996,.T.); #3297=FACE_OUTER_BOUND('',#4997,.T.); #3298=FACE_OUTER_BOUND('',#4998,.T.); #3299=FACE_OUTER_BOUND('',#4999,.T.); #3300=FACE_OUTER_BOUND('',#5000,.T.); #3301=FACE_OUTER_BOUND('',#5001,.T.); #3302=FACE_OUTER_BOUND('',#5002,.T.); #3303=FACE_OUTER_BOUND('',#5003,.T.); #3304=FACE_OUTER_BOUND('',#5004,.T.); #3305=FACE_OUTER_BOUND('',#5005,.T.); #3306=FACE_OUTER_BOUND('',#5006,.T.); #3307=FACE_OUTER_BOUND('',#5007,.T.); #3308=FACE_OUTER_BOUND('',#5008,.T.); #3309=FACE_OUTER_BOUND('',#5009,.T.); #3310=FACE_OUTER_BOUND('',#5010,.T.); #3311=FACE_OUTER_BOUND('',#5011,.T.); #3312=FACE_OUTER_BOUND('',#5012,.T.); #3313=FACE_OUTER_BOUND('',#5013,.T.); #3314=FACE_OUTER_BOUND('',#5014,.T.); #3315=FACE_OUTER_BOUND('',#5015,.T.); #3316=FACE_OUTER_BOUND('',#5016,.T.); #3317=FACE_OUTER_BOUND('',#5017,.T.); #3318=FACE_OUTER_BOUND('',#5018,.T.); #3319=FACE_OUTER_BOUND('',#5019,.T.); #3320=FACE_OUTER_BOUND('',#5020,.T.); #3321=FACE_OUTER_BOUND('',#5021,.T.); #3322=FACE_OUTER_BOUND('',#5022,.T.); #3323=FACE_OUTER_BOUND('',#5023,.T.); #3324=FACE_OUTER_BOUND('',#5024,.T.); #3325=FACE_OUTER_BOUND('',#5025,.T.); #3326=FACE_OUTER_BOUND('',#5026,.T.); #3327=FACE_OUTER_BOUND('',#5027,.T.); #3328=FACE_OUTER_BOUND('',#5028,.T.); #3329=FACE_OUTER_BOUND('',#5029,.T.); #3330=FACE_OUTER_BOUND('',#5030,.T.); #3331=FACE_OUTER_BOUND('',#5031,.T.); #3332=FACE_OUTER_BOUND('',#5032,.T.); #3333=FACE_OUTER_BOUND('',#5033,.T.); #3334=FACE_OUTER_BOUND('',#5034,.T.); #3335=FACE_OUTER_BOUND('',#5035,.T.); #3336=FACE_OUTER_BOUND('',#5036,.T.); #3337=FACE_OUTER_BOUND('',#5037,.T.); #3338=FACE_OUTER_BOUND('',#5038,.T.); #3339=FACE_OUTER_BOUND('',#5039,.T.); #3340=FACE_OUTER_BOUND('',#5040,.T.); #3341=FACE_OUTER_BOUND('',#5041,.T.); #3342=FACE_OUTER_BOUND('',#5042,.T.); #3343=FACE_OUTER_BOUND('',#5043,.T.); #3344=FACE_OUTER_BOUND('',#5044,.T.); #3345=FACE_OUTER_BOUND('',#5045,.T.); #3346=FACE_OUTER_BOUND('',#5046,.T.); #3347=FACE_OUTER_BOUND('',#5047,.T.); #3348=FACE_OUTER_BOUND('',#5048,.T.); #3349=FACE_OUTER_BOUND('',#5049,.T.); #3350=FACE_OUTER_BOUND('',#5050,.T.); #3351=FACE_OUTER_BOUND('',#5051,.T.); #3352=FACE_OUTER_BOUND('',#5052,.T.); #3353=FACE_OUTER_BOUND('',#5053,.T.); #3354=FACE_OUTER_BOUND('',#5054,.T.); #3355=FACE_OUTER_BOUND('',#5055,.T.); #3356=FACE_OUTER_BOUND('',#5056,.T.); #3357=FACE_OUTER_BOUND('',#5057,.T.); #3358=FACE_OUTER_BOUND('',#5058,.T.); #3359=FACE_OUTER_BOUND('',#5059,.T.); #3360=FACE_OUTER_BOUND('',#5060,.T.); #3361=FACE_OUTER_BOUND('',#5061,.T.); #3362=FACE_OUTER_BOUND('',#5062,.T.); #3363=FACE_OUTER_BOUND('',#5063,.T.); #3364=FACE_OUTER_BOUND('',#5064,.T.); #3365=FACE_OUTER_BOUND('',#5065,.T.); #3366=FACE_OUTER_BOUND('',#5066,.T.); #3367=FACE_OUTER_BOUND('',#5067,.T.); #3368=FACE_OUTER_BOUND('',#5068,.T.); #3369=FACE_OUTER_BOUND('',#5069,.T.); #3370=FACE_OUTER_BOUND('',#5070,.T.); #3371=FACE_OUTER_BOUND('',#5071,.T.); #3372=FACE_OUTER_BOUND('',#5072,.T.); #3373=FACE_OUTER_BOUND('',#5073,.T.); #3374=FACE_OUTER_BOUND('',#5074,.T.); #3375=FACE_OUTER_BOUND('',#5075,.T.); #3376=FACE_OUTER_BOUND('',#5076,.T.); #3377=FACE_OUTER_BOUND('',#5077,.T.); #3378=FACE_OUTER_BOUND('',#5078,.T.); #3379=FACE_OUTER_BOUND('',#5079,.T.); #3380=FACE_OUTER_BOUND('',#5080,.T.); #3381=FACE_OUTER_BOUND('',#5081,.T.); #3382=FACE_OUTER_BOUND('',#5082,.T.); #3383=FACE_OUTER_BOUND('',#5083,.T.); #3384=FACE_OUTER_BOUND('',#5084,.T.); #3385=FACE_OUTER_BOUND('',#5085,.T.); #3386=FACE_OUTER_BOUND('',#5086,.T.); #3387=FACE_OUTER_BOUND('',#5087,.T.); #3388=FACE_OUTER_BOUND('',#5088,.T.); #3389=FACE_OUTER_BOUND('',#5089,.T.); #3390=FACE_OUTER_BOUND('',#5090,.T.); #3391=FACE_OUTER_BOUND('',#5091,.T.); #3392=FACE_OUTER_BOUND('',#5092,.T.); #3393=FACE_OUTER_BOUND('',#5093,.T.); #3394=FACE_OUTER_BOUND('',#5094,.T.); #3395=FACE_OUTER_BOUND('',#5095,.T.); #3396=FACE_OUTER_BOUND('',#5096,.T.); #3397=FACE_OUTER_BOUND('',#5097,.T.); #3398=FACE_OUTER_BOUND('',#5098,.T.); #3399=FACE_OUTER_BOUND('',#5108,.T.); #3400=FACE_OUTER_BOUND('',#5110,.T.); #3401=FACE_OUTER_BOUND('',#5117,.T.); #3402=FACE_OUTER_BOUND('',#5174,.T.); #3403=FACE_OUTER_BOUND('',#5181,.T.); #3404=FACE_OUTER_BOUND('',#5191,.T.); #3405=FACE_OUTER_BOUND('',#5193,.T.); #3406=FACE_OUTER_BOUND('',#5194,.T.); #3407=FACE_OUTER_BOUND('',#5195,.T.); #3408=FACE_OUTER_BOUND('',#5196,.T.); #3409=FACE_OUTER_BOUND('',#5197,.T.); #3410=FACE_OUTER_BOUND('',#5198,.T.); #3411=FACE_OUTER_BOUND('',#5199,.T.); #3412=FACE_OUTER_BOUND('',#5200,.T.); #3413=FACE_OUTER_BOUND('',#5201,.T.); #3414=FACE_OUTER_BOUND('',#5202,.T.); #3415=FACE_OUTER_BOUND('',#5212,.T.); #3416=FACE_OUTER_BOUND('',#5222,.T.); #3417=FACE_OUTER_BOUND('',#5223,.T.); #3418=FACE_OUTER_BOUND('',#5224,.T.); #3419=FACE_OUTER_BOUND('',#5225,.T.); #3420=FACE_OUTER_BOUND('',#5226,.T.); #3421=FACE_OUTER_BOUND('',#5227,.T.); #3422=FACE_OUTER_BOUND('',#5228,.T.); #3423=FACE_OUTER_BOUND('',#5229,.T.); #3424=FACE_OUTER_BOUND('',#5230,.T.); #3425=FACE_OUTER_BOUND('',#5231,.T.); #3426=FACE_OUTER_BOUND('',#5232,.T.); #3427=FACE_OUTER_BOUND('',#5233,.T.); #3428=FACE_OUTER_BOUND('',#5234,.T.); #3429=FACE_OUTER_BOUND('',#5235,.T.); #3430=FACE_OUTER_BOUND('',#5236,.T.); #3431=FACE_OUTER_BOUND('',#5237,.T.); #3432=FACE_OUTER_BOUND('',#5238,.T.); #3433=FACE_OUTER_BOUND('',#5239,.T.); #3434=FACE_OUTER_BOUND('',#5240,.T.); #3435=FACE_OUTER_BOUND('',#5241,.T.); #3436=FACE_OUTER_BOUND('',#5242,.T.); #3437=FACE_OUTER_BOUND('',#5243,.T.); #3438=FACE_OUTER_BOUND('',#5244,.T.); #3439=FACE_OUTER_BOUND('',#5245,.T.); #3440=FACE_OUTER_BOUND('',#5246,.T.); #3441=FACE_OUTER_BOUND('',#5247,.T.); #3442=FACE_OUTER_BOUND('',#5248,.T.); #3443=FACE_OUTER_BOUND('',#5249,.T.); #3444=FACE_OUTER_BOUND('',#5250,.T.); #3445=FACE_OUTER_BOUND('',#5251,.T.); #3446=FACE_OUTER_BOUND('',#5252,.T.); #3447=FACE_OUTER_BOUND('',#5253,.T.); #3448=FACE_OUTER_BOUND('',#5254,.T.); #3449=FACE_OUTER_BOUND('',#5255,.T.); #3450=FACE_OUTER_BOUND('',#5256,.T.); #3451=FACE_OUTER_BOUND('',#5257,.T.); #3452=FACE_OUTER_BOUND('',#5258,.T.); #3453=FACE_OUTER_BOUND('',#5259,.T.); #3454=FACE_OUTER_BOUND('',#5260,.T.); #3455=FACE_OUTER_BOUND('',#5261,.T.); #3456=FACE_OUTER_BOUND('',#5262,.T.); #3457=FACE_OUTER_BOUND('',#5263,.T.); #3458=FACE_OUTER_BOUND('',#5264,.T.); #3459=FACE_OUTER_BOUND('',#5265,.T.); #3460=FACE_OUTER_BOUND('',#5266,.T.); #3461=FACE_OUTER_BOUND('',#5267,.T.); #3462=FACE_OUTER_BOUND('',#5268,.T.); #3463=FACE_OUTER_BOUND('',#5269,.T.); #3464=FACE_OUTER_BOUND('',#5270,.T.); #3465=FACE_OUTER_BOUND('',#5271,.T.); #3466=FACE_OUTER_BOUND('',#5272,.T.); #3467=FACE_OUTER_BOUND('',#5273,.T.); #3468=FACE_OUTER_BOUND('',#5274,.T.); #3469=FACE_OUTER_BOUND('',#5275,.T.); #3470=FACE_OUTER_BOUND('',#5276,.T.); #3471=FACE_OUTER_BOUND('',#5277,.T.); #3472=FACE_OUTER_BOUND('',#5278,.T.); #3473=FACE_OUTER_BOUND('',#5279,.T.); #3474=FACE_OUTER_BOUND('',#5280,.T.); #3475=FACE_OUTER_BOUND('',#5281,.T.); #3476=FACE_OUTER_BOUND('',#5282,.T.); #3477=FACE_OUTER_BOUND('',#5283,.T.); #3478=FACE_OUTER_BOUND('',#5284,.T.); #3479=FACE_OUTER_BOUND('',#5285,.T.); #3480=FACE_OUTER_BOUND('',#5286,.T.); #3481=FACE_OUTER_BOUND('',#5287,.T.); #3482=FACE_OUTER_BOUND('',#5288,.T.); #3483=FACE_OUTER_BOUND('',#5289,.T.); #3484=FACE_OUTER_BOUND('',#5290,.T.); #3485=FACE_OUTER_BOUND('',#5291,.T.); #3486=FACE_OUTER_BOUND('',#5292,.T.); #3487=FACE_OUTER_BOUND('',#5293,.T.); #3488=FACE_OUTER_BOUND('',#5294,.T.); #3489=FACE_OUTER_BOUND('',#5295,.T.); #3490=FACE_OUTER_BOUND('',#5296,.T.); #3491=FACE_OUTER_BOUND('',#5297,.T.); #3492=FACE_OUTER_BOUND('',#5298,.T.); #3493=FACE_OUTER_BOUND('',#5299,.T.); #3494=FACE_OUTER_BOUND('',#5300,.T.); #3495=FACE_OUTER_BOUND('',#5301,.T.); #3496=FACE_OUTER_BOUND('',#5302,.T.); #3497=FACE_OUTER_BOUND('',#5303,.T.); #3498=FACE_OUTER_BOUND('',#5304,.T.); #3499=FACE_OUTER_BOUND('',#5305,.T.); #3500=FACE_OUTER_BOUND('',#5306,.T.); #3501=FACE_OUTER_BOUND('',#5307,.T.); #3502=FACE_OUTER_BOUND('',#5308,.T.); #3503=FACE_OUTER_BOUND('',#5309,.T.); #3504=FACE_OUTER_BOUND('',#5310,.T.); #3505=FACE_OUTER_BOUND('',#5311,.T.); #3506=FACE_OUTER_BOUND('',#5312,.T.); #3507=FACE_OUTER_BOUND('',#5313,.T.); #3508=FACE_OUTER_BOUND('',#5314,.T.); #3509=FACE_OUTER_BOUND('',#5315,.T.); #3510=FACE_OUTER_BOUND('',#5316,.T.); #3511=FACE_OUTER_BOUND('',#5317,.T.); #3512=FACE_OUTER_BOUND('',#5318,.T.); #3513=FACE_OUTER_BOUND('',#5319,.T.); #3514=FACE_OUTER_BOUND('',#5320,.T.); #3515=FACE_OUTER_BOUND('',#5321,.T.); #3516=FACE_OUTER_BOUND('',#5322,.T.); #3517=FACE_OUTER_BOUND('',#5323,.T.); #3518=FACE_OUTER_BOUND('',#5324,.T.); #3519=FACE_OUTER_BOUND('',#5325,.T.); #3520=FACE_OUTER_BOUND('',#5326,.T.); #3521=FACE_OUTER_BOUND('',#5327,.T.); #3522=FACE_OUTER_BOUND('',#5328,.T.); #3523=FACE_OUTER_BOUND('',#5329,.T.); #3524=FACE_OUTER_BOUND('',#5426,.T.); #3525=FACE_OUTER_BOUND('',#5523,.T.); #3526=FACE_OUTER_BOUND('',#5524,.T.); #3527=FACE_OUTER_BOUND('',#5525,.T.); #3528=FACE_OUTER_BOUND('',#5526,.T.); #3529=FACE_OUTER_BOUND('',#5527,.T.); #3530=FACE_OUTER_BOUND('',#5529,.T.); #3531=FACE_OUTER_BOUND('',#5530,.T.); #3532=FACE_OUTER_BOUND('',#5531,.T.); #3533=FACE_OUTER_BOUND('',#5533,.T.); #3534=FACE_OUTER_BOUND('',#5534,.T.); #3535=FACE_OUTER_BOUND('',#5535,.T.); #3536=FACE_OUTER_BOUND('',#5536,.T.); #3537=FACE_OUTER_BOUND('',#5537,.T.); #3538=FACE_OUTER_BOUND('',#5539,.T.); #3539=FACE_OUTER_BOUND('',#5541,.T.); #3540=FACE_OUTER_BOUND('',#5542,.T.); #3541=FACE_OUTER_BOUND('',#5543,.T.); #3542=FACE_OUTER_BOUND('',#5544,.T.); #3543=FACE_OUTER_BOUND('',#5545,.T.); #3544=FACE_OUTER_BOUND('',#5547,.T.); #3545=FACE_OUTER_BOUND('',#5549,.T.); #3546=FACE_OUTER_BOUND('',#5550,.T.); #3547=FACE_OUTER_BOUND('',#5551,.T.); #3548=FACE_OUTER_BOUND('',#5552,.T.); #3549=FACE_OUTER_BOUND('',#5553,.T.); #3550=FACE_OUTER_BOUND('',#5555,.T.); #3551=FACE_OUTER_BOUND('',#5557,.T.); #3552=FACE_OUTER_BOUND('',#5558,.T.); #3553=FACE_OUTER_BOUND('',#5559,.T.); #3554=FACE_OUTER_BOUND('',#5560,.T.); #3555=FACE_OUTER_BOUND('',#5561,.T.); #3556=FACE_OUTER_BOUND('',#5563,.T.); #3557=FACE_OUTER_BOUND('',#5565,.T.); #3558=FACE_OUTER_BOUND('',#5566,.T.); #3559=FACE_OUTER_BOUND('',#5567,.T.); #3560=FACE_OUTER_BOUND('',#5568,.T.); #3561=FACE_OUTER_BOUND('',#5569,.T.); #3562=FACE_OUTER_BOUND('',#5571,.T.); #3563=FACE_OUTER_BOUND('',#5573,.T.); #3564=FACE_OUTER_BOUND('',#5574,.T.); #3565=FACE_OUTER_BOUND('',#5575,.T.); #3566=FACE_OUTER_BOUND('',#5576,.T.); #3567=FACE_OUTER_BOUND('',#5577,.T.); #3568=FACE_OUTER_BOUND('',#5579,.T.); #3569=FACE_OUTER_BOUND('',#5581,.T.); #3570=FACE_OUTER_BOUND('',#5582,.T.); #3571=FACE_OUTER_BOUND('',#5583,.T.); #3572=FACE_OUTER_BOUND('',#5584,.T.); #3573=FACE_OUTER_BOUND('',#5585,.T.); #3574=FACE_OUTER_BOUND('',#5587,.T.); #3575=FACE_OUTER_BOUND('',#5589,.T.); #3576=FACE_OUTER_BOUND('',#5590,.T.); #3577=FACE_OUTER_BOUND('',#5591,.T.); #3578=FACE_OUTER_BOUND('',#5593,.T.); #3579=FACE_OUTER_BOUND('',#5594,.T.); #3580=FACE_OUTER_BOUND('',#5595,.T.); #3581=FACE_OUTER_BOUND('',#5597,.T.); #3582=FACE_OUTER_BOUND('',#5598,.T.); #3583=FACE_OUTER_BOUND('',#5599,.T.); #3584=FACE_OUTER_BOUND('',#5601,.T.); #3585=FACE_OUTER_BOUND('',#5602,.T.); #3586=FACE_OUTER_BOUND('',#5603,.T.); #3587=FACE_OUTER_BOUND('',#5605,.T.); #3588=FACE_OUTER_BOUND('',#5606,.T.); #3589=FACE_OUTER_BOUND('',#5607,.T.); #3590=FACE_OUTER_BOUND('',#5609,.T.); #3591=FACE_OUTER_BOUND('',#5610,.T.); #3592=FACE_OUTER_BOUND('',#5611,.T.); #3593=FACE_OUTER_BOUND('',#5613,.T.); #3594=FACE_OUTER_BOUND('',#5614,.T.); #3595=FACE_OUTER_BOUND('',#5615,.T.); #3596=FACE_OUTER_BOUND('',#5617,.T.); #3597=FACE_OUTER_BOUND('',#5618,.T.); #3598=FACE_OUTER_BOUND('',#5619,.T.); #3599=FACE_OUTER_BOUND('',#5621,.T.); #3600=FACE_OUTER_BOUND('',#5622,.T.); #3601=FACE_OUTER_BOUND('',#5623,.T.); #3602=FACE_OUTER_BOUND('',#5625,.T.); #3603=FACE_OUTER_BOUND('',#5626,.T.); #3604=FACE_OUTER_BOUND('',#5627,.T.); #3605=FACE_OUTER_BOUND('',#5629,.T.); #3606=FACE_OUTER_BOUND('',#5630,.T.); #3607=FACE_OUTER_BOUND('',#5631,.T.); #3608=FACE_OUTER_BOUND('',#5633,.T.); #3609=FACE_OUTER_BOUND('',#5634,.T.); #3610=FACE_OUTER_BOUND('',#5635,.T.); #3611=FACE_OUTER_BOUND('',#5637,.T.); #3612=FACE_OUTER_BOUND('',#5638,.T.); #3613=FACE_OUTER_BOUND('',#5639,.T.); #3614=FACE_OUTER_BOUND('',#5641,.T.); #3615=FACE_OUTER_BOUND('',#5642,.T.); #3616=FACE_OUTER_BOUND('',#5643,.T.); #3617=FACE_OUTER_BOUND('',#5645,.T.); #3618=FACE_OUTER_BOUND('',#5646,.T.); #3619=FACE_OUTER_BOUND('',#5647,.T.); #3620=FACE_OUTER_BOUND('',#5648,.T.); #3621=FACE_OUTER_BOUND('',#5649,.T.); #3622=FACE_OUTER_BOUND('',#5650,.T.); #3623=FACE_OUTER_BOUND('',#5651,.T.); #3624=FACE_OUTER_BOUND('',#5652,.T.); #3625=FACE_OUTER_BOUND('',#5653,.T.); #3626=FACE_OUTER_BOUND('',#5654,.T.); #3627=FACE_OUTER_BOUND('',#5655,.T.); #3628=FACE_OUTER_BOUND('',#5656,.T.); #3629=FACE_OUTER_BOUND('',#5657,.T.); #3630=FACE_OUTER_BOUND('',#5658,.T.); #3631=FACE_OUTER_BOUND('',#5659,.T.); #3632=FACE_OUTER_BOUND('',#5660,.T.); #3633=FACE_OUTER_BOUND('',#5661,.T.); #3634=FACE_OUTER_BOUND('',#5662,.T.); #3635=FACE_OUTER_BOUND('',#5663,.T.); #3636=FACE_OUTER_BOUND('',#5664,.T.); #3637=FACE_OUTER_BOUND('',#5665,.T.); #3638=FACE_OUTER_BOUND('',#5666,.T.); #3639=FACE_OUTER_BOUND('',#5667,.T.); #3640=FACE_OUTER_BOUND('',#5668,.T.); #3641=FACE_OUTER_BOUND('',#5669,.T.); #3642=FACE_OUTER_BOUND('',#5670,.T.); #3643=FACE_OUTER_BOUND('',#5671,.T.); #3644=FACE_OUTER_BOUND('',#5672,.T.); #3645=FACE_OUTER_BOUND('',#5673,.T.); #3646=FACE_OUTER_BOUND('',#5674,.T.); #3647=FACE_OUTER_BOUND('',#5675,.T.); #3648=FACE_OUTER_BOUND('',#5676,.T.); #3649=FACE_OUTER_BOUND('',#5677,.T.); #3650=FACE_OUTER_BOUND('',#5678,.T.); #3651=FACE_OUTER_BOUND('',#5679,.T.); #3652=FACE_OUTER_BOUND('',#5680,.T.); #3653=FACE_OUTER_BOUND('',#5681,.T.); #3654=FACE_OUTER_BOUND('',#5682,.T.); #3655=FACE_OUTER_BOUND('',#5683,.T.); #3656=FACE_OUTER_BOUND('',#5684,.T.); #3657=FACE_OUTER_BOUND('',#5685,.T.); #3658=FACE_OUTER_BOUND('',#5686,.T.); #3659=FACE_OUTER_BOUND('',#5687,.T.); #3660=FACE_OUTER_BOUND('',#5688,.T.); #3661=FACE_OUTER_BOUND('',#5689,.T.); #3662=FACE_OUTER_BOUND('',#5690,.T.); #3663=FACE_OUTER_BOUND('',#5691,.T.); #3664=FACE_OUTER_BOUND('',#5692,.T.); #3665=FACE_OUTER_BOUND('',#5693,.T.); #3666=FACE_OUTER_BOUND('',#5694,.T.); #3667=FACE_OUTER_BOUND('',#5695,.T.); #3668=FACE_OUTER_BOUND('',#5696,.T.); #3669=FACE_OUTER_BOUND('',#5697,.T.); #3670=FACE_OUTER_BOUND('',#5698,.T.); #3671=FACE_OUTER_BOUND('',#5699,.T.); #3672=FACE_OUTER_BOUND('',#5700,.T.); #3673=FACE_OUTER_BOUND('',#5701,.T.); #3674=FACE_OUTER_BOUND('',#5702,.T.); #3675=FACE_OUTER_BOUND('',#5703,.T.); #3676=FACE_OUTER_BOUND('',#5704,.T.); #3677=FACE_OUTER_BOUND('',#5705,.T.); #3678=FACE_OUTER_BOUND('',#5706,.T.); #3679=FACE_OUTER_BOUND('',#5707,.T.); #3680=FACE_OUTER_BOUND('',#5708,.T.); #3681=FACE_OUTER_BOUND('',#5709,.T.); #3682=FACE_OUTER_BOUND('',#5710,.T.); #3683=FACE_OUTER_BOUND('',#5711,.T.); #3684=FACE_OUTER_BOUND('',#5712,.T.); #3685=FACE_OUTER_BOUND('',#5713,.T.); #3686=FACE_OUTER_BOUND('',#5715,.T.); #3687=FACE_OUTER_BOUND('',#5716,.T.); #3688=FACE_OUTER_BOUND('',#5717,.T.); #3689=FACE_OUTER_BOUND('',#5718,.T.); #3690=FACE_OUTER_BOUND('',#5719,.T.); #3691=FACE_OUTER_BOUND('',#5720,.T.); #3692=FACE_OUTER_BOUND('',#5721,.T.); #3693=FACE_OUTER_BOUND('',#5722,.T.); #3694=FACE_OUTER_BOUND('',#5723,.T.); #3695=FACE_OUTER_BOUND('',#5724,.T.); #3696=FACE_OUTER_BOUND('',#5725,.T.); #3697=FACE_OUTER_BOUND('',#5726,.T.); #3698=FACE_OUTER_BOUND('',#5727,.T.); #3699=FACE_OUTER_BOUND('',#5728,.T.); #3700=FACE_OUTER_BOUND('',#5729,.T.); #3701=FACE_OUTER_BOUND('',#5730,.T.); #3702=FACE_OUTER_BOUND('',#5731,.T.); #3703=FACE_OUTER_BOUND('',#5732,.T.); #3704=FACE_OUTER_BOUND('',#5733,.T.); #3705=FACE_OUTER_BOUND('',#5734,.T.); #3706=FACE_OUTER_BOUND('',#5735,.T.); #3707=FACE_OUTER_BOUND('',#5736,.T.); #3708=FACE_OUTER_BOUND('',#5737,.T.); #3709=FACE_OUTER_BOUND('',#5738,.T.); #3710=FACE_OUTER_BOUND('',#5739,.T.); #3711=FACE_OUTER_BOUND('',#5740,.T.); #3712=FACE_OUTER_BOUND('',#5741,.T.); #3713=FACE_OUTER_BOUND('',#5742,.T.); #3714=FACE_OUTER_BOUND('',#5743,.T.); #3715=FACE_OUTER_BOUND('',#5744,.T.); #3716=FACE_OUTER_BOUND('',#5745,.T.); #3717=FACE_OUTER_BOUND('',#5746,.T.); #3718=FACE_OUTER_BOUND('',#5747,.T.); #3719=FACE_OUTER_BOUND('',#5748,.T.); #3720=FACE_OUTER_BOUND('',#5749,.T.); #3721=FACE_OUTER_BOUND('',#5750,.T.); #3722=FACE_OUTER_BOUND('',#5751,.T.); #3723=FACE_OUTER_BOUND('',#5752,.T.); #3724=FACE_OUTER_BOUND('',#5753,.T.); #3725=FACE_OUTER_BOUND('',#5754,.T.); #3726=FACE_OUTER_BOUND('',#5755,.T.); #3727=FACE_OUTER_BOUND('',#5756,.T.); #3728=FACE_OUTER_BOUND('',#5757,.T.); #3729=FACE_OUTER_BOUND('',#5758,.T.); #3730=FACE_OUTER_BOUND('',#5759,.T.); #3731=FACE_OUTER_BOUND('',#5760,.T.); #3732=FACE_OUTER_BOUND('',#5761,.T.); #3733=FACE_OUTER_BOUND('',#5762,.T.); #3734=FACE_OUTER_BOUND('',#5763,.T.); #3735=FACE_OUTER_BOUND('',#5764,.T.); #3736=FACE_OUTER_BOUND('',#5765,.T.); #3737=FACE_OUTER_BOUND('',#5766,.T.); #3738=FACE_OUTER_BOUND('',#5767,.T.); #3739=FACE_OUTER_BOUND('',#5768,.T.); #3740=FACE_OUTER_BOUND('',#5769,.T.); #3741=FACE_OUTER_BOUND('',#5770,.T.); #3742=FACE_OUTER_BOUND('',#5771,.T.); #3743=FACE_OUTER_BOUND('',#5772,.T.); #3744=FACE_OUTER_BOUND('',#5773,.T.); #3745=FACE_OUTER_BOUND('',#5774,.T.); #3746=FACE_OUTER_BOUND('',#5775,.T.); #3747=FACE_OUTER_BOUND('',#5776,.T.); #3748=FACE_OUTER_BOUND('',#5777,.T.); #3749=FACE_OUTER_BOUND('',#5778,.T.); #3750=FACE_OUTER_BOUND('',#5779,.T.); #3751=FACE_OUTER_BOUND('',#5780,.T.); #3752=FACE_OUTER_BOUND('',#5781,.T.); #3753=FACE_OUTER_BOUND('',#5782,.T.); #3754=FACE_OUTER_BOUND('',#5783,.T.); #3755=FACE_OUTER_BOUND('',#5784,.T.); #3756=FACE_OUTER_BOUND('',#5785,.T.); #3757=FACE_OUTER_BOUND('',#5786,.T.); #3758=FACE_OUTER_BOUND('',#5787,.T.); #3759=FACE_OUTER_BOUND('',#5788,.T.); #3760=FACE_OUTER_BOUND('',#5790,.T.); #3761=FACE_OUTER_BOUND('',#5791,.T.); #3762=FACE_OUTER_BOUND('',#5792,.T.); #3763=FACE_OUTER_BOUND('',#5793,.T.); #3764=FACE_OUTER_BOUND('',#5794,.T.); #3765=FACE_OUTER_BOUND('',#5795,.T.); #3766=FACE_OUTER_BOUND('',#5796,.T.); #3767=FACE_OUTER_BOUND('',#5797,.T.); #3768=FACE_OUTER_BOUND('',#5798,.T.); #3769=FACE_OUTER_BOUND('',#5799,.T.); #3770=FACE_OUTER_BOUND('',#5800,.T.); #3771=FACE_OUTER_BOUND('',#5801,.T.); #3772=FACE_OUTER_BOUND('',#5802,.T.); #3773=FACE_OUTER_BOUND('',#5803,.T.); #3774=FACE_OUTER_BOUND('',#5804,.T.); #3775=FACE_OUTER_BOUND('',#5805,.T.); #3776=FACE_OUTER_BOUND('',#5806,.T.); #3777=FACE_OUTER_BOUND('',#5807,.T.); #3778=FACE_OUTER_BOUND('',#5808,.T.); #3779=FACE_OUTER_BOUND('',#5809,.T.); #3780=FACE_OUTER_BOUND('',#5810,.T.); #3781=FACE_OUTER_BOUND('',#5811,.T.); #3782=FACE_OUTER_BOUND('',#5812,.T.); #3783=FACE_OUTER_BOUND('',#5813,.T.); #3784=FACE_OUTER_BOUND('',#5814,.T.); #3785=FACE_OUTER_BOUND('',#5815,.T.); #3786=FACE_OUTER_BOUND('',#5816,.T.); #3787=FACE_OUTER_BOUND('',#5817,.T.); #3788=FACE_OUTER_BOUND('',#5818,.T.); #3789=FACE_OUTER_BOUND('',#5819,.T.); #3790=FACE_OUTER_BOUND('',#5820,.T.); #3791=FACE_OUTER_BOUND('',#5821,.T.); #3792=FACE_OUTER_BOUND('',#5822,.T.); #3793=FACE_OUTER_BOUND('',#5823,.T.); #3794=FACE_OUTER_BOUND('',#5824,.T.); #3795=FACE_OUTER_BOUND('',#5825,.T.); #3796=FACE_OUTER_BOUND('',#5826,.T.); #3797=FACE_OUTER_BOUND('',#5827,.T.); #3798=FACE_OUTER_BOUND('',#5828,.T.); #3799=FACE_OUTER_BOUND('',#5829,.T.); #3800=FACE_OUTER_BOUND('',#5830,.T.); #3801=FACE_OUTER_BOUND('',#5831,.T.); #3802=FACE_OUTER_BOUND('',#5832,.T.); #3803=FACE_OUTER_BOUND('',#5833,.T.); #3804=FACE_OUTER_BOUND('',#5834,.T.); #3805=FACE_OUTER_BOUND('',#5835,.T.); #3806=FACE_OUTER_BOUND('',#5836,.T.); #3807=FACE_OUTER_BOUND('',#5837,.T.); #3808=FACE_OUTER_BOUND('',#5838,.T.); #3809=FACE_OUTER_BOUND('',#5839,.T.); #3810=FACE_OUTER_BOUND('',#5840,.T.); #3811=FACE_OUTER_BOUND('',#5841,.T.); #3812=FACE_OUTER_BOUND('',#5842,.T.); #3813=FACE_OUTER_BOUND('',#5843,.T.); #3814=FACE_OUTER_BOUND('',#5844,.T.); #3815=FACE_OUTER_BOUND('',#5845,.T.); #3816=FACE_OUTER_BOUND('',#5846,.T.); #3817=FACE_OUTER_BOUND('',#5847,.T.); #3818=FACE_OUTER_BOUND('',#5848,.T.); #3819=FACE_OUTER_BOUND('',#5849,.T.); #3820=FACE_OUTER_BOUND('',#5850,.T.); #3821=FACE_OUTER_BOUND('',#5851,.T.); #3822=FACE_OUTER_BOUND('',#5852,.T.); #3823=FACE_OUTER_BOUND('',#5853,.T.); #3824=FACE_OUTER_BOUND('',#5854,.T.); #3825=FACE_OUTER_BOUND('',#5855,.T.); #3826=FACE_OUTER_BOUND('',#5856,.T.); #3827=FACE_OUTER_BOUND('',#5857,.T.); #3828=FACE_OUTER_BOUND('',#5858,.T.); #3829=FACE_OUTER_BOUND('',#5859,.T.); #3830=FACE_OUTER_BOUND('',#5860,.T.); #3831=FACE_OUTER_BOUND('',#5861,.T.); #3832=FACE_OUTER_BOUND('',#5862,.T.); #3833=FACE_OUTER_BOUND('',#5870,.T.); #3834=FACE_OUTER_BOUND('',#5973,.T.); #3835=FACE_OUTER_BOUND('',#5981,.T.); #3836=FACE_OUTER_BOUND('',#5989,.T.); #3837=FACE_OUTER_BOUND('',#5990,.T.); #3838=FACE_OUTER_BOUND('',#6128,.T.); #3839=FACE_OUTER_BOUND('',#6129,.T.); #3840=FACE_OUTER_BOUND('',#6130,.T.); #3841=FACE_OUTER_BOUND('',#6131,.T.); #3842=FACE_OUTER_BOUND('',#6132,.T.); #3843=FACE_OUTER_BOUND('',#6133,.T.); #3844=FACE_OUTER_BOUND('',#6134,.T.); #3845=FACE_OUTER_BOUND('',#6135,.T.); #3846=FACE_OUTER_BOUND('',#6136,.T.); #3847=FACE_OUTER_BOUND('',#6137,.T.); #3848=FACE_OUTER_BOUND('',#6138,.T.); #3849=FACE_OUTER_BOUND('',#6139,.T.); #3850=FACE_OUTER_BOUND('',#6140,.T.); #3851=FACE_OUTER_BOUND('',#6146,.T.); #3852=FACE_OUTER_BOUND('',#6151,.T.); #3853=FACE_OUTER_BOUND('',#6152,.T.); #3854=FACE_OUTER_BOUND('',#6153,.T.); #3855=FACE_OUTER_BOUND('',#6154,.T.); #3856=FACE_OUTER_BOUND('',#6155,.T.); #3857=FACE_OUTER_BOUND('',#6156,.T.); #3858=FACE_OUTER_BOUND('',#6160,.T.); #3859=FACE_OUTER_BOUND('',#6165,.T.); #3860=FACE_OUTER_BOUND('',#6166,.T.); #3861=FACE_OUTER_BOUND('',#6167,.T.); #3862=FACE_OUTER_BOUND('',#6168,.T.); #3863=FACE_OUTER_BOUND('',#6169,.T.); #3864=FACE_OUTER_BOUND('',#6170,.T.); #3865=FACE_OUTER_BOUND('',#6171,.T.); #3866=FACE_OUTER_BOUND('',#6175,.T.); #3867=FACE_OUTER_BOUND('',#6182,.T.); #3868=FACE_OUTER_BOUND('',#6183,.T.); #3869=FACE_OUTER_BOUND('',#6185,.T.); #3870=FACE_OUTER_BOUND('',#6186,.T.); #3871=FACE_OUTER_BOUND('',#6187,.T.); #3872=FACE_OUTER_BOUND('',#6189,.T.); #3873=FACE_OUTER_BOUND('',#6190,.T.); #3874=FACE_OUTER_BOUND('',#6191,.T.); #3875=FACE_OUTER_BOUND('',#6193,.T.); #3876=FACE_OUTER_BOUND('',#6194,.T.); #3877=FACE_OUTER_BOUND('',#6195,.T.); #3878=FACE_OUTER_BOUND('',#6197,.T.); #3879=FACE_OUTER_BOUND('',#6198,.T.); #3880=FACE_OUTER_BOUND('',#6199,.T.); #3881=FACE_OUTER_BOUND('',#6201,.T.); #3882=FACE_OUTER_BOUND('',#6202,.T.); #3883=FACE_OUTER_BOUND('',#6203,.T.); #3884=FACE_OUTER_BOUND('',#6205,.T.); #3885=FACE_OUTER_BOUND('',#6206,.T.); #3886=FACE_OUTER_BOUND('',#6207,.T.); #3887=FACE_OUTER_BOUND('',#6209,.T.); #3888=FACE_OUTER_BOUND('',#6210,.T.); #3889=FACE_OUTER_BOUND('',#6211,.T.); #3890=FACE_OUTER_BOUND('',#6213,.T.); #3891=FACE_OUTER_BOUND('',#6214,.T.); #3892=FACE_OUTER_BOUND('',#6215,.T.); #3893=FACE_OUTER_BOUND('',#6217,.T.); #3894=FACE_OUTER_BOUND('',#6218,.T.); #3895=FACE_OUTER_BOUND('',#6219,.T.); #3896=FACE_OUTER_BOUND('',#6221,.T.); #3897=FACE_OUTER_BOUND('',#6222,.T.); #3898=FACE_OUTER_BOUND('',#6223,.T.); #3899=FACE_OUTER_BOUND('',#6224,.T.); #3900=FACE_OUTER_BOUND('',#6225,.T.); #3901=FACE_OUTER_BOUND('',#6226,.T.); #3902=FACE_OUTER_BOUND('',#6237,.T.); #3903=FACE_OUTER_BOUND('',#6248,.T.); #3904=FACE_OUTER_BOUND('',#6249,.T.); #3905=FACE_OUTER_BOUND('',#6250,.T.); #3906=FACE_OUTER_BOUND('',#6251,.T.); #3907=FACE_OUTER_BOUND('',#6252,.T.); #3908=FACE_OUTER_BOUND('',#6253,.T.); #3909=FACE_OUTER_BOUND('',#6254,.T.); #3910=FACE_OUTER_BOUND('',#6255,.T.); #3911=FACE_OUTER_BOUND('',#6256,.T.); #3912=FACE_OUTER_BOUND('',#6257,.T.); #3913=FACE_OUTER_BOUND('',#6258,.T.); #3914=FACE_OUTER_BOUND('',#6259,.T.); #3915=FACE_OUTER_BOUND('',#6260,.T.); #3916=FACE_OUTER_BOUND('',#6261,.T.); #3917=FACE_OUTER_BOUND('',#6262,.T.); #3918=FACE_OUTER_BOUND('',#6263,.T.); #3919=FACE_OUTER_BOUND('',#6264,.T.); #3920=FACE_OUTER_BOUND('',#6265,.T.); #3921=FACE_OUTER_BOUND('',#6266,.T.); #3922=FACE_OUTER_BOUND('',#6267,.T.); #3923=FACE_OUTER_BOUND('',#6268,.T.); #3924=FACE_OUTER_BOUND('',#6269,.T.); #3925=FACE_OUTER_BOUND('',#6270,.T.); #3926=FACE_OUTER_BOUND('',#6271,.T.); #3927=FACE_OUTER_BOUND('',#6272,.T.); #3928=FACE_OUTER_BOUND('',#6273,.T.); #3929=FACE_OUTER_BOUND('',#6274,.T.); #3930=FACE_OUTER_BOUND('',#6275,.T.); #3931=FACE_OUTER_BOUND('',#6276,.T.); #3932=FACE_OUTER_BOUND('',#6277,.T.); #3933=FACE_OUTER_BOUND('',#6278,.T.); #3934=FACE_OUTER_BOUND('',#6279,.T.); #3935=FACE_OUTER_BOUND('',#6280,.T.); #3936=FACE_OUTER_BOUND('',#6281,.T.); #3937=FACE_OUTER_BOUND('',#6282,.T.); #3938=FACE_OUTER_BOUND('',#6283,.T.); #3939=FACE_OUTER_BOUND('',#6284,.T.); #3940=FACE_OUTER_BOUND('',#6285,.T.); #3941=FACE_OUTER_BOUND('',#6286,.T.); #3942=FACE_OUTER_BOUND('',#6287,.T.); #3943=FACE_OUTER_BOUND('',#6288,.T.); #3944=FACE_OUTER_BOUND('',#6289,.T.); #3945=FACE_OUTER_BOUND('',#6290,.T.); #3946=FACE_OUTER_BOUND('',#6291,.T.); #3947=FACE_OUTER_BOUND('',#6292,.T.); #3948=FACE_OUTER_BOUND('',#6293,.T.); #3949=FACE_OUTER_BOUND('',#6294,.T.); #3950=FACE_OUTER_BOUND('',#6295,.T.); #3951=FACE_OUTER_BOUND('',#6296,.T.); #3952=FACE_OUTER_BOUND('',#6297,.T.); #3953=FACE_OUTER_BOUND('',#6298,.T.); #3954=FACE_OUTER_BOUND('',#6299,.T.); #3955=FACE_OUTER_BOUND('',#6300,.T.); #3956=FACE_OUTER_BOUND('',#6301,.T.); #3957=FACE_OUTER_BOUND('',#6302,.T.); #3958=FACE_OUTER_BOUND('',#6303,.T.); #3959=FACE_OUTER_BOUND('',#6304,.T.); #3960=FACE_OUTER_BOUND('',#6305,.T.); #3961=FACE_OUTER_BOUND('',#6306,.T.); #3962=FACE_OUTER_BOUND('',#6307,.T.); #3963=FACE_OUTER_BOUND('',#6308,.T.); #3964=FACE_OUTER_BOUND('',#6309,.T.); #3965=FACE_OUTER_BOUND('',#6310,.T.); #3966=FACE_OUTER_BOUND('',#6311,.T.); #3967=FACE_OUTER_BOUND('',#6312,.T.); #3968=FACE_OUTER_BOUND('',#6313,.T.); #3969=FACE_OUTER_BOUND('',#6314,.T.); #3970=FACE_OUTER_BOUND('',#6315,.T.); #3971=FACE_OUTER_BOUND('',#6316,.T.); #3972=FACE_OUTER_BOUND('',#6317,.T.); #3973=FACE_OUTER_BOUND('',#6318,.T.); #3974=FACE_OUTER_BOUND('',#6319,.T.); #3975=FACE_OUTER_BOUND('',#6320,.T.); #3976=FACE_OUTER_BOUND('',#6327,.T.); #3977=FACE_OUTER_BOUND('',#6330,.T.); #3978=FACE_OUTER_BOUND('',#6337,.T.); #3979=FACE_OUTER_BOUND('',#6338,.T.); #3980=FACE_OUTER_BOUND('',#6348,.T.); #3981=FACE_OUTER_BOUND('',#6353,.T.); #3982=FACE_OUTER_BOUND('',#6363,.T.); #3983=FACE_OUTER_BOUND('',#6364,.T.); #3984=FACE_OUTER_BOUND('',#6365,.T.); #3985=FACE_OUTER_BOUND('',#6366,.T.); #3986=FACE_OUTER_BOUND('',#6367,.T.); #3987=FACE_OUTER_BOUND('',#6368,.T.); #3988=FACE_OUTER_BOUND('',#6369,.T.); #3989=FACE_OUTER_BOUND('',#6370,.T.); #3990=FACE_OUTER_BOUND('',#6371,.T.); #3991=FACE_OUTER_BOUND('',#6372,.T.); #3992=FACE_OUTER_BOUND('',#6373,.T.); #3993=FACE_OUTER_BOUND('',#6374,.T.); #3994=FACE_OUTER_BOUND('',#6375,.T.); #3995=FACE_OUTER_BOUND('',#6376,.T.); #3996=FACE_OUTER_BOUND('',#6377,.T.); #3997=FACE_OUTER_BOUND('',#6378,.T.); #3998=FACE_OUTER_BOUND('',#6379,.T.); #3999=FACE_OUTER_BOUND('',#6380,.T.); #4000=FACE_OUTER_BOUND('',#6381,.T.); #4001=FACE_OUTER_BOUND('',#6382,.T.); #4002=FACE_OUTER_BOUND('',#6383,.T.); #4003=FACE_OUTER_BOUND('',#6384,.T.); #4004=FACE_OUTER_BOUND('',#6385,.T.); #4005=FACE_OUTER_BOUND('',#6386,.T.); #4006=FACE_OUTER_BOUND('',#6387,.T.); #4007=FACE_OUTER_BOUND('',#6388,.T.); #4008=FACE_OUTER_BOUND('',#6389,.T.); #4009=FACE_OUTER_BOUND('',#6390,.T.); #4010=FACE_OUTER_BOUND('',#6391,.T.); #4011=FACE_OUTER_BOUND('',#6392,.T.); #4012=FACE_OUTER_BOUND('',#6393,.T.); #4013=FACE_OUTER_BOUND('',#6394,.T.); #4014=FACE_OUTER_BOUND('',#6395,.T.); #4015=FACE_OUTER_BOUND('',#6413,.T.); #4016=FACE_OUTER_BOUND('',#6419,.T.); #4017=FACE_OUTER_BOUND('',#6420,.T.); #4018=FACE_OUTER_BOUND('',#6421,.T.); #4019=FACE_OUTER_BOUND('',#6430,.T.); #4020=FACE_OUTER_BOUND('',#6431,.T.); #4021=FACE_OUTER_BOUND('',#6441,.T.); #4022=FACE_OUTER_BOUND('',#6442,.T.); #4023=FACE_OUTER_BOUND('',#6443,.T.); #4024=FACE_OUTER_BOUND('',#6444,.T.); #4025=FACE_OUTER_BOUND('',#6445,.T.); #4026=FACE_OUTER_BOUND('',#6446,.T.); #4027=FACE_OUTER_BOUND('',#6447,.T.); #4028=FACE_OUTER_BOUND('',#6448,.T.); #4029=FACE_OUTER_BOUND('',#6449,.T.); #4030=FACE_OUTER_BOUND('',#6450,.T.); #4031=FACE_OUTER_BOUND('',#6451,.T.); #4032=FACE_OUTER_BOUND('',#6452,.T.); #4033=FACE_OUTER_BOUND('',#6453,.T.); #4034=FACE_OUTER_BOUND('',#6454,.T.); #4035=FACE_OUTER_BOUND('',#6455,.T.); #4036=FACE_OUTER_BOUND('',#6456,.T.); #4037=FACE_OUTER_BOUND('',#6457,.T.); #4038=FACE_OUTER_BOUND('',#6458,.T.); #4039=FACE_OUTER_BOUND('',#6459,.T.); #4040=FACE_OUTER_BOUND('',#6460,.T.); #4041=FACE_OUTER_BOUND('',#6461,.T.); #4042=FACE_OUTER_BOUND('',#6462,.T.); #4043=FACE_OUTER_BOUND('',#6463,.T.); #4044=FACE_OUTER_BOUND('',#6464,.T.); #4045=FACE_OUTER_BOUND('',#6465,.T.); #4046=FACE_OUTER_BOUND('',#6466,.T.); #4047=FACE_OUTER_BOUND('',#6467,.T.); #4048=FACE_OUTER_BOUND('',#6468,.T.); #4049=FACE_OUTER_BOUND('',#6469,.T.); #4050=FACE_OUTER_BOUND('',#6470,.T.); #4051=FACE_OUTER_BOUND('',#6471,.T.); #4052=FACE_OUTER_BOUND('',#6472,.T.); #4053=FACE_OUTER_BOUND('',#6473,.T.); #4054=FACE_OUTER_BOUND('',#6474,.T.); #4055=FACE_OUTER_BOUND('',#6483,.T.); #4056=FACE_OUTER_BOUND('',#6484,.T.); #4057=FACE_OUTER_BOUND('',#6493,.T.); #4058=FACE_OUTER_BOUND('',#6494,.T.); #4059=FACE_OUTER_BOUND('',#6502,.T.); #4060=FACE_OUTER_BOUND('',#6503,.T.); #4061=FACE_OUTER_BOUND('',#6512,.T.); #4062=FACE_OUTER_BOUND('',#6513,.T.); #4063=FACE_OUTER_BOUND('',#6522,.T.); #4064=FACE_OUTER_BOUND('',#6523,.T.); #4065=FACE_OUTER_BOUND('',#6524,.T.); #4066=FACE_OUTER_BOUND('',#6525,.T.); #4067=FACE_OUTER_BOUND('',#6526,.T.); #4068=FACE_OUTER_BOUND('',#6527,.T.); #4069=FACE_OUTER_BOUND('',#6528,.T.); #4070=FACE_OUTER_BOUND('',#6529,.T.); #4071=FACE_OUTER_BOUND('',#6530,.T.); #4072=FACE_OUTER_BOUND('',#6531,.T.); #4073=FACE_OUTER_BOUND('',#6532,.T.); #4074=FACE_OUTER_BOUND('',#6533,.T.); #4075=FACE_OUTER_BOUND('',#6534,.T.); #4076=FACE_OUTER_BOUND('',#6535,.T.); #4077=FACE_OUTER_BOUND('',#6536,.T.); #4078=FACE_OUTER_BOUND('',#6537,.T.); #4079=FACE_OUTER_BOUND('',#6538,.T.); #4080=FACE_OUTER_BOUND('',#6539,.T.); #4081=FACE_OUTER_BOUND('',#6540,.T.); #4082=FACE_OUTER_BOUND('',#6541,.T.); #4083=FACE_OUTER_BOUND('',#6542,.T.); #4084=FACE_OUTER_BOUND('',#6543,.T.); #4085=FACE_OUTER_BOUND('',#6544,.T.); #4086=FACE_OUTER_BOUND('',#6545,.T.); #4087=FACE_OUTER_BOUND('',#6546,.T.); #4088=FACE_OUTER_BOUND('',#6547,.T.); #4089=FACE_OUTER_BOUND('',#6548,.T.); #4090=FACE_OUTER_BOUND('',#6549,.T.); #4091=FACE_OUTER_BOUND('',#6550,.T.); #4092=FACE_OUTER_BOUND('',#6551,.T.); #4093=FACE_OUTER_BOUND('',#6560,.T.); #4094=FACE_OUTER_BOUND('',#6561,.T.); #4095=FACE_OUTER_BOUND('',#6579,.T.); #4096=FACE_OUTER_BOUND('',#6585,.T.); #4097=FACE_OUTER_BOUND('',#6586,.T.); #4098=FACE_OUTER_BOUND('',#6587,.T.); #4099=FACE_OUTER_BOUND('',#6597,.T.); #4100=FACE_OUTER_BOUND('',#6598,.T.); #4101=FACE_OUTER_BOUND('',#6599,.T.); #4102=FACE_OUTER_BOUND('',#6600,.T.); #4103=FACE_OUTER_BOUND('',#6601,.T.); #4104=FACE_OUTER_BOUND('',#6602,.T.); #4105=FACE_OUTER_BOUND('',#6603,.T.); #4106=FACE_OUTER_BOUND('',#6604,.T.); #4107=FACE_OUTER_BOUND('',#6605,.T.); #4108=FACE_OUTER_BOUND('',#6608,.T.); #4109=FACE_OUTER_BOUND('',#6611,.T.); #4110=FACE_OUTER_BOUND('',#6612,.T.); #4111=FACE_OUTER_BOUND('',#6613,.T.); #4112=FACE_OUTER_BOUND('',#6614,.T.); #4113=FACE_OUTER_BOUND('',#6615,.T.); #4114=FACE_OUTER_BOUND('',#6616,.T.); #4115=FACE_OUTER_BOUND('',#6617,.T.); #4116=FACE_OUTER_BOUND('',#6618,.T.); #4117=FACE_OUTER_BOUND('',#6619,.T.); #4118=FACE_OUTER_BOUND('',#6620,.T.); #4119=FACE_OUTER_BOUND('',#6621,.T.); #4120=FACE_OUTER_BOUND('',#6622,.T.); #4121=FACE_OUTER_BOUND('',#6623,.T.); #4122=FACE_OUTER_BOUND('',#6624,.T.); #4123=FACE_OUTER_BOUND('',#6625,.T.); #4124=FACE_OUTER_BOUND('',#6626,.T.); #4125=FACE_OUTER_BOUND('',#6627,.T.); #4126=FACE_OUTER_BOUND('',#6628,.T.); #4127=FACE_OUTER_BOUND('',#6629,.T.); #4128=FACE_OUTER_BOUND('',#6630,.T.); #4129=FACE_OUTER_BOUND('',#6631,.T.); #4130=FACE_OUTER_BOUND('',#6632,.T.); #4131=FACE_OUTER_BOUND('',#6633,.T.); #4132=FACE_OUTER_BOUND('',#6634,.T.); #4133=FACE_OUTER_BOUND('',#6635,.T.); #4134=FACE_OUTER_BOUND('',#6636,.T.); #4135=FACE_OUTER_BOUND('',#6637,.T.); #4136=FACE_OUTER_BOUND('',#6638,.T.); #4137=FACE_OUTER_BOUND('',#6639,.T.); #4138=FACE_OUTER_BOUND('',#6640,.T.); #4139=FACE_OUTER_BOUND('',#6641,.T.); #4140=FACE_OUTER_BOUND('',#6642,.T.); #4141=FACE_OUTER_BOUND('',#6643,.T.); #4142=FACE_OUTER_BOUND('',#6644,.T.); #4143=FACE_OUTER_BOUND('',#6645,.T.); #4144=FACE_OUTER_BOUND('',#6646,.T.); #4145=FACE_OUTER_BOUND('',#6647,.T.); #4146=FACE_OUTER_BOUND('',#6648,.T.); #4147=FACE_OUTER_BOUND('',#6649,.T.); #4148=FACE_OUTER_BOUND('',#6650,.T.); #4149=FACE_OUTER_BOUND('',#6651,.T.); #4150=FACE_OUTER_BOUND('',#6652,.T.); #4151=FACE_OUTER_BOUND('',#6653,.T.); #4152=FACE_OUTER_BOUND('',#6654,.T.); #4153=FACE_OUTER_BOUND('',#6655,.T.); #4154=FACE_OUTER_BOUND('',#6656,.T.); #4155=FACE_OUTER_BOUND('',#6657,.T.); #4156=FACE_OUTER_BOUND('',#6658,.T.); #4157=FACE_OUTER_BOUND('',#6659,.T.); #4158=FACE_OUTER_BOUND('',#6660,.T.); #4159=FACE_OUTER_BOUND('',#6661,.T.); #4160=FACE_OUTER_BOUND('',#6662,.T.); #4161=FACE_OUTER_BOUND('',#6684,.T.); #4162=FACE_OUTER_BOUND('',#6685,.T.); #4163=FACE_OUTER_BOUND('',#6686,.T.); #4164=FACE_OUTER_BOUND('',#6687,.T.); #4165=FACE_OUTER_BOUND('',#6700,.T.); #4166=FACE_OUTER_BOUND('',#6701,.T.); #4167=FACE_OUTER_BOUND('',#6702,.T.); #4168=FACE_OUTER_BOUND('',#6703,.T.); #4169=FACE_OUTER_BOUND('',#6704,.T.); #4170=FACE_OUTER_BOUND('',#6713,.T.); #4171=FACE_OUTER_BOUND('',#6714,.T.); #4172=FACE_OUTER_BOUND('',#6715,.T.); #4173=FACE_OUTER_BOUND('',#6716,.T.); #4174=FACE_OUTER_BOUND('',#6717,.T.); #4175=FACE_OUTER_BOUND('',#6718,.T.); #4176=FACE_OUTER_BOUND('',#6719,.T.); #4177=FACE_OUTER_BOUND('',#6720,.T.); #4178=FACE_OUTER_BOUND('',#6721,.T.); #4179=FACE_OUTER_BOUND('',#6722,.T.); #4180=FACE_OUTER_BOUND('',#6723,.T.); #4181=FACE_OUTER_BOUND('',#6724,.T.); #4182=FACE_OUTER_BOUND('',#6725,.T.); #4183=FACE_OUTER_BOUND('',#6726,.T.); #4184=FACE_OUTER_BOUND('',#6727,.T.); #4185=FACE_OUTER_BOUND('',#6794,.T.); #4186=FACE_OUTER_BOUND('',#6795,.T.); #4187=FACE_OUTER_BOUND('',#6796,.T.); #4188=FACE_OUTER_BOUND('',#6797,.T.); #4189=FACE_OUTER_BOUND('',#6798,.T.); #4190=FACE_OUTER_BOUND('',#6799,.T.); #4191=FACE_OUTER_BOUND('',#6800,.T.); #4192=FACE_OUTER_BOUND('',#6801,.T.); #4193=FACE_OUTER_BOUND('',#6802,.T.); #4194=FACE_OUTER_BOUND('',#6803,.T.); #4195=FACE_OUTER_BOUND('',#6804,.T.); #4196=FACE_OUTER_BOUND('',#6805,.T.); #4197=FACE_OUTER_BOUND('',#6806,.T.); #4198=FACE_OUTER_BOUND('',#6807,.T.); #4199=FACE_OUTER_BOUND('',#6808,.T.); #4200=FACE_OUTER_BOUND('',#6809,.T.); #4201=FACE_OUTER_BOUND('',#6810,.T.); #4202=FACE_OUTER_BOUND('',#6811,.T.); #4203=FACE_OUTER_BOUND('',#6812,.T.); #4204=FACE_OUTER_BOUND('',#6813,.T.); #4205=FACE_OUTER_BOUND('',#6814,.T.); #4206=FACE_OUTER_BOUND('',#6815,.T.); #4207=FACE_OUTER_BOUND('',#6816,.T.); #4208=FACE_OUTER_BOUND('',#6817,.T.); #4209=FACE_OUTER_BOUND('',#6818,.T.); #4210=FACE_OUTER_BOUND('',#6819,.T.); #4211=FACE_OUTER_BOUND('',#6820,.T.); #4212=FACE_OUTER_BOUND('',#6821,.T.); #4213=FACE_OUTER_BOUND('',#6822,.T.); #4214=FACE_OUTER_BOUND('',#6823,.T.); #4215=FACE_OUTER_BOUND('',#6824,.T.); #4216=FACE_OUTER_BOUND('',#6825,.T.); #4217=FACE_OUTER_BOUND('',#6826,.T.); #4218=FACE_OUTER_BOUND('',#6827,.T.); #4219=FACE_OUTER_BOUND('',#6828,.T.); #4220=FACE_OUTER_BOUND('',#6829,.T.); #4221=FACE_OUTER_BOUND('',#6830,.T.); #4222=FACE_OUTER_BOUND('',#6831,.T.); #4223=FACE_OUTER_BOUND('',#6832,.T.); #4224=FACE_OUTER_BOUND('',#6833,.T.); #4225=FACE_OUTER_BOUND('',#6834,.T.); #4226=FACE_OUTER_BOUND('',#6835,.T.); #4227=FACE_OUTER_BOUND('',#6836,.T.); #4228=FACE_OUTER_BOUND('',#6837,.T.); #4229=FACE_OUTER_BOUND('',#6838,.T.); #4230=FACE_OUTER_BOUND('',#6839,.T.); #4231=FACE_OUTER_BOUND('',#6840,.T.); #4232=FACE_OUTER_BOUND('',#6841,.T.); #4233=FACE_OUTER_BOUND('',#6842,.T.); #4234=FACE_OUTER_BOUND('',#6843,.T.); #4235=FACE_OUTER_BOUND('',#6844,.T.); #4236=FACE_OUTER_BOUND('',#6845,.T.); #4237=FACE_OUTER_BOUND('',#6846,.T.); #4238=FACE_OUTER_BOUND('',#6847,.T.); #4239=FACE_OUTER_BOUND('',#6848,.T.); #4240=FACE_OUTER_BOUND('',#6849,.T.); #4241=FACE_OUTER_BOUND('',#6850,.T.); #4242=FACE_OUTER_BOUND('',#6851,.T.); #4243=FACE_OUTER_BOUND('',#6852,.T.); #4244=FACE_OUTER_BOUND('',#6853,.T.); #4245=FACE_OUTER_BOUND('',#6854,.T.); #4246=FACE_OUTER_BOUND('',#6855,.T.); #4247=FACE_OUTER_BOUND('',#6856,.T.); #4248=FACE_OUTER_BOUND('',#6857,.T.); #4249=FACE_OUTER_BOUND('',#6858,.T.); #4250=FACE_OUTER_BOUND('',#6859,.T.); #4251=FACE_OUTER_BOUND('',#6860,.T.); #4252=FACE_OUTER_BOUND('',#6861,.T.); #4253=FACE_OUTER_BOUND('',#6862,.T.); #4254=FACE_OUTER_BOUND('',#6863,.T.); #4255=FACE_OUTER_BOUND('',#6864,.T.); #4256=FACE_OUTER_BOUND('',#6865,.T.); #4257=FACE_OUTER_BOUND('',#6866,.T.); #4258=FACE_OUTER_BOUND('',#6867,.T.); #4259=FACE_OUTER_BOUND('',#6868,.T.); #4260=FACE_OUTER_BOUND('',#6869,.T.); #4261=FACE_OUTER_BOUND('',#6870,.T.); #4262=FACE_OUTER_BOUND('',#6871,.T.); #4263=FACE_OUTER_BOUND('',#6872,.T.); #4264=FACE_OUTER_BOUND('',#6873,.T.); #4265=FACE_OUTER_BOUND('',#6874,.T.); #4266=FACE_OUTER_BOUND('',#6875,.T.); #4267=FACE_OUTER_BOUND('',#6876,.T.); #4268=FACE_OUTER_BOUND('',#6877,.T.); #4269=FACE_OUTER_BOUND('',#6878,.T.); #4270=FACE_OUTER_BOUND('',#6879,.T.); #4271=FACE_OUTER_BOUND('',#6880,.T.); #4272=FACE_OUTER_BOUND('',#6881,.T.); #4273=FACE_OUTER_BOUND('',#6882,.T.); #4274=FACE_OUTER_BOUND('',#6883,.T.); #4275=FACE_OUTER_BOUND('',#6884,.T.); #4276=FACE_OUTER_BOUND('',#6885,.T.); #4277=FACE_OUTER_BOUND('',#6886,.T.); #4278=FACE_OUTER_BOUND('',#6887,.T.); #4279=FACE_OUTER_BOUND('',#6888,.T.); #4280=FACE_OUTER_BOUND('',#6889,.T.); #4281=FACE_OUTER_BOUND('',#6890,.T.); #4282=FACE_OUTER_BOUND('',#6891,.T.); #4283=FACE_OUTER_BOUND('',#6892,.T.); #4284=FACE_OUTER_BOUND('',#6893,.T.); #4285=FACE_OUTER_BOUND('',#6894,.T.); #4286=FACE_OUTER_BOUND('',#6895,.T.); #4287=FACE_OUTER_BOUND('',#6896,.T.); #4288=FACE_OUTER_BOUND('',#6897,.T.); #4289=FACE_OUTER_BOUND('',#6898,.T.); #4290=FACE_OUTER_BOUND('',#6899,.T.); #4291=FACE_OUTER_BOUND('',#6900,.T.); #4292=FACE_OUTER_BOUND('',#6901,.T.); #4293=FACE_OUTER_BOUND('',#6902,.T.); #4294=FACE_OUTER_BOUND('',#6903,.T.); #4295=FACE_OUTER_BOUND('',#6904,.T.); #4296=FACE_OUTER_BOUND('',#6905,.T.); #4297=FACE_OUTER_BOUND('',#6906,.T.); #4298=FACE_OUTER_BOUND('',#6907,.T.); #4299=FACE_OUTER_BOUND('',#6908,.T.); #4300=FACE_OUTER_BOUND('',#6909,.T.); #4301=FACE_OUTER_BOUND('',#6910,.T.); #4302=FACE_OUTER_BOUND('',#6911,.T.); #4303=FACE_OUTER_BOUND('',#6912,.T.); #4304=FACE_OUTER_BOUND('',#6913,.T.); #4305=FACE_OUTER_BOUND('',#6914,.T.); #4306=FACE_OUTER_BOUND('',#6915,.T.); #4307=FACE_OUTER_BOUND('',#6916,.T.); #4308=FACE_OUTER_BOUND('',#6917,.T.); #4309=FACE_OUTER_BOUND('',#6918,.T.); #4310=FACE_OUTER_BOUND('',#6919,.T.); #4311=FACE_OUTER_BOUND('',#6920,.T.); #4312=FACE_OUTER_BOUND('',#6921,.T.); #4313=FACE_OUTER_BOUND('',#6922,.T.); #4314=FACE_OUTER_BOUND('',#6923,.T.); #4315=FACE_OUTER_BOUND('',#6924,.T.); #4316=FACE_OUTER_BOUND('',#6925,.T.); #4317=FACE_OUTER_BOUND('',#6926,.T.); #4318=FACE_OUTER_BOUND('',#6927,.T.); #4319=FACE_OUTER_BOUND('',#6928,.T.); #4320=FACE_OUTER_BOUND('',#6929,.T.); #4321=FACE_OUTER_BOUND('',#6930,.T.); #4322=FACE_OUTER_BOUND('',#6931,.T.); #4323=FACE_OUTER_BOUND('',#6932,.T.); #4324=FACE_OUTER_BOUND('',#6933,.T.); #4325=FACE_OUTER_BOUND('',#6934,.T.); #4326=FACE_OUTER_BOUND('',#6935,.T.); #4327=FACE_OUTER_BOUND('',#6936,.T.); #4328=FACE_OUTER_BOUND('',#6937,.T.); #4329=FACE_OUTER_BOUND('',#7035,.T.); #4330=FACE_OUTER_BOUND('',#7036,.T.); #4331=FACE_OUTER_BOUND('',#7037,.T.); #4332=FACE_OUTER_BOUND('',#7038,.T.); #4333=FACE_OUTER_BOUND('',#7039,.T.); #4334=FACE_OUTER_BOUND('',#7040,.T.); #4335=FACE_OUTER_BOUND('',#7041,.T.); #4336=FACE_OUTER_BOUND('',#7042,.T.); #4337=FACE_OUTER_BOUND('',#7043,.T.); #4338=FACE_OUTER_BOUND('',#7141,.T.); #4339=FACE_OUTER_BOUND('',#7142,.T.); #4340=FACE_OUTER_BOUND('',#7143,.T.); #4341=FACE_OUTER_BOUND('',#7144,.T.); #4342=FACE_OUTER_BOUND('',#7145,.T.); #4343=FACE_OUTER_BOUND('',#7146,.T.); #4344=FACE_OUTER_BOUND('',#7147,.T.); #4345=FACE_OUTER_BOUND('',#7148,.T.); #4346=FACE_OUTER_BOUND('',#7149,.T.); #4347=FACE_OUTER_BOUND('',#7150,.T.); #4348=FACE_OUTER_BOUND('',#7151,.T.); #4349=FACE_OUTER_BOUND('',#7152,.T.); #4350=FACE_OUTER_BOUND('',#7153,.T.); #4351=FACE_OUTER_BOUND('',#7154,.T.); #4352=FACE_OUTER_BOUND('',#7155,.T.); #4353=FACE_OUTER_BOUND('',#7156,.T.); #4354=FACE_OUTER_BOUND('',#7157,.T.); #4355=FACE_OUTER_BOUND('',#7158,.T.); #4356=FACE_OUTER_BOUND('',#7159,.T.); #4357=FACE_OUTER_BOUND('',#7160,.T.); #4358=FACE_OUTER_BOUND('',#7161,.T.); #4359=FACE_OUTER_BOUND('',#7162,.T.); #4360=FACE_OUTER_BOUND('',#7163,.T.); #4361=FACE_OUTER_BOUND('',#7164,.T.); #4362=FACE_OUTER_BOUND('',#7165,.T.); #4363=FACE_OUTER_BOUND('',#7166,.T.); #4364=FACE_OUTER_BOUND('',#7167,.T.); #4365=FACE_OUTER_BOUND('',#7168,.T.); #4366=FACE_OUTER_BOUND('',#7169,.T.); #4367=FACE_OUTER_BOUND('',#7170,.T.); #4368=FACE_OUTER_BOUND('',#7171,.T.); #4369=FACE_OUTER_BOUND('',#7172,.T.); #4370=FACE_OUTER_BOUND('',#7173,.T.); #4371=FACE_OUTER_BOUND('',#7174,.T.); #4372=FACE_OUTER_BOUND('',#7175,.T.); #4373=FACE_OUTER_BOUND('',#7176,.T.); #4374=FACE_OUTER_BOUND('',#7177,.T.); #4375=FACE_OUTER_BOUND('',#7178,.T.); #4376=FACE_OUTER_BOUND('',#7179,.T.); #4377=FACE_OUTER_BOUND('',#7180,.T.); #4378=FACE_OUTER_BOUND('',#7181,.T.); #4379=FACE_OUTER_BOUND('',#7182,.T.); #4380=FACE_OUTER_BOUND('',#7183,.T.); #4381=FACE_OUTER_BOUND('',#7184,.T.); #4382=FACE_OUTER_BOUND('',#7185,.T.); #4383=FACE_OUTER_BOUND('',#7186,.T.); #4384=FACE_OUTER_BOUND('',#7187,.T.); #4385=FACE_OUTER_BOUND('',#7188,.T.); #4386=FACE_OUTER_BOUND('',#7189,.T.); #4387=FACE_OUTER_BOUND('',#7190,.T.); #4388=FACE_OUTER_BOUND('',#7191,.T.); #4389=FACE_OUTER_BOUND('',#7192,.T.); #4390=FACE_OUTER_BOUND('',#7193,.T.); #4391=FACE_OUTER_BOUND('',#7194,.T.); #4392=FACE_OUTER_BOUND('',#7195,.T.); #4393=FACE_OUTER_BOUND('',#7196,.T.); #4394=FACE_OUTER_BOUND('',#7197,.T.); #4395=FACE_OUTER_BOUND('',#7198,.T.); #4396=FACE_OUTER_BOUND('',#7199,.T.); #4397=FACE_OUTER_BOUND('',#7200,.T.); #4398=FACE_OUTER_BOUND('',#7201,.T.); #4399=FACE_OUTER_BOUND('',#7202,.T.); #4400=FACE_OUTER_BOUND('',#7203,.T.); #4401=FACE_OUTER_BOUND('',#7204,.T.); #4402=FACE_OUTER_BOUND('',#7205,.T.); #4403=FACE_OUTER_BOUND('',#7206,.T.); #4404=FACE_OUTER_BOUND('',#7207,.T.); #4405=FACE_OUTER_BOUND('',#7215,.T.); #4406=FACE_OUTER_BOUND('',#7234,.T.); #4407=FACE_OUTER_BOUND('',#7235,.T.); #4408=FACE_OUTER_BOUND('',#7243,.T.); #4409=FACE_OUTER_BOUND('',#7272,.T.); #4410=FACE_OUTER_BOUND('',#7273,.T.); #4411=FACE_OUTER_BOUND('',#7274,.T.); #4412=FACE_OUTER_BOUND('',#7275,.T.); #4413=FACE_OUTER_BOUND('',#7276,.T.); #4414=FACE_OUTER_BOUND('',#7277,.T.); #4415=FACE_OUTER_BOUND('',#7278,.T.); #4416=FACE_OUTER_BOUND('',#7279,.T.); #4417=FACE_OUTER_BOUND('',#7280,.T.); #4418=FACE_OUTER_BOUND('',#7281,.T.); #4419=FACE_OUTER_BOUND('',#7282,.T.); #4420=FACE_OUTER_BOUND('',#7283,.T.); #4421=FACE_OUTER_BOUND('',#7284,.T.); #4422=FACE_OUTER_BOUND('',#7285,.T.); #4423=FACE_OUTER_BOUND('',#7286,.T.); #4424=FACE_OUTER_BOUND('',#7287,.T.); #4425=FACE_OUTER_BOUND('',#7288,.T.); #4426=FACE_OUTER_BOUND('',#7289,.T.); #4427=FACE_OUTER_BOUND('',#7290,.T.); #4428=FACE_OUTER_BOUND('',#7291,.T.); #4429=FACE_OUTER_BOUND('',#7292,.T.); #4430=FACE_OUTER_BOUND('',#7293,.T.); #4431=FACE_OUTER_BOUND('',#7294,.T.); #4432=FACE_OUTER_BOUND('',#7295,.T.); #4433=FACE_OUTER_BOUND('',#7296,.T.); #4434=FACE_OUTER_BOUND('',#7297,.T.); #4435=FACE_OUTER_BOUND('',#7298,.T.); #4436=FACE_OUTER_BOUND('',#7299,.T.); #4437=FACE_OUTER_BOUND('',#7300,.T.); #4438=FACE_OUTER_BOUND('',#7301,.T.); #4439=FACE_OUTER_BOUND('',#7302,.T.); #4440=FACE_OUTER_BOUND('',#7303,.T.); #4441=FACE_OUTER_BOUND('',#7304,.T.); #4442=FACE_OUTER_BOUND('',#7305,.T.); #4443=FACE_OUTER_BOUND('',#7306,.T.); #4444=FACE_OUTER_BOUND('',#7307,.T.); #4445=FACE_OUTER_BOUND('',#7340,.T.); #4446=FACE_OUTER_BOUND('',#7341,.T.); #4447=FACE_OUTER_BOUND('',#7374,.T.); #4448=EDGE_LOOP('',(#19020,#19021,#19022,#19023)); #4449=EDGE_LOOP('',(#19024,#19025,#19026,#19027)); #4450=EDGE_LOOP('',(#19028,#19029,#19030,#19031)); #4451=EDGE_LOOP('',(#19032,#19033,#19034,#19035)); #4452=EDGE_LOOP('',(#19036,#19037,#19038,#19039)); #4453=EDGE_LOOP('',(#19040,#19041,#19042,#19043)); #4454=EDGE_LOOP('',(#19044,#19045,#19046,#19047)); #4455=EDGE_LOOP('',(#19048,#19049,#19050,#19051)); #4456=EDGE_LOOP('',(#19052,#19053,#19054,#19055)); #4457=EDGE_LOOP('',(#19056,#19057,#19058,#19059)); #4458=EDGE_LOOP('',(#19060,#19061,#19062,#19063)); #4459=EDGE_LOOP('',(#19064,#19065,#19066,#19067)); #4460=EDGE_LOOP('',(#19068,#19069,#19070,#19071)); #4461=EDGE_LOOP('',(#19072,#19073,#19074,#19075)); #4462=EDGE_LOOP('',(#19076,#19077,#19078,#19079)); #4463=EDGE_LOOP('',(#19080,#19081,#19082,#19083)); #4464=EDGE_LOOP('',(#19084,#19085,#19086,#19087)); #4465=EDGE_LOOP('',(#19088,#19089,#19090,#19091)); #4466=EDGE_LOOP('',(#19092,#19093,#19094,#19095)); #4467=EDGE_LOOP('',(#19096,#19097,#19098,#19099)); #4468=EDGE_LOOP('',(#19100,#19101,#19102,#19103,#19104,#19105,#19106,#19107, #19108,#19109,#19110,#19111,#19112)); #4469=EDGE_LOOP('',(#19113,#19114,#19115)); #4470=EDGE_LOOP('',(#19116,#19117,#19118,#19119)); #4471=EDGE_LOOP('',(#19120,#19121,#19122)); #4472=EDGE_LOOP('',(#19123,#19124,#19125,#19126)); #4473=EDGE_LOOP('',(#19127,#19128,#19129)); #4474=EDGE_LOOP('',(#19130,#19131,#19132,#19133)); #4475=EDGE_LOOP('',(#19134,#19135,#19136)); #4476=EDGE_LOOP('',(#19137,#19138,#19139,#19140)); #4477=EDGE_LOOP('',(#19141,#19142,#19143)); #4478=EDGE_LOOP('',(#19144,#19145,#19146,#19147)); #4479=EDGE_LOOP('',(#19148,#19149,#19150)); #4480=EDGE_LOOP('',(#19151,#19152,#19153,#19154)); #4481=EDGE_LOOP('',(#19155,#19156,#19157)); #4482=EDGE_LOOP('',(#19158,#19159,#19160,#19161)); #4483=EDGE_LOOP('',(#19162,#19163,#19164)); #4484=EDGE_LOOP('',(#19165,#19166,#19167,#19168)); #4485=EDGE_LOOP('',(#19169,#19170,#19171)); #4486=EDGE_LOOP('',(#19172,#19173,#19174,#19175)); #4487=EDGE_LOOP('',(#19176,#19177,#19178)); #4488=EDGE_LOOP('',(#19179,#19180,#19181,#19182)); #4489=EDGE_LOOP('',(#19183,#19184,#19185)); #4490=EDGE_LOOP('',(#19186,#19187,#19188,#19189)); #4491=EDGE_LOOP('',(#19190,#19191,#19192)); #4492=EDGE_LOOP('',(#19193,#19194,#19195,#19196)); #4493=EDGE_LOOP('',(#19197,#19198,#19199,#19200)); #4494=EDGE_LOOP('',(#19201,#19202,#19203)); #4495=EDGE_LOOP('',(#19204,#19205,#19206)); #4496=EDGE_LOOP('',(#19207,#19208)); #4497=EDGE_LOOP('',(#19209,#19210,#19211)); #4498=EDGE_LOOP('',(#19212,#19213,#19214,#19215)); #4499=EDGE_LOOP('',(#19216,#19217,#19218)); #4500=EDGE_LOOP('',(#19219,#19220,#19221,#19222)); #4501=EDGE_LOOP('',(#19223,#19224,#19225)); #4502=EDGE_LOOP('',(#19226,#19227,#19228,#19229)); #4503=EDGE_LOOP('',(#19230,#19231,#19232)); #4504=EDGE_LOOP('',(#19233,#19234,#19235,#19236)); #4505=EDGE_LOOP('',(#19237,#19238,#19239)); #4506=EDGE_LOOP('',(#19240,#19241,#19242,#19243)); #4507=EDGE_LOOP('',(#19244,#19245,#19246)); #4508=EDGE_LOOP('',(#19247,#19248,#19249,#19250)); #4509=EDGE_LOOP('',(#19251,#19252,#19253)); #4510=EDGE_LOOP('',(#19254,#19255,#19256,#19257)); #4511=EDGE_LOOP('',(#19258,#19259,#19260)); #4512=EDGE_LOOP('',(#19261,#19262,#19263,#19264)); #4513=EDGE_LOOP('',(#19265,#19266,#19267)); #4514=EDGE_LOOP('',(#19268,#19269,#19270,#19271)); #4515=EDGE_LOOP('',(#19272,#19273,#19274)); #4516=EDGE_LOOP('',(#19275,#19276,#19277,#19278)); #4517=EDGE_LOOP('',(#19279,#19280,#19281)); #4518=EDGE_LOOP('',(#19282,#19283,#19284,#19285)); #4519=EDGE_LOOP('',(#19286,#19287,#19288)); #4520=EDGE_LOOP('',(#19289,#19290,#19291,#19292)); #4521=EDGE_LOOP('',(#19293,#19294,#19295)); #4522=EDGE_LOOP('',(#19296,#19297,#19298,#19299)); #4523=EDGE_LOOP('',(#19300,#19301,#19302)); #4524=EDGE_LOOP('',(#19303,#19304,#19305,#19306)); #4525=EDGE_LOOP('',(#19307,#19308,#19309)); #4526=EDGE_LOOP('',(#19310,#19311,#19312,#19313)); #4527=EDGE_LOOP('',(#19314,#19315,#19316)); #4528=EDGE_LOOP('',(#19317,#19318,#19319,#19320)); #4529=EDGE_LOOP('',(#19321,#19322,#19323)); #4530=EDGE_LOOP('',(#19324,#19325,#19326,#19327)); #4531=EDGE_LOOP('',(#19328,#19329,#19330)); #4532=EDGE_LOOP('',(#19331,#19332,#19333,#19334)); #4533=EDGE_LOOP('',(#19335,#19336,#19337)); #4534=EDGE_LOOP('',(#19338,#19339,#19340,#19341)); #4535=EDGE_LOOP('',(#19342,#19343,#19344)); #4536=EDGE_LOOP('',(#19345,#19346,#19347,#19348)); #4537=EDGE_LOOP('',(#19349,#19350,#19351)); #4538=EDGE_LOOP('',(#19352,#19353,#19354,#19355)); #4539=EDGE_LOOP('',(#19356,#19357,#19358)); #4540=EDGE_LOOP('',(#19359,#19360,#19361,#19362)); #4541=EDGE_LOOP('',(#19363,#19364,#19365)); #4542=EDGE_LOOP('',(#19366,#19367,#19368,#19369)); #4543=EDGE_LOOP('',(#19370,#19371,#19372)); #4544=EDGE_LOOP('',(#19373,#19374,#19375,#19376)); #4545=EDGE_LOOP('',(#19377,#19378,#19379)); #4546=EDGE_LOOP('',(#19380,#19381,#19382,#19383)); #4547=EDGE_LOOP('',(#19384,#19385,#19386)); #4548=EDGE_LOOP('',(#19387,#19388,#19389,#19390)); #4549=EDGE_LOOP('',(#19391,#19392,#19393)); #4550=EDGE_LOOP('',(#19394,#19395,#19396,#19397)); #4551=EDGE_LOOP('',(#19398,#19399,#19400)); #4552=EDGE_LOOP('',(#19401,#19402,#19403,#19404)); #4553=EDGE_LOOP('',(#19405,#19406,#19407)); #4554=EDGE_LOOP('',(#19408,#19409,#19410,#19411)); #4555=EDGE_LOOP('',(#19412,#19413,#19414)); #4556=EDGE_LOOP('',(#19415,#19416,#19417,#19418)); #4557=EDGE_LOOP('',(#19419,#19420,#19421,#19422,#19423,#19424)); #4558=EDGE_LOOP('',(#19425,#19426,#19427,#19428)); #4559=EDGE_LOOP('',(#19429)); #4560=EDGE_LOOP('',(#19430)); #4561=EDGE_LOOP('',(#19431)); #4562=EDGE_LOOP('',(#19432)); #4563=EDGE_LOOP('',(#19433)); #4564=EDGE_LOOP('',(#19434)); #4565=EDGE_LOOP('',(#19435)); #4566=EDGE_LOOP('',(#19436)); #4567=EDGE_LOOP('',(#19437)); #4568=EDGE_LOOP('',(#19438,#19439,#19440,#19441,#19442)); #4569=EDGE_LOOP('',(#19443)); #4570=EDGE_LOOP('',(#19444)); #4571=EDGE_LOOP('',(#19445)); #4572=EDGE_LOOP('',(#19446)); #4573=EDGE_LOOP('',(#19447,#19448,#19449,#19450)); #4574=EDGE_LOOP('',(#19451,#19452,#19453,#19454)); #4575=EDGE_LOOP('',(#19455,#19456,#19457,#19458,#19459)); #4576=EDGE_LOOP('',(#19460)); #4577=EDGE_LOOP('',(#19461)); #4578=EDGE_LOOP('',(#19462)); #4579=EDGE_LOOP('',(#19463)); #4580=EDGE_LOOP('',(#19464)); #4581=EDGE_LOOP('',(#19465)); #4582=EDGE_LOOP('',(#19466,#19467,#19468,#19469,#19470,#19471,#19472,#19473)); #4583=EDGE_LOOP('',(#19474,#19475,#19476,#19477)); #4584=EDGE_LOOP('',(#19478)); #4585=EDGE_LOOP('',(#19479)); #4586=EDGE_LOOP('',(#19480)); #4587=EDGE_LOOP('',(#19481)); #4588=EDGE_LOOP('',(#19482)); #4589=EDGE_LOOP('',(#19483)); #4590=EDGE_LOOP('',(#19484)); #4591=EDGE_LOOP('',(#19485)); #4592=EDGE_LOOP('',(#19486)); #4593=EDGE_LOOP('',(#19487,#19488,#19489,#19490)); #4594=EDGE_LOOP('',(#19491)); #4595=EDGE_LOOP('',(#19492)); #4596=EDGE_LOOP('',(#19493)); #4597=EDGE_LOOP('',(#19494)); #4598=EDGE_LOOP('',(#19495)); #4599=EDGE_LOOP('',(#19496)); #4600=EDGE_LOOP('',(#19497)); #4601=EDGE_LOOP('',(#19498)); #4602=EDGE_LOOP('',(#19499)); #4603=EDGE_LOOP('',(#19500)); #4604=EDGE_LOOP('',(#19501)); #4605=EDGE_LOOP('',(#19502)); #4606=EDGE_LOOP('',(#19503)); #4607=EDGE_LOOP('',(#19504)); #4608=EDGE_LOOP('',(#19505)); #4609=EDGE_LOOP('',(#19506)); #4610=EDGE_LOOP('',(#19507,#19508,#19509,#19510,#19511,#19512,#19513,#19514)); #4611=EDGE_LOOP('',(#19515,#19516,#19517,#19518,#19519,#19520,#19521,#19522, #19523,#19524,#19525,#19526,#19527)); #4612=EDGE_LOOP('',(#19528,#19529,#19530,#19531)); #4613=EDGE_LOOP('',(#19532,#19533,#19534,#19535)); #4614=EDGE_LOOP('',(#19536,#19537,#19538,#19539)); #4615=EDGE_LOOP('',(#19540,#19541,#19542,#19543)); #4616=EDGE_LOOP('',(#19544,#19545,#19546,#19547)); #4617=EDGE_LOOP('',(#19548,#19549,#19550,#19551)); #4618=EDGE_LOOP('',(#19552,#19553,#19554,#19555)); #4619=EDGE_LOOP('',(#19556,#19557,#19558,#19559)); #4620=EDGE_LOOP('',(#19560,#19561,#19562)); #4621=EDGE_LOOP('',(#19563,#19564,#19565,#19566)); #4622=EDGE_LOOP('',(#19567,#19568,#19569)); #4623=EDGE_LOOP('',(#19570,#19571,#19572,#19573)); #4624=EDGE_LOOP('',(#19574,#19575,#19576)); #4625=EDGE_LOOP('',(#19577,#19578,#19579,#19580)); #4626=EDGE_LOOP('',(#19581,#19582,#19583)); #4627=EDGE_LOOP('',(#19584,#19585,#19586,#19587)); #4628=EDGE_LOOP('',(#19588,#19589,#19590)); #4629=EDGE_LOOP('',(#19591,#19592,#19593,#19594)); #4630=EDGE_LOOP('',(#19595,#19596,#19597)); #4631=EDGE_LOOP('',(#19598,#19599,#19600,#19601)); #4632=EDGE_LOOP('',(#19602,#19603,#19604)); #4633=EDGE_LOOP('',(#19605,#19606,#19607,#19608)); #4634=EDGE_LOOP('',(#19609,#19610,#19611)); #4635=EDGE_LOOP('',(#19612,#19613,#19614,#19615)); #4636=EDGE_LOOP('',(#19616,#19617,#19618)); #4637=EDGE_LOOP('',(#19619,#19620,#19621,#19622)); #4638=EDGE_LOOP('',(#19623,#19624,#19625)); #4639=EDGE_LOOP('',(#19626,#19627,#19628,#19629)); #4640=EDGE_LOOP('',(#19630,#19631,#19632)); #4641=EDGE_LOOP('',(#19633,#19634,#19635,#19636)); #4642=EDGE_LOOP('',(#19637,#19638,#19639,#19640,#19641,#19642)); #4643=EDGE_LOOP('',(#19643,#19644,#19645,#19646)); #4644=EDGE_LOOP('',(#19647,#19648,#19649,#19650,#19651,#19652)); #4645=EDGE_LOOP('',(#19653,#19654,#19655)); #4646=EDGE_LOOP('',(#19656,#19657,#19658,#19659)); #4647=EDGE_LOOP('',(#19660,#19661,#19662)); #4648=EDGE_LOOP('',(#19663,#19664,#19665,#19666)); #4649=EDGE_LOOP('',(#19667,#19668,#19669)); #4650=EDGE_LOOP('',(#19670,#19671,#19672,#19673)); #4651=EDGE_LOOP('',(#19674,#19675,#19676)); #4652=EDGE_LOOP('',(#19677,#19678,#19679,#19680)); #4653=EDGE_LOOP('',(#19681,#19682,#19683,#19684)); #4654=EDGE_LOOP('',(#19685,#19686,#19687,#19688)); #4655=EDGE_LOOP('',(#19689,#19690,#19691,#19692)); #4656=EDGE_LOOP('',(#19693,#19694,#19695,#19696)); #4657=EDGE_LOOP('',(#19697,#19698,#19699,#19700)); #4658=EDGE_LOOP('',(#19701,#19702,#19703,#19704)); #4659=EDGE_LOOP('',(#19705,#19706,#19707,#19708)); #4660=EDGE_LOOP('',(#19709,#19710,#19711,#19712)); #4661=EDGE_LOOP('',(#19713,#19714,#19715,#19716)); #4662=EDGE_LOOP('',(#19717,#19718,#19719,#19720)); #4663=EDGE_LOOP('',(#19721,#19722,#19723,#19724)); #4664=EDGE_LOOP('',(#19725,#19726,#19727,#19728)); #4665=EDGE_LOOP('',(#19729,#19730,#19731,#19732)); #4666=EDGE_LOOP('',(#19733,#19734,#19735,#19736)); #4667=EDGE_LOOP('',(#19737,#19738,#19739,#19740)); #4668=EDGE_LOOP('',(#19741,#19742,#19743,#19744)); #4669=EDGE_LOOP('',(#19745,#19746,#19747,#19748)); #4670=EDGE_LOOP('',(#19749,#19750,#19751,#19752)); #4671=EDGE_LOOP('',(#19753,#19754,#19755,#19756)); #4672=EDGE_LOOP('',(#19757,#19758,#19759,#19760)); #4673=EDGE_LOOP('',(#19761,#19762,#19763,#19764,#19765,#19766,#19767,#19768, #19769,#19770,#19771,#19772,#19773)); #4674=EDGE_LOOP('',(#19774,#19775,#19776)); #4675=EDGE_LOOP('',(#19777,#19778,#19779,#19780)); #4676=EDGE_LOOP('',(#19781,#19782,#19783)); #4677=EDGE_LOOP('',(#19784,#19785,#19786,#19787)); #4678=EDGE_LOOP('',(#19788,#19789,#19790)); #4679=EDGE_LOOP('',(#19791,#19792,#19793,#19794)); #4680=EDGE_LOOP('',(#19795,#19796,#19797)); #4681=EDGE_LOOP('',(#19798,#19799,#19800,#19801)); #4682=EDGE_LOOP('',(#19802,#19803,#19804,#19805,#19806,#19807)); #4683=EDGE_LOOP('',(#19808,#19809,#19810)); #4684=EDGE_LOOP('',(#19811,#19812,#19813,#19814)); #4685=EDGE_LOOP('',(#19815,#19816,#19817)); #4686=EDGE_LOOP('',(#19818,#19819,#19820,#19821)); #4687=EDGE_LOOP('',(#19822,#19823,#19824)); #4688=EDGE_LOOP('',(#19825,#19826,#19827,#19828)); #4689=EDGE_LOOP('',(#19829,#19830,#19831)); #4690=EDGE_LOOP('',(#19832,#19833,#19834,#19835)); #4691=EDGE_LOOP('',(#19836,#19837,#19838)); #4692=EDGE_LOOP('',(#19839,#19840,#19841,#19842)); #4693=EDGE_LOOP('',(#19843,#19844,#19845)); #4694=EDGE_LOOP('',(#19846,#19847,#19848,#19849)); #4695=EDGE_LOOP('',(#19850,#19851,#19852)); #4696=EDGE_LOOP('',(#19853,#19854,#19855,#19856)); #4697=EDGE_LOOP('',(#19857,#19858,#19859)); #4698=EDGE_LOOP('',(#19860,#19861,#19862,#19863)); #4699=EDGE_LOOP('',(#19864,#19865,#19866)); #4700=EDGE_LOOP('',(#19867,#19868,#19869,#19870)); #4701=EDGE_LOOP('',(#19871,#19872,#19873)); #4702=EDGE_LOOP('',(#19874,#19875,#19876,#19877)); #4703=EDGE_LOOP('',(#19878,#19879,#19880)); #4704=EDGE_LOOP('',(#19881,#19882,#19883,#19884)); #4705=EDGE_LOOP('',(#19885,#19886,#19887)); #4706=EDGE_LOOP('',(#19888,#19889,#19890,#19891)); #4707=EDGE_LOOP('',(#19892,#19893,#19894)); #4708=EDGE_LOOP('',(#19895,#19896,#19897,#19898)); #4709=EDGE_LOOP('',(#19899,#19900,#19901)); #4710=EDGE_LOOP('',(#19902,#19903,#19904,#19905)); #4711=EDGE_LOOP('',(#19906,#19907,#19908)); #4712=EDGE_LOOP('',(#19909,#19910,#19911,#19912)); #4713=EDGE_LOOP('',(#19913,#19914,#19915)); #4714=EDGE_LOOP('',(#19916,#19917,#19918,#19919)); #4715=EDGE_LOOP('',(#19920,#19921,#19922)); #4716=EDGE_LOOP('',(#19923,#19924,#19925,#19926)); #4717=EDGE_LOOP('',(#19927,#19928,#19929)); #4718=EDGE_LOOP('',(#19930,#19931,#19932,#19933)); #4719=EDGE_LOOP('',(#19934,#19935,#19936)); #4720=EDGE_LOOP('',(#19937,#19938,#19939,#19940)); #4721=EDGE_LOOP('',(#19941,#19942,#19943)); #4722=EDGE_LOOP('',(#19944,#19945,#19946,#19947)); #4723=EDGE_LOOP('',(#19948,#19949,#19950)); #4724=EDGE_LOOP('',(#19951,#19952,#19953,#19954)); #4725=EDGE_LOOP('',(#19955,#19956,#19957)); #4726=EDGE_LOOP('',(#19958,#19959,#19960,#19961)); #4727=EDGE_LOOP('',(#19962,#19963,#19964)); #4728=EDGE_LOOP('',(#19965,#19966,#19967,#19968)); #4729=EDGE_LOOP('',(#19969,#19970,#19971)); #4730=EDGE_LOOP('',(#19972,#19973,#19974,#19975)); #4731=EDGE_LOOP('',(#19976,#19977,#19978)); #4732=EDGE_LOOP('',(#19979,#19980,#19981,#19982)); #4733=EDGE_LOOP('',(#19983,#19984,#19985)); #4734=EDGE_LOOP('',(#19986,#19987,#19988,#19989)); #4735=EDGE_LOOP('',(#19990,#19991,#19992)); #4736=EDGE_LOOP('',(#19993,#19994,#19995,#19996)); #4737=EDGE_LOOP('',(#19997,#19998,#19999)); #4738=EDGE_LOOP('',(#20000,#20001,#20002,#20003)); #4739=EDGE_LOOP('',(#20004,#20005,#20006)); #4740=EDGE_LOOP('',(#20007,#20008,#20009,#20010)); #4741=EDGE_LOOP('',(#20011,#20012,#20013)); #4742=EDGE_LOOP('',(#20014,#20015,#20016,#20017)); #4743=EDGE_LOOP('',(#20018,#20019,#20020)); #4744=EDGE_LOOP('',(#20021,#20022,#20023,#20024)); #4745=EDGE_LOOP('',(#20025,#20026,#20027)); #4746=EDGE_LOOP('',(#20028,#20029,#20030,#20031)); #4747=EDGE_LOOP('',(#20032,#20033,#20034)); #4748=EDGE_LOOP('',(#20035,#20036,#20037,#20038)); #4749=EDGE_LOOP('',(#20039,#20040,#20041)); #4750=EDGE_LOOP('',(#20042,#20043,#20044,#20045)); #4751=EDGE_LOOP('',(#20046,#20047,#20048)); #4752=EDGE_LOOP('',(#20049,#20050,#20051,#20052)); #4753=EDGE_LOOP('',(#20053,#20054,#20055)); #4754=EDGE_LOOP('',(#20056,#20057,#20058,#20059)); #4755=EDGE_LOOP('',(#20060,#20061,#20062)); #4756=EDGE_LOOP('',(#20063,#20064,#20065,#20066)); #4757=EDGE_LOOP('',(#20067,#20068,#20069)); #4758=EDGE_LOOP('',(#20070,#20071,#20072,#20073)); #4759=EDGE_LOOP('',(#20074,#20075,#20076)); #4760=EDGE_LOOP('',(#20077,#20078,#20079,#20080)); #4761=EDGE_LOOP('',(#20081,#20082,#20083)); #4762=EDGE_LOOP('',(#20084,#20085,#20086,#20087)); #4763=EDGE_LOOP('',(#20088,#20089,#20090)); #4764=EDGE_LOOP('',(#20091,#20092,#20093,#20094)); #4765=EDGE_LOOP('',(#20095,#20096,#20097)); #4766=EDGE_LOOP('',(#20098,#20099,#20100,#20101)); #4767=EDGE_LOOP('',(#20102,#20103,#20104)); #4768=EDGE_LOOP('',(#20105,#20106,#20107,#20108)); #4769=EDGE_LOOP('',(#20109,#20110,#20111)); #4770=EDGE_LOOP('',(#20112,#20113,#20114,#20115)); #4771=EDGE_LOOP('',(#20116,#20117,#20118)); #4772=EDGE_LOOP('',(#20119,#20120,#20121,#20122)); #4773=EDGE_LOOP('',(#20123,#20124,#20125)); #4774=EDGE_LOOP('',(#20126,#20127,#20128,#20129)); #4775=EDGE_LOOP('',(#20130,#20131,#20132)); #4776=EDGE_LOOP('',(#20133,#20134,#20135,#20136)); #4777=EDGE_LOOP('',(#20137,#20138,#20139,#20140)); #4778=EDGE_LOOP('',(#20141)); #4779=EDGE_LOOP('',(#20142)); #4780=EDGE_LOOP('',(#20143)); #4781=EDGE_LOOP('',(#20144)); #4782=EDGE_LOOP('',(#20145)); #4783=EDGE_LOOP('',(#20146)); #4784=EDGE_LOOP('',(#20147,#20148,#20149,#20150,#20151)); #4785=EDGE_LOOP('',(#20152)); #4786=EDGE_LOOP('',(#20153)); #4787=EDGE_LOOP('',(#20154)); #4788=EDGE_LOOP('',(#20155)); #4789=EDGE_LOOP('',(#20156)); #4790=EDGE_LOOP('',(#20157)); #4791=EDGE_LOOP('',(#20158)); #4792=EDGE_LOOP('',(#20159)); #4793=EDGE_LOOP('',(#20160)); #4794=EDGE_LOOP('',(#20161)); #4795=EDGE_LOOP('',(#20162)); #4796=EDGE_LOOP('',(#20163)); #4797=EDGE_LOOP('',(#20164)); #4798=EDGE_LOOP('',(#20165)); #4799=EDGE_LOOP('',(#20166)); #4800=EDGE_LOOP('',(#20167)); #4801=EDGE_LOOP('',(#20168)); #4802=EDGE_LOOP('',(#20169)); #4803=EDGE_LOOP('',(#20170)); #4804=EDGE_LOOP('',(#20171)); #4805=EDGE_LOOP('',(#20172)); #4806=EDGE_LOOP('',(#20173)); #4807=EDGE_LOOP('',(#20174)); #4808=EDGE_LOOP('',(#20175)); #4809=EDGE_LOOP('',(#20176)); #4810=EDGE_LOOP('',(#20177)); #4811=EDGE_LOOP('',(#20178)); #4812=EDGE_LOOP('',(#20179)); #4813=EDGE_LOOP('',(#20180)); #4814=EDGE_LOOP('',(#20181)); #4815=EDGE_LOOP('',(#20182)); #4816=EDGE_LOOP('',(#20183)); #4817=EDGE_LOOP('',(#20184)); #4818=EDGE_LOOP('',(#20185)); #4819=EDGE_LOOP('',(#20186)); #4820=EDGE_LOOP('',(#20187)); #4821=EDGE_LOOP('',(#20188)); #4822=EDGE_LOOP('',(#20189)); #4823=EDGE_LOOP('',(#20190)); #4824=EDGE_LOOP('',(#20191)); #4825=EDGE_LOOP('',(#20192,#20193,#20194,#20195)); #4826=EDGE_LOOP('',(#20196)); #4827=EDGE_LOOP('',(#20197)); #4828=EDGE_LOOP('',(#20198)); #4829=EDGE_LOOP('',(#20199)); #4830=EDGE_LOOP('',(#20200)); #4831=EDGE_LOOP('',(#20201)); #4832=EDGE_LOOP('',(#20202)); #4833=EDGE_LOOP('',(#20203)); #4834=EDGE_LOOP('',(#20204)); #4835=EDGE_LOOP('',(#20205,#20206,#20207,#20208)); #4836=EDGE_LOOP('',(#20209,#20210,#20211,#20212,#20213)); #4837=EDGE_LOOP('',(#20214,#20215,#20216,#20217)); #4838=EDGE_LOOP('',(#20218)); #4839=EDGE_LOOP('',(#20219)); #4840=EDGE_LOOP('',(#20220)); #4841=EDGE_LOOP('',(#20221)); #4842=EDGE_LOOP('',(#20222)); #4843=EDGE_LOOP('',(#20223)); #4844=EDGE_LOOP('',(#20224)); #4845=EDGE_LOOP('',(#20225)); #4846=EDGE_LOOP('',(#20226)); #4847=EDGE_LOOP('',(#20227,#20228,#20229,#20230)); #4848=EDGE_LOOP('',(#20231)); #4849=EDGE_LOOP('',(#20232)); #4850=EDGE_LOOP('',(#20233)); #4851=EDGE_LOOP('',(#20234)); #4852=EDGE_LOOP('',(#20235,#20236,#20237,#20238)); #4853=EDGE_LOOP('',(#20239,#20240,#20241,#20242,#20243,#20244,#20245,#20246)); #4854=EDGE_LOOP('',(#20247,#20248,#20249,#20250,#20251,#20252,#20253,#20254)); #4855=EDGE_LOOP('',(#20255,#20256,#20257,#20258,#20259,#20260,#20261,#20262, #20263,#20264,#20265,#20266,#20267)); #4856=EDGE_LOOP('',(#20268,#20269,#20270,#20271)); #4857=EDGE_LOOP('',(#20272,#20273,#20274,#20275)); #4858=EDGE_LOOP('',(#20276,#20277,#20278,#20279)); #4859=EDGE_LOOP('',(#20280,#20281,#20282,#20283)); #4860=EDGE_LOOP('',(#20284,#20285,#20286,#20287)); #4861=EDGE_LOOP('',(#20288,#20289,#20290,#20291)); #4862=EDGE_LOOP('',(#20292,#20293,#20294,#20295)); #4863=EDGE_LOOP('',(#20296,#20297,#20298,#20299)); #4864=EDGE_LOOP('',(#20300,#20301,#20302,#20303,#20304)); #4865=EDGE_LOOP('',(#20305)); #4866=EDGE_LOOP('',(#20306)); #4867=EDGE_LOOP('',(#20307)); #4868=EDGE_LOOP('',(#20308)); #4869=EDGE_LOOP('',(#20309)); #4870=EDGE_LOOP('',(#20310)); #4871=EDGE_LOOP('',(#20311)); #4872=EDGE_LOOP('',(#20312)); #4873=EDGE_LOOP('',(#20313,#20314,#20315)); #4874=EDGE_LOOP('',(#20316,#20317,#20318,#20319)); #4875=EDGE_LOOP('',(#20320,#20321,#20322)); #4876=EDGE_LOOP('',(#20323,#20324,#20325,#20326)); #4877=EDGE_LOOP('',(#20327,#20328,#20329)); #4878=EDGE_LOOP('',(#20330,#20331,#20332,#20333)); #4879=EDGE_LOOP('',(#20334,#20335,#20336)); #4880=EDGE_LOOP('',(#20337,#20338,#20339,#20340)); #4881=EDGE_LOOP('',(#20341,#20342,#20343)); #4882=EDGE_LOOP('',(#20344,#20345,#20346,#20347)); #4883=EDGE_LOOP('',(#20348,#20349,#20350)); #4884=EDGE_LOOP('',(#20351,#20352,#20353,#20354)); #4885=EDGE_LOOP('',(#20355,#20356,#20357)); #4886=EDGE_LOOP('',(#20358,#20359,#20360,#20361)); #4887=EDGE_LOOP('',(#20362,#20363,#20364)); #4888=EDGE_LOOP('',(#20365,#20366,#20367,#20368)); #4889=EDGE_LOOP('',(#20369,#20370,#20371,#20372)); #4890=EDGE_LOOP('',(#20373,#20374,#20375)); #4891=EDGE_LOOP('',(#20376,#20377,#20378)); #4892=EDGE_LOOP('',(#20379,#20380)); #4893=EDGE_LOOP('',(#20381,#20382,#20383)); #4894=EDGE_LOOP('',(#20384,#20385,#20386,#20387)); #4895=EDGE_LOOP('',(#20388,#20389,#20390)); #4896=EDGE_LOOP('',(#20391,#20392,#20393,#20394)); #4897=EDGE_LOOP('',(#20395,#20396,#20397)); #4898=EDGE_LOOP('',(#20398,#20399,#20400,#20401)); #4899=EDGE_LOOP('',(#20402,#20403,#20404)); #4900=EDGE_LOOP('',(#20405,#20406,#20407,#20408)); #4901=EDGE_LOOP('',(#20409,#20410,#20411,#20412,#20413,#20414)); #4902=EDGE_LOOP('',(#20415,#20416,#20417,#20418,#20419,#20420)); #4903=EDGE_LOOP('',(#20421,#20422,#20423)); #4904=EDGE_LOOP('',(#20424,#20425,#20426,#20427)); #4905=EDGE_LOOP('',(#20428,#20429,#20430)); #4906=EDGE_LOOP('',(#20431,#20432,#20433,#20434)); #4907=EDGE_LOOP('',(#20435,#20436,#20437)); #4908=EDGE_LOOP('',(#20438,#20439,#20440,#20441)); #4909=EDGE_LOOP('',(#20442,#20443,#20444)); #4910=EDGE_LOOP('',(#20445,#20446,#20447,#20448)); #4911=EDGE_LOOP('',(#20449,#20450,#20451,#20452,#20453,#20454)); #4912=EDGE_LOOP('',(#20455,#20456,#20457)); #4913=EDGE_LOOP('',(#20458,#20459,#20460,#20461)); #4914=EDGE_LOOP('',(#20462,#20463,#20464)); #4915=EDGE_LOOP('',(#20465,#20466,#20467,#20468)); #4916=EDGE_LOOP('',(#20469,#20470,#20471)); #4917=EDGE_LOOP('',(#20472,#20473,#20474,#20475)); #4918=EDGE_LOOP('',(#20476,#20477,#20478)); #4919=EDGE_LOOP('',(#20479,#20480,#20481,#20482)); #4920=EDGE_LOOP('',(#20483,#20484,#20485)); #4921=EDGE_LOOP('',(#20486,#20487,#20488,#20489)); #4922=EDGE_LOOP('',(#20490,#20491,#20492)); #4923=EDGE_LOOP('',(#20493,#20494,#20495,#20496)); #4924=EDGE_LOOP('',(#20497,#20498,#20499)); #4925=EDGE_LOOP('',(#20500,#20501,#20502,#20503)); #4926=EDGE_LOOP('',(#20504,#20505,#20506)); #4927=EDGE_LOOP('',(#20507,#20508,#20509,#20510)); #4928=EDGE_LOOP('',(#20511,#20512,#20513)); #4929=EDGE_LOOP('',(#20514,#20515,#20516,#20517)); #4930=EDGE_LOOP('',(#20518,#20519,#20520)); #4931=EDGE_LOOP('',(#20521,#20522,#20523,#20524)); #4932=EDGE_LOOP('',(#20525,#20526,#20527)); #4933=EDGE_LOOP('',(#20528,#20529,#20530,#20531)); #4934=EDGE_LOOP('',(#20532,#20533,#20534,#20535)); #4935=EDGE_LOOP('',(#20536,#20537,#20538)); #4936=EDGE_LOOP('',(#20539,#20540,#20541,#20542)); #4937=EDGE_LOOP('',(#20543,#20544,#20545)); #4938=EDGE_LOOP('',(#20546,#20547,#20548,#20549)); #4939=EDGE_LOOP('',(#20550,#20551,#20552)); #4940=EDGE_LOOP('',(#20553,#20554,#20555,#20556)); #4941=EDGE_LOOP('',(#20557,#20558,#20559)); #4942=EDGE_LOOP('',(#20560,#20561,#20562,#20563)); #4943=EDGE_LOOP('',(#20564,#20565,#20566)); #4944=EDGE_LOOP('',(#20567,#20568,#20569,#20570)); #4945=EDGE_LOOP('',(#20571,#20572,#20573)); #4946=EDGE_LOOP('',(#20574,#20575,#20576,#20577)); #4947=EDGE_LOOP('',(#20578,#20579,#20580)); #4948=EDGE_LOOP('',(#20581,#20582,#20583,#20584)); #4949=EDGE_LOOP('',(#20585,#20586,#20587)); #4950=EDGE_LOOP('',(#20588,#20589,#20590,#20591)); #4951=EDGE_LOOP('',(#20592,#20593,#20594)); #4952=EDGE_LOOP('',(#20595,#20596,#20597,#20598)); #4953=EDGE_LOOP('',(#20599,#20600,#20601)); #4954=EDGE_LOOP('',(#20602,#20603,#20604,#20605)); #4955=EDGE_LOOP('',(#20606,#20607,#20608)); #4956=EDGE_LOOP('',(#20609,#20610,#20611,#20612)); #4957=EDGE_LOOP('',(#20613,#20614,#20615)); #4958=EDGE_LOOP('',(#20616,#20617,#20618,#20619)); #4959=EDGE_LOOP('',(#20620,#20621,#20622)); #4960=EDGE_LOOP('',(#20623,#20624,#20625,#20626)); #4961=EDGE_LOOP('',(#20627,#20628,#20629)); #4962=EDGE_LOOP('',(#20630,#20631,#20632,#20633)); #4963=EDGE_LOOP('',(#20634,#20635,#20636)); #4964=EDGE_LOOP('',(#20637,#20638,#20639,#20640)); #4965=EDGE_LOOP('',(#20641,#20642,#20643)); #4966=EDGE_LOOP('',(#20644,#20645,#20646,#20647)); #4967=EDGE_LOOP('',(#20648,#20649,#20650)); #4968=EDGE_LOOP('',(#20651,#20652,#20653,#20654)); #4969=EDGE_LOOP('',(#20655,#20656,#20657)); #4970=EDGE_LOOP('',(#20658,#20659,#20660,#20661)); #4971=EDGE_LOOP('',(#20662,#20663,#20664)); #4972=EDGE_LOOP('',(#20665,#20666,#20667,#20668)); #4973=EDGE_LOOP('',(#20669,#20670,#20671)); #4974=EDGE_LOOP('',(#20672,#20673,#20674,#20675)); #4975=EDGE_LOOP('',(#20676,#20677,#20678)); #4976=EDGE_LOOP('',(#20679,#20680,#20681,#20682)); #4977=EDGE_LOOP('',(#20683,#20684,#20685)); #4978=EDGE_LOOP('',(#20686,#20687,#20688,#20689)); #4979=EDGE_LOOP('',(#20690,#20691,#20692)); #4980=EDGE_LOOP('',(#20693,#20694,#20695,#20696)); #4981=EDGE_LOOP('',(#20697,#20698,#20699)); #4982=EDGE_LOOP('',(#20700,#20701,#20702,#20703)); #4983=EDGE_LOOP('',(#20704,#20705,#20706)); #4984=EDGE_LOOP('',(#20707,#20708,#20709,#20710)); #4985=EDGE_LOOP('',(#20711,#20712,#20713)); #4986=EDGE_LOOP('',(#20714,#20715,#20716,#20717)); #4987=EDGE_LOOP('',(#20718,#20719,#20720)); #4988=EDGE_LOOP('',(#20721,#20722,#20723,#20724)); #4989=EDGE_LOOP('',(#20725,#20726,#20727)); #4990=EDGE_LOOP('',(#20728,#20729,#20730,#20731)); #4991=EDGE_LOOP('',(#20732,#20733,#20734)); #4992=EDGE_LOOP('',(#20735,#20736,#20737,#20738)); #4993=EDGE_LOOP('',(#20739,#20740,#20741)); #4994=EDGE_LOOP('',(#20742,#20743,#20744,#20745)); #4995=EDGE_LOOP('',(#20746,#20747,#20748)); #4996=EDGE_LOOP('',(#20749,#20750,#20751,#20752)); #4997=EDGE_LOOP('',(#20753,#20754,#20755)); #4998=EDGE_LOOP('',(#20756,#20757,#20758,#20759)); #4999=EDGE_LOOP('',(#20760,#20761,#20762)); #5000=EDGE_LOOP('',(#20763,#20764,#20765,#20766)); #5001=EDGE_LOOP('',(#20767,#20768,#20769)); #5002=EDGE_LOOP('',(#20770,#20771,#20772,#20773)); #5003=EDGE_LOOP('',(#20774,#20775,#20776)); #5004=EDGE_LOOP('',(#20777,#20778,#20779,#20780)); #5005=EDGE_LOOP('',(#20781,#20782,#20783)); #5006=EDGE_LOOP('',(#20784,#20785,#20786,#20787)); #5007=EDGE_LOOP('',(#20788,#20789,#20790)); #5008=EDGE_LOOP('',(#20791,#20792,#20793,#20794)); #5009=EDGE_LOOP('',(#20795,#20796,#20797)); #5010=EDGE_LOOP('',(#20798,#20799,#20800,#20801)); #5011=EDGE_LOOP('',(#20802,#20803,#20804)); #5012=EDGE_LOOP('',(#20805,#20806,#20807,#20808)); #5013=EDGE_LOOP('',(#20809,#20810,#20811)); #5014=EDGE_LOOP('',(#20812,#20813,#20814,#20815)); #5015=EDGE_LOOP('',(#20816,#20817,#20818)); #5016=EDGE_LOOP('',(#20819,#20820,#20821,#20822)); #5017=EDGE_LOOP('',(#20823,#20824,#20825)); #5018=EDGE_LOOP('',(#20826,#20827,#20828,#20829)); #5019=EDGE_LOOP('',(#20830,#20831,#20832)); #5020=EDGE_LOOP('',(#20833,#20834,#20835,#20836)); #5021=EDGE_LOOP('',(#20837,#20838,#20839)); #5022=EDGE_LOOP('',(#20840,#20841,#20842,#20843)); #5023=EDGE_LOOP('',(#20844,#20845,#20846)); #5024=EDGE_LOOP('',(#20847,#20848,#20849,#20850)); #5025=EDGE_LOOP('',(#20851,#20852,#20853)); #5026=EDGE_LOOP('',(#20854,#20855,#20856,#20857)); #5027=EDGE_LOOP('',(#20858,#20859,#20860)); #5028=EDGE_LOOP('',(#20861,#20862,#20863,#20864)); #5029=EDGE_LOOP('',(#20865,#20866,#20867)); #5030=EDGE_LOOP('',(#20868,#20869,#20870,#20871)); #5031=EDGE_LOOP('',(#20872,#20873,#20874)); #5032=EDGE_LOOP('',(#20875,#20876,#20877,#20878)); #5033=EDGE_LOOP('',(#20879,#20880,#20881)); #5034=EDGE_LOOP('',(#20882,#20883,#20884,#20885)); #5035=EDGE_LOOP('',(#20886,#20887,#20888)); #5036=EDGE_LOOP('',(#20889,#20890,#20891,#20892)); #5037=EDGE_LOOP('',(#20893,#20894,#20895)); #5038=EDGE_LOOP('',(#20896,#20897,#20898,#20899)); #5039=EDGE_LOOP('',(#20900,#20901,#20902)); #5040=EDGE_LOOP('',(#20903,#20904,#20905,#20906)); #5041=EDGE_LOOP('',(#20907,#20908,#20909)); #5042=EDGE_LOOP('',(#20910,#20911,#20912,#20913)); #5043=EDGE_LOOP('',(#20914,#20915,#20916)); #5044=EDGE_LOOP('',(#20917,#20918,#20919,#20920)); #5045=EDGE_LOOP('',(#20921,#20922,#20923)); #5046=EDGE_LOOP('',(#20924,#20925,#20926,#20927)); #5047=EDGE_LOOP('',(#20928,#20929,#20930)); #5048=EDGE_LOOP('',(#20931,#20932,#20933,#20934)); #5049=EDGE_LOOP('',(#20935,#20936,#20937)); #5050=EDGE_LOOP('',(#20938,#20939,#20940,#20941)); #5051=EDGE_LOOP('',(#20942,#20943,#20944)); #5052=EDGE_LOOP('',(#20945,#20946,#20947,#20948)); #5053=EDGE_LOOP('',(#20949,#20950,#20951)); #5054=EDGE_LOOP('',(#20952,#20953,#20954,#20955)); #5055=EDGE_LOOP('',(#20956,#20957,#20958)); #5056=EDGE_LOOP('',(#20959,#20960,#20961,#20962)); #5057=EDGE_LOOP('',(#20963,#20964,#20965)); #5058=EDGE_LOOP('',(#20966,#20967,#20968,#20969)); #5059=EDGE_LOOP('',(#20970,#20971,#20972)); #5060=EDGE_LOOP('',(#20973,#20974,#20975,#20976)); #5061=EDGE_LOOP('',(#20977,#20978,#20979)); #5062=EDGE_LOOP('',(#20980,#20981,#20982,#20983)); #5063=EDGE_LOOP('',(#20984,#20985,#20986)); #5064=EDGE_LOOP('',(#20987,#20988,#20989,#20990)); #5065=EDGE_LOOP('',(#20991,#20992,#20993)); #5066=EDGE_LOOP('',(#20994,#20995,#20996,#20997)); #5067=EDGE_LOOP('',(#20998,#20999,#21000)); #5068=EDGE_LOOP('',(#21001,#21002,#21003,#21004)); #5069=EDGE_LOOP('',(#21005,#21006,#21007)); #5070=EDGE_LOOP('',(#21008,#21009,#21010,#21011)); #5071=EDGE_LOOP('',(#21012,#21013,#21014)); #5072=EDGE_LOOP('',(#21015,#21016,#21017,#21018)); #5073=EDGE_LOOP('',(#21019,#21020,#21021)); #5074=EDGE_LOOP('',(#21022,#21023,#21024,#21025)); #5075=EDGE_LOOP('',(#21026,#21027,#21028)); #5076=EDGE_LOOP('',(#21029,#21030,#21031,#21032)); #5077=EDGE_LOOP('',(#21033,#21034,#21035)); #5078=EDGE_LOOP('',(#21036,#21037,#21038,#21039)); #5079=EDGE_LOOP('',(#21040,#21041,#21042)); #5080=EDGE_LOOP('',(#21043,#21044,#21045,#21046)); #5081=EDGE_LOOP('',(#21047,#21048,#21049)); #5082=EDGE_LOOP('',(#21050,#21051,#21052,#21053)); #5083=EDGE_LOOP('',(#21054,#21055,#21056)); #5084=EDGE_LOOP('',(#21057,#21058,#21059,#21060)); #5085=EDGE_LOOP('',(#21061,#21062,#21063)); #5086=EDGE_LOOP('',(#21064,#21065,#21066,#21067)); #5087=EDGE_LOOP('',(#21068,#21069,#21070)); #5088=EDGE_LOOP('',(#21071,#21072,#21073,#21074)); #5089=EDGE_LOOP('',(#21075,#21076,#21077)); #5090=EDGE_LOOP('',(#21078,#21079,#21080,#21081)); #5091=EDGE_LOOP('',(#21082,#21083,#21084)); #5092=EDGE_LOOP('',(#21085,#21086,#21087,#21088)); #5093=EDGE_LOOP('',(#21089,#21090,#21091)); #5094=EDGE_LOOP('',(#21092,#21093,#21094,#21095)); #5095=EDGE_LOOP('',(#21096,#21097,#21098)); #5096=EDGE_LOOP('',(#21099,#21100,#21101,#21102)); #5097=EDGE_LOOP('',(#21103,#21104,#21105,#21106,#21107,#21108)); #5098=EDGE_LOOP('',(#21109,#21110,#21111,#21112)); #5099=EDGE_LOOP('',(#21113)); #5100=EDGE_LOOP('',(#21114)); #5101=EDGE_LOOP('',(#21115)); #5102=EDGE_LOOP('',(#21116)); #5103=EDGE_LOOP('',(#21117)); #5104=EDGE_LOOP('',(#21118)); #5105=EDGE_LOOP('',(#21119)); #5106=EDGE_LOOP('',(#21120)); #5107=EDGE_LOOP('',(#21121)); #5108=EDGE_LOOP('',(#21122,#21123,#21124,#21125,#21126,#21127,#21128,#21129)); #5109=EDGE_LOOP('',(#21130,#21131,#21132,#21133,#21134,#21135,#21136,#21137, #21138,#21139,#21140,#21141)); #5110=EDGE_LOOP('',(#21142,#21143,#21144,#21145)); #5111=EDGE_LOOP('',(#21146)); #5112=EDGE_LOOP('',(#21147)); #5113=EDGE_LOOP('',(#21148)); #5114=EDGE_LOOP('',(#21149)); #5115=EDGE_LOOP('',(#21150)); #5116=EDGE_LOOP('',(#21151)); #5117=EDGE_LOOP('',(#21152,#21153,#21154,#21155,#21156,#21157)); #5118=EDGE_LOOP('',(#21158)); #5119=EDGE_LOOP('',(#21159)); #5120=EDGE_LOOP('',(#21160)); #5121=EDGE_LOOP('',(#21161)); #5122=EDGE_LOOP('',(#21162)); #5123=EDGE_LOOP('',(#21163)); #5124=EDGE_LOOP('',(#21164)); #5125=EDGE_LOOP('',(#21165)); #5126=EDGE_LOOP('',(#21166)); #5127=EDGE_LOOP('',(#21167)); #5128=EDGE_LOOP('',(#21168)); #5129=EDGE_LOOP('',(#21169)); #5130=EDGE_LOOP('',(#21170)); #5131=EDGE_LOOP('',(#21171)); #5132=EDGE_LOOP('',(#21172)); #5133=EDGE_LOOP('',(#21173)); #5134=EDGE_LOOP('',(#21174)); #5135=EDGE_LOOP('',(#21175)); #5136=EDGE_LOOP('',(#21176)); #5137=EDGE_LOOP('',(#21177)); #5138=EDGE_LOOP('',(#21178)); #5139=EDGE_LOOP('',(#21179)); #5140=EDGE_LOOP('',(#21180)); #5141=EDGE_LOOP('',(#21181)); #5142=EDGE_LOOP('',(#21182)); #5143=EDGE_LOOP('',(#21183)); #5144=EDGE_LOOP('',(#21184)); #5145=EDGE_LOOP('',(#21185)); #5146=EDGE_LOOP('',(#21186)); #5147=EDGE_LOOP('',(#21187)); #5148=EDGE_LOOP('',(#21188)); #5149=EDGE_LOOP('',(#21189)); #5150=EDGE_LOOP('',(#21190)); #5151=EDGE_LOOP('',(#21191)); #5152=EDGE_LOOP('',(#21192)); #5153=EDGE_LOOP('',(#21193)); #5154=EDGE_LOOP('',(#21194)); #5155=EDGE_LOOP('',(#21195)); #5156=EDGE_LOOP('',(#21196)); #5157=EDGE_LOOP('',(#21197)); #5158=EDGE_LOOP('',(#21198)); #5159=EDGE_LOOP('',(#21199)); #5160=EDGE_LOOP('',(#21200)); #5161=EDGE_LOOP('',(#21201)); #5162=EDGE_LOOP('',(#21202)); #5163=EDGE_LOOP('',(#21203)); #5164=EDGE_LOOP('',(#21204)); #5165=EDGE_LOOP('',(#21205)); #5166=EDGE_LOOP('',(#21206)); #5167=EDGE_LOOP('',(#21207)); #5168=EDGE_LOOP('',(#21208)); #5169=EDGE_LOOP('',(#21209)); #5170=EDGE_LOOP('',(#21210)); #5171=EDGE_LOOP('',(#21211)); #5172=EDGE_LOOP('',(#21212)); #5173=EDGE_LOOP('',(#21213)); #5174=EDGE_LOOP('',(#21214,#21215,#21216,#21217)); #5175=EDGE_LOOP('',(#21218)); #5176=EDGE_LOOP('',(#21219)); #5177=EDGE_LOOP('',(#21220)); #5178=EDGE_LOOP('',(#21221)); #5179=EDGE_LOOP('',(#21222)); #5180=EDGE_LOOP('',(#21223)); #5181=EDGE_LOOP('',(#21224,#21225,#21226,#21227)); #5182=EDGE_LOOP('',(#21228)); #5183=EDGE_LOOP('',(#21229)); #5184=EDGE_LOOP('',(#21230)); #5185=EDGE_LOOP('',(#21231)); #5186=EDGE_LOOP('',(#21232)); #5187=EDGE_LOOP('',(#21233)); #5188=EDGE_LOOP('',(#21234)); #5189=EDGE_LOOP('',(#21235)); #5190=EDGE_LOOP('',(#21236)); #5191=EDGE_LOOP('',(#21237,#21238,#21239,#21240,#21241,#21242,#21243,#21244)); #5192=EDGE_LOOP('',(#21245,#21246,#21247,#21248,#21249,#21250,#21251,#21252, #21253,#21254,#21255,#21256)); #5193=EDGE_LOOP('',(#21257,#21258,#21259,#21260)); #5194=EDGE_LOOP('',(#21261,#21262,#21263,#21264)); #5195=EDGE_LOOP('',(#21265,#21266,#21267,#21268)); #5196=EDGE_LOOP('',(#21269,#21270,#21271,#21272)); #5197=EDGE_LOOP('',(#21273,#21274,#21275,#21276)); #5198=EDGE_LOOP('',(#21277,#21278,#21279,#21280)); #5199=EDGE_LOOP('',(#21281,#21282,#21283,#21284)); #5200=EDGE_LOOP('',(#21285,#21286,#21287,#21288)); #5201=EDGE_LOOP('',(#21289,#21290,#21291,#21292)); #5202=EDGE_LOOP('',(#21293,#21294,#21295,#21296)); #5203=EDGE_LOOP('',(#21297)); #5204=EDGE_LOOP('',(#21298)); #5205=EDGE_LOOP('',(#21299)); #5206=EDGE_LOOP('',(#21300)); #5207=EDGE_LOOP('',(#21301)); #5208=EDGE_LOOP('',(#21302)); #5209=EDGE_LOOP('',(#21303)); #5210=EDGE_LOOP('',(#21304)); #5211=EDGE_LOOP('',(#21305)); #5212=EDGE_LOOP('',(#21306,#21307,#21308,#21309,#21310,#21311)); #5213=EDGE_LOOP('',(#21312)); #5214=EDGE_LOOP('',(#21313)); #5215=EDGE_LOOP('',(#21314)); #5216=EDGE_LOOP('',(#21315)); #5217=EDGE_LOOP('',(#21316)); #5218=EDGE_LOOP('',(#21317)); #5219=EDGE_LOOP('',(#21318)); #5220=EDGE_LOOP('',(#21319)); #5221=EDGE_LOOP('',(#21320)); #5222=EDGE_LOOP('',(#21321,#21322,#21323,#21324,#21325)); #5223=EDGE_LOOP('',(#21326,#21327,#21328)); #5224=EDGE_LOOP('',(#21329,#21330,#21331,#21332,#21333)); #5225=EDGE_LOOP('',(#21334,#21335,#21336,#21337)); #5226=EDGE_LOOP('',(#21338,#21339,#21340,#21341)); #5227=EDGE_LOOP('',(#21342,#21343,#21344,#21345)); #5228=EDGE_LOOP('',(#21346,#21347,#21348,#21349)); #5229=EDGE_LOOP('',(#21350,#21351,#21352,#21353)); #5230=EDGE_LOOP('',(#21354,#21355,#21356,#21357)); #5231=EDGE_LOOP('',(#21358,#21359,#21360,#21361)); #5232=EDGE_LOOP('',(#21362,#21363,#21364,#21365)); #5233=EDGE_LOOP('',(#21366,#21367,#21368,#21369)); #5234=EDGE_LOOP('',(#21370,#21371,#21372,#21373)); #5235=EDGE_LOOP('',(#21374,#21375,#21376,#21377)); #5236=EDGE_LOOP('',(#21378,#21379,#21380,#21381)); #5237=EDGE_LOOP('',(#21382,#21383,#21384,#21385)); #5238=EDGE_LOOP('',(#21386,#21387,#21388,#21389)); #5239=EDGE_LOOP('',(#21390,#21391,#21392,#21393)); #5240=EDGE_LOOP('',(#21394,#21395,#21396,#21397)); #5241=EDGE_LOOP('',(#21398,#21399,#21400,#21401)); #5242=EDGE_LOOP('',(#21402,#21403,#21404,#21405)); #5243=EDGE_LOOP('',(#21406,#21407,#21408,#21409)); #5244=EDGE_LOOP('',(#21410,#21411,#21412,#21413)); #5245=EDGE_LOOP('',(#21414,#21415,#21416,#21417)); #5246=EDGE_LOOP('',(#21418,#21419,#21420,#21421)); #5247=EDGE_LOOP('',(#21422,#21423,#21424,#21425)); #5248=EDGE_LOOP('',(#21426,#21427,#21428,#21429)); #5249=EDGE_LOOP('',(#21430,#21431,#21432,#21433)); #5250=EDGE_LOOP('',(#21434,#21435,#21436,#21437)); #5251=EDGE_LOOP('',(#21438,#21439,#21440,#21441)); #5252=EDGE_LOOP('',(#21442,#21443,#21444,#21445)); #5253=EDGE_LOOP('',(#21446,#21447,#21448,#21449)); #5254=EDGE_LOOP('',(#21450,#21451,#21452,#21453)); #5255=EDGE_LOOP('',(#21454,#21455,#21456,#21457)); #5256=EDGE_LOOP('',(#21458,#21459,#21460,#21461)); #5257=EDGE_LOOP('',(#21462,#21463,#21464,#21465)); #5258=EDGE_LOOP('',(#21466,#21467,#21468,#21469)); #5259=EDGE_LOOP('',(#21470,#21471,#21472,#21473)); #5260=EDGE_LOOP('',(#21474,#21475,#21476,#21477)); #5261=EDGE_LOOP('',(#21478,#21479,#21480,#21481)); #5262=EDGE_LOOP('',(#21482,#21483,#21484,#21485)); #5263=EDGE_LOOP('',(#21486,#21487,#21488,#21489)); #5264=EDGE_LOOP('',(#21490,#21491,#21492,#21493)); #5265=EDGE_LOOP('',(#21494,#21495,#21496,#21497)); #5266=EDGE_LOOP('',(#21498,#21499,#21500,#21501)); #5267=EDGE_LOOP('',(#21502,#21503,#21504,#21505)); #5268=EDGE_LOOP('',(#21506,#21507,#21508,#21509)); #5269=EDGE_LOOP('',(#21510,#21511,#21512,#21513)); #5270=EDGE_LOOP('',(#21514,#21515,#21516,#21517)); #5271=EDGE_LOOP('',(#21518,#21519,#21520,#21521)); #5272=EDGE_LOOP('',(#21522,#21523,#21524,#21525)); #5273=EDGE_LOOP('',(#21526,#21527,#21528,#21529)); #5274=EDGE_LOOP('',(#21530,#21531,#21532,#21533)); #5275=EDGE_LOOP('',(#21534,#21535,#21536,#21537)); #5276=EDGE_LOOP('',(#21538,#21539,#21540,#21541)); #5277=EDGE_LOOP('',(#21542,#21543,#21544,#21545)); #5278=EDGE_LOOP('',(#21546,#21547,#21548,#21549)); #5279=EDGE_LOOP('',(#21550,#21551,#21552,#21553)); #5280=EDGE_LOOP('',(#21554,#21555,#21556,#21557)); #5281=EDGE_LOOP('',(#21558,#21559,#21560,#21561)); #5282=EDGE_LOOP('',(#21562,#21563,#21564,#21565)); #5283=EDGE_LOOP('',(#21566,#21567,#21568,#21569)); #5284=EDGE_LOOP('',(#21570,#21571,#21572,#21573)); #5285=EDGE_LOOP('',(#21574,#21575,#21576,#21577)); #5286=EDGE_LOOP('',(#21578,#21579,#21580,#21581)); #5287=EDGE_LOOP('',(#21582,#21583,#21584,#21585)); #5288=EDGE_LOOP('',(#21586,#21587,#21588,#21589)); #5289=EDGE_LOOP('',(#21590,#21591,#21592,#21593)); #5290=EDGE_LOOP('',(#21594,#21595,#21596,#21597)); #5291=EDGE_LOOP('',(#21598,#21599,#21600,#21601)); #5292=EDGE_LOOP('',(#21602,#21603,#21604,#21605)); #5293=EDGE_LOOP('',(#21606,#21607,#21608,#21609)); #5294=EDGE_LOOP('',(#21610,#21611,#21612,#21613)); #5295=EDGE_LOOP('',(#21614,#21615,#21616,#21617)); #5296=EDGE_LOOP('',(#21618,#21619,#21620,#21621)); #5297=EDGE_LOOP('',(#21622,#21623,#21624,#21625)); #5298=EDGE_LOOP('',(#21626,#21627,#21628,#21629)); #5299=EDGE_LOOP('',(#21630,#21631,#21632,#21633)); #5300=EDGE_LOOP('',(#21634,#21635,#21636,#21637)); #5301=EDGE_LOOP('',(#21638,#21639,#21640,#21641)); #5302=EDGE_LOOP('',(#21642,#21643,#21644,#21645)); #5303=EDGE_LOOP('',(#21646,#21647,#21648,#21649)); #5304=EDGE_LOOP('',(#21650,#21651,#21652,#21653)); #5305=EDGE_LOOP('',(#21654,#21655,#21656,#21657)); #5306=EDGE_LOOP('',(#21658,#21659,#21660,#21661)); #5307=EDGE_LOOP('',(#21662,#21663,#21664,#21665)); #5308=EDGE_LOOP('',(#21666,#21667,#21668,#21669)); #5309=EDGE_LOOP('',(#21670,#21671,#21672,#21673)); #5310=EDGE_LOOP('',(#21674,#21675,#21676,#21677)); #5311=EDGE_LOOP('',(#21678,#21679,#21680,#21681)); #5312=EDGE_LOOP('',(#21682,#21683,#21684,#21685)); #5313=EDGE_LOOP('',(#21686,#21687,#21688,#21689)); #5314=EDGE_LOOP('',(#21690,#21691,#21692,#21693)); #5315=EDGE_LOOP('',(#21694,#21695,#21696,#21697)); #5316=EDGE_LOOP('',(#21698,#21699,#21700,#21701)); #5317=EDGE_LOOP('',(#21702,#21703,#21704,#21705)); #5318=EDGE_LOOP('',(#21706,#21707,#21708,#21709)); #5319=EDGE_LOOP('',(#21710,#21711,#21712,#21713)); #5320=EDGE_LOOP('',(#21714,#21715,#21716,#21717)); #5321=EDGE_LOOP('',(#21718,#21719,#21720,#21721)); #5322=EDGE_LOOP('',(#21722,#21723,#21724,#21725)); #5323=EDGE_LOOP('',(#21726,#21727,#21728,#21729)); #5324=EDGE_LOOP('',(#21730,#21731,#21732,#21733)); #5325=EDGE_LOOP('',(#21734,#21735,#21736,#21737)); #5326=EDGE_LOOP('',(#21738,#21739,#21740,#21741)); #5327=EDGE_LOOP('',(#21742,#21743,#21744,#21745)); #5328=EDGE_LOOP('',(#21746,#21747,#21748,#21749)); #5329=EDGE_LOOP('',(#21750,#21751,#21752,#21753)); #5330=EDGE_LOOP('',(#21754)); #5331=EDGE_LOOP('',(#21755)); #5332=EDGE_LOOP('',(#21756)); #5333=EDGE_LOOP('',(#21757)); #5334=EDGE_LOOP('',(#21758)); #5335=EDGE_LOOP('',(#21759)); #5336=EDGE_LOOP('',(#21760)); #5337=EDGE_LOOP('',(#21761)); #5338=EDGE_LOOP('',(#21762)); #5339=EDGE_LOOP('',(#21763)); #5340=EDGE_LOOP('',(#21764)); #5341=EDGE_LOOP('',(#21765)); #5342=EDGE_LOOP('',(#21766)); #5343=EDGE_LOOP('',(#21767)); #5344=EDGE_LOOP('',(#21768)); #5345=EDGE_LOOP('',(#21769)); #5346=EDGE_LOOP('',(#21770)); #5347=EDGE_LOOP('',(#21771)); #5348=EDGE_LOOP('',(#21772)); #5349=EDGE_LOOP('',(#21773)); #5350=EDGE_LOOP('',(#21774)); #5351=EDGE_LOOP('',(#21775)); #5352=EDGE_LOOP('',(#21776)); #5353=EDGE_LOOP('',(#21777)); #5354=EDGE_LOOP('',(#21778)); #5355=EDGE_LOOP('',(#21779)); #5356=EDGE_LOOP('',(#21780)); #5357=EDGE_LOOP('',(#21781)); #5358=EDGE_LOOP('',(#21782)); #5359=EDGE_LOOP('',(#21783)); #5360=EDGE_LOOP('',(#21784)); #5361=EDGE_LOOP('',(#21785)); #5362=EDGE_LOOP('',(#21786)); #5363=EDGE_LOOP('',(#21787)); #5364=EDGE_LOOP('',(#21788)); #5365=EDGE_LOOP('',(#21789)); #5366=EDGE_LOOP('',(#21790)); #5367=EDGE_LOOP('',(#21791)); #5368=EDGE_LOOP('',(#21792)); #5369=EDGE_LOOP('',(#21793)); #5370=EDGE_LOOP('',(#21794)); #5371=EDGE_LOOP('',(#21795)); #5372=EDGE_LOOP('',(#21796)); #5373=EDGE_LOOP('',(#21797)); #5374=EDGE_LOOP('',(#21798)); #5375=EDGE_LOOP('',(#21799)); #5376=EDGE_LOOP('',(#21800)); #5377=EDGE_LOOP('',(#21801)); #5378=EDGE_LOOP('',(#21802)); #5379=EDGE_LOOP('',(#21803)); #5380=EDGE_LOOP('',(#21804)); #5381=EDGE_LOOP('',(#21805)); #5382=EDGE_LOOP('',(#21806)); #5383=EDGE_LOOP('',(#21807)); #5384=EDGE_LOOP('',(#21808)); #5385=EDGE_LOOP('',(#21809)); #5386=EDGE_LOOP('',(#21810)); #5387=EDGE_LOOP('',(#21811)); #5388=EDGE_LOOP('',(#21812)); #5389=EDGE_LOOP('',(#21813)); #5390=EDGE_LOOP('',(#21814)); #5391=EDGE_LOOP('',(#21815)); #5392=EDGE_LOOP('',(#21816)); #5393=EDGE_LOOP('',(#21817)); #5394=EDGE_LOOP('',(#21818)); #5395=EDGE_LOOP('',(#21819)); #5396=EDGE_LOOP('',(#21820)); #5397=EDGE_LOOP('',(#21821)); #5398=EDGE_LOOP('',(#21822)); #5399=EDGE_LOOP('',(#21823)); #5400=EDGE_LOOP('',(#21824)); #5401=EDGE_LOOP('',(#21825)); #5402=EDGE_LOOP('',(#21826)); #5403=EDGE_LOOP('',(#21827)); #5404=EDGE_LOOP('',(#21828)); #5405=EDGE_LOOP('',(#21829)); #5406=EDGE_LOOP('',(#21830)); #5407=EDGE_LOOP('',(#21831)); #5408=EDGE_LOOP('',(#21832)); #5409=EDGE_LOOP('',(#21833)); #5410=EDGE_LOOP('',(#21834)); #5411=EDGE_LOOP('',(#21835)); #5412=EDGE_LOOP('',(#21836)); #5413=EDGE_LOOP('',(#21837)); #5414=EDGE_LOOP('',(#21838)); #5415=EDGE_LOOP('',(#21839)); #5416=EDGE_LOOP('',(#21840)); #5417=EDGE_LOOP('',(#21841)); #5418=EDGE_LOOP('',(#21842)); #5419=EDGE_LOOP('',(#21843)); #5420=EDGE_LOOP('',(#21844)); #5421=EDGE_LOOP('',(#21845)); #5422=EDGE_LOOP('',(#21846)); #5423=EDGE_LOOP('',(#21847)); #5424=EDGE_LOOP('',(#21848)); #5425=EDGE_LOOP('',(#21849)); #5426=EDGE_LOOP('',(#21850,#21851,#21852,#21853)); #5427=EDGE_LOOP('',(#21854)); #5428=EDGE_LOOP('',(#21855)); #5429=EDGE_LOOP('',(#21856)); #5430=EDGE_LOOP('',(#21857)); #5431=EDGE_LOOP('',(#21858)); #5432=EDGE_LOOP('',(#21859)); #5433=EDGE_LOOP('',(#21860)); #5434=EDGE_LOOP('',(#21861)); #5435=EDGE_LOOP('',(#21862)); #5436=EDGE_LOOP('',(#21863)); #5437=EDGE_LOOP('',(#21864)); #5438=EDGE_LOOP('',(#21865)); #5439=EDGE_LOOP('',(#21866)); #5440=EDGE_LOOP('',(#21867)); #5441=EDGE_LOOP('',(#21868)); #5442=EDGE_LOOP('',(#21869)); #5443=EDGE_LOOP('',(#21870)); #5444=EDGE_LOOP('',(#21871)); #5445=EDGE_LOOP('',(#21872)); #5446=EDGE_LOOP('',(#21873)); #5447=EDGE_LOOP('',(#21874)); #5448=EDGE_LOOP('',(#21875)); #5449=EDGE_LOOP('',(#21876)); #5450=EDGE_LOOP('',(#21877)); #5451=EDGE_LOOP('',(#21878)); #5452=EDGE_LOOP('',(#21879)); #5453=EDGE_LOOP('',(#21880)); #5454=EDGE_LOOP('',(#21881)); #5455=EDGE_LOOP('',(#21882)); #5456=EDGE_LOOP('',(#21883)); #5457=EDGE_LOOP('',(#21884)); #5458=EDGE_LOOP('',(#21885)); #5459=EDGE_LOOP('',(#21886)); #5460=EDGE_LOOP('',(#21887)); #5461=EDGE_LOOP('',(#21888)); #5462=EDGE_LOOP('',(#21889)); #5463=EDGE_LOOP('',(#21890)); #5464=EDGE_LOOP('',(#21891)); #5465=EDGE_LOOP('',(#21892)); #5466=EDGE_LOOP('',(#21893)); #5467=EDGE_LOOP('',(#21894)); #5468=EDGE_LOOP('',(#21895)); #5469=EDGE_LOOP('',(#21896)); #5470=EDGE_LOOP('',(#21897)); #5471=EDGE_LOOP('',(#21898)); #5472=EDGE_LOOP('',(#21899)); #5473=EDGE_LOOP('',(#21900)); #5474=EDGE_LOOP('',(#21901)); #5475=EDGE_LOOP('',(#21902)); #5476=EDGE_LOOP('',(#21903)); #5477=EDGE_LOOP('',(#21904)); #5478=EDGE_LOOP('',(#21905)); #5479=EDGE_LOOP('',(#21906)); #5480=EDGE_LOOP('',(#21907)); #5481=EDGE_LOOP('',(#21908)); #5482=EDGE_LOOP('',(#21909)); #5483=EDGE_LOOP('',(#21910)); #5484=EDGE_LOOP('',(#21911)); #5485=EDGE_LOOP('',(#21912)); #5486=EDGE_LOOP('',(#21913)); #5487=EDGE_LOOP('',(#21914)); #5488=EDGE_LOOP('',(#21915)); #5489=EDGE_LOOP('',(#21916)); #5490=EDGE_LOOP('',(#21917)); #5491=EDGE_LOOP('',(#21918)); #5492=EDGE_LOOP('',(#21919)); #5493=EDGE_LOOP('',(#21920)); #5494=EDGE_LOOP('',(#21921)); #5495=EDGE_LOOP('',(#21922)); #5496=EDGE_LOOP('',(#21923)); #5497=EDGE_LOOP('',(#21924)); #5498=EDGE_LOOP('',(#21925)); #5499=EDGE_LOOP('',(#21926)); #5500=EDGE_LOOP('',(#21927)); #5501=EDGE_LOOP('',(#21928)); #5502=EDGE_LOOP('',(#21929)); #5503=EDGE_LOOP('',(#21930)); #5504=EDGE_LOOP('',(#21931)); #5505=EDGE_LOOP('',(#21932)); #5506=EDGE_LOOP('',(#21933)); #5507=EDGE_LOOP('',(#21934)); #5508=EDGE_LOOP('',(#21935)); #5509=EDGE_LOOP('',(#21936)); #5510=EDGE_LOOP('',(#21937)); #5511=EDGE_LOOP('',(#21938)); #5512=EDGE_LOOP('',(#21939)); #5513=EDGE_LOOP('',(#21940)); #5514=EDGE_LOOP('',(#21941)); #5515=EDGE_LOOP('',(#21942)); #5516=EDGE_LOOP('',(#21943)); #5517=EDGE_LOOP('',(#21944)); #5518=EDGE_LOOP('',(#21945)); #5519=EDGE_LOOP('',(#21946)); #5520=EDGE_LOOP('',(#21947)); #5521=EDGE_LOOP('',(#21948)); #5522=EDGE_LOOP('',(#21949)); #5523=EDGE_LOOP('',(#21950,#21951,#21952,#21953)); #5524=EDGE_LOOP('',(#21954,#21955,#21956,#21957)); #5525=EDGE_LOOP('',(#21958,#21959,#21960,#21961)); #5526=EDGE_LOOP('',(#21962,#21963,#21964,#21965)); #5527=EDGE_LOOP('',(#21966)); #5528=EDGE_LOOP('',(#21967)); #5529=EDGE_LOOP('',(#21968,#21969,#21970,#21971)); #5530=EDGE_LOOP('',(#21972,#21973,#21974,#21975)); #5531=EDGE_LOOP('',(#21976)); #5532=EDGE_LOOP('',(#21977)); #5533=EDGE_LOOP('',(#21978,#21979,#21980,#21981)); #5534=EDGE_LOOP('',(#21982,#21983,#21984,#21985)); #5535=EDGE_LOOP('',(#21986,#21987,#21988,#21989)); #5536=EDGE_LOOP('',(#21990,#21991,#21992,#21993)); #5537=EDGE_LOOP('',(#21994)); #5538=EDGE_LOOP('',(#21995)); #5539=EDGE_LOOP('',(#21996)); #5540=EDGE_LOOP('',(#21997)); #5541=EDGE_LOOP('',(#21998,#21999,#22000,#22001)); #5542=EDGE_LOOP('',(#22002,#22003,#22004,#22005)); #5543=EDGE_LOOP('',(#22006,#22007,#22008,#22009)); #5544=EDGE_LOOP('',(#22010,#22011,#22012,#22013)); #5545=EDGE_LOOP('',(#22014)); #5546=EDGE_LOOP('',(#22015)); #5547=EDGE_LOOP('',(#22016)); #5548=EDGE_LOOP('',(#22017)); #5549=EDGE_LOOP('',(#22018,#22019,#22020,#22021)); #5550=EDGE_LOOP('',(#22022,#22023,#22024,#22025)); #5551=EDGE_LOOP('',(#22026,#22027,#22028,#22029)); #5552=EDGE_LOOP('',(#22030,#22031,#22032,#22033)); #5553=EDGE_LOOP('',(#22034)); #5554=EDGE_LOOP('',(#22035)); #5555=EDGE_LOOP('',(#22036)); #5556=EDGE_LOOP('',(#22037)); #5557=EDGE_LOOP('',(#22038,#22039,#22040,#22041)); #5558=EDGE_LOOP('',(#22042,#22043,#22044,#22045)); #5559=EDGE_LOOP('',(#22046,#22047,#22048,#22049)); #5560=EDGE_LOOP('',(#22050,#22051,#22052,#22053)); #5561=EDGE_LOOP('',(#22054)); #5562=EDGE_LOOP('',(#22055)); #5563=EDGE_LOOP('',(#22056)); #5564=EDGE_LOOP('',(#22057)); #5565=EDGE_LOOP('',(#22058,#22059,#22060,#22061)); #5566=EDGE_LOOP('',(#22062,#22063,#22064,#22065)); #5567=EDGE_LOOP('',(#22066,#22067,#22068,#22069)); #5568=EDGE_LOOP('',(#22070,#22071,#22072,#22073)); #5569=EDGE_LOOP('',(#22074)); #5570=EDGE_LOOP('',(#22075)); #5571=EDGE_LOOP('',(#22076)); #5572=EDGE_LOOP('',(#22077)); #5573=EDGE_LOOP('',(#22078,#22079,#22080,#22081)); #5574=EDGE_LOOP('',(#22082,#22083,#22084,#22085)); #5575=EDGE_LOOP('',(#22086,#22087,#22088,#22089)); #5576=EDGE_LOOP('',(#22090,#22091,#22092,#22093)); #5577=EDGE_LOOP('',(#22094)); #5578=EDGE_LOOP('',(#22095)); #5579=EDGE_LOOP('',(#22096)); #5580=EDGE_LOOP('',(#22097)); #5581=EDGE_LOOP('',(#22098,#22099,#22100,#22101)); #5582=EDGE_LOOP('',(#22102,#22103,#22104,#22105)); #5583=EDGE_LOOP('',(#22106,#22107,#22108,#22109)); #5584=EDGE_LOOP('',(#22110,#22111,#22112,#22113)); #5585=EDGE_LOOP('',(#22114)); #5586=EDGE_LOOP('',(#22115)); #5587=EDGE_LOOP('',(#22116)); #5588=EDGE_LOOP('',(#22117)); #5589=EDGE_LOOP('',(#22118,#22119,#22120,#22121)); #5590=EDGE_LOOP('',(#22122,#22123,#22124,#22125)); #5591=EDGE_LOOP('',(#22126)); #5592=EDGE_LOOP('',(#22127)); #5593=EDGE_LOOP('',(#22128,#22129,#22130,#22131)); #5594=EDGE_LOOP('',(#22132,#22133,#22134,#22135)); #5595=EDGE_LOOP('',(#22136)); #5596=EDGE_LOOP('',(#22137)); #5597=EDGE_LOOP('',(#22138,#22139,#22140,#22141)); #5598=EDGE_LOOP('',(#22142,#22143,#22144,#22145)); #5599=EDGE_LOOP('',(#22146)); #5600=EDGE_LOOP('',(#22147)); #5601=EDGE_LOOP('',(#22148,#22149,#22150,#22151)); #5602=EDGE_LOOP('',(#22152,#22153,#22154,#22155)); #5603=EDGE_LOOP('',(#22156)); #5604=EDGE_LOOP('',(#22157)); #5605=EDGE_LOOP('',(#22158,#22159,#22160,#22161)); #5606=EDGE_LOOP('',(#22162,#22163,#22164,#22165)); #5607=EDGE_LOOP('',(#22166)); #5608=EDGE_LOOP('',(#22167)); #5609=EDGE_LOOP('',(#22168,#22169,#22170,#22171)); #5610=EDGE_LOOP('',(#22172,#22173,#22174,#22175)); #5611=EDGE_LOOP('',(#22176)); #5612=EDGE_LOOP('',(#22177)); #5613=EDGE_LOOP('',(#22178,#22179,#22180,#22181)); #5614=EDGE_LOOP('',(#22182,#22183,#22184,#22185)); #5615=EDGE_LOOP('',(#22186)); #5616=EDGE_LOOP('',(#22187)); #5617=EDGE_LOOP('',(#22188,#22189,#22190,#22191)); #5618=EDGE_LOOP('',(#22192,#22193,#22194,#22195)); #5619=EDGE_LOOP('',(#22196)); #5620=EDGE_LOOP('',(#22197)); #5621=EDGE_LOOP('',(#22198,#22199,#22200,#22201)); #5622=EDGE_LOOP('',(#22202,#22203,#22204,#22205)); #5623=EDGE_LOOP('',(#22206)); #5624=EDGE_LOOP('',(#22207)); #5625=EDGE_LOOP('',(#22208,#22209,#22210,#22211)); #5626=EDGE_LOOP('',(#22212,#22213,#22214,#22215)); #5627=EDGE_LOOP('',(#22216)); #5628=EDGE_LOOP('',(#22217)); #5629=EDGE_LOOP('',(#22218,#22219,#22220,#22221)); #5630=EDGE_LOOP('',(#22222,#22223,#22224,#22225)); #5631=EDGE_LOOP('',(#22226)); #5632=EDGE_LOOP('',(#22227)); #5633=EDGE_LOOP('',(#22228,#22229,#22230,#22231)); #5634=EDGE_LOOP('',(#22232,#22233,#22234,#22235)); #5635=EDGE_LOOP('',(#22236)); #5636=EDGE_LOOP('',(#22237)); #5637=EDGE_LOOP('',(#22238,#22239,#22240,#22241)); #5638=EDGE_LOOP('',(#22242,#22243,#22244,#22245)); #5639=EDGE_LOOP('',(#22246)); #5640=EDGE_LOOP('',(#22247)); #5641=EDGE_LOOP('',(#22248,#22249,#22250,#22251)); #5642=EDGE_LOOP('',(#22252,#22253,#22254,#22255)); #5643=EDGE_LOOP('',(#22256)); #5644=EDGE_LOOP('',(#22257)); #5645=EDGE_LOOP('',(#22258,#22259,#22260,#22261)); #5646=EDGE_LOOP('',(#22262,#22263,#22264,#22265)); #5647=EDGE_LOOP('',(#22266,#22267,#22268,#22269)); #5648=EDGE_LOOP('',(#22270,#22271,#22272,#22273)); #5649=EDGE_LOOP('',(#22274,#22275,#22276)); #5650=EDGE_LOOP('',(#22277,#22278,#22279,#22280)); #5651=EDGE_LOOP('',(#22281,#22282,#22283)); #5652=EDGE_LOOP('',(#22284,#22285,#22286,#22287)); #5653=EDGE_LOOP('',(#22288,#22289,#22290)); #5654=EDGE_LOOP('',(#22291,#22292,#22293,#22294)); #5655=EDGE_LOOP('',(#22295,#22296,#22297)); #5656=EDGE_LOOP('',(#22298,#22299,#22300,#22301)); #5657=EDGE_LOOP('',(#22302,#22303,#22304)); #5658=EDGE_LOOP('',(#22305,#22306,#22307,#22308)); #5659=EDGE_LOOP('',(#22309,#22310,#22311)); #5660=EDGE_LOOP('',(#22312,#22313,#22314,#22315)); #5661=EDGE_LOOP('',(#22316,#22317,#22318)); #5662=EDGE_LOOP('',(#22319,#22320,#22321,#22322)); #5663=EDGE_LOOP('',(#22323,#22324,#22325)); #5664=EDGE_LOOP('',(#22326,#22327,#22328,#22329)); #5665=EDGE_LOOP('',(#22330,#22331,#22332)); #5666=EDGE_LOOP('',(#22333,#22334,#22335,#22336)); #5667=EDGE_LOOP('',(#22337,#22338,#22339)); #5668=EDGE_LOOP('',(#22340,#22341,#22342,#22343)); #5669=EDGE_LOOP('',(#22344,#22345,#22346)); #5670=EDGE_LOOP('',(#22347,#22348,#22349,#22350)); #5671=EDGE_LOOP('',(#22351,#22352,#22353)); #5672=EDGE_LOOP('',(#22354,#22355,#22356,#22357)); #5673=EDGE_LOOP('',(#22358,#22359,#22360)); #5674=EDGE_LOOP('',(#22361,#22362,#22363,#22364)); #5675=EDGE_LOOP('',(#22365,#22366,#22367)); #5676=EDGE_LOOP('',(#22368,#22369,#22370,#22371)); #5677=EDGE_LOOP('',(#22372,#22373,#22374)); #5678=EDGE_LOOP('',(#22375,#22376,#22377,#22378)); #5679=EDGE_LOOP('',(#22379,#22380,#22381)); #5680=EDGE_LOOP('',(#22382,#22383,#22384,#22385)); #5681=EDGE_LOOP('',(#22386,#22387,#22388)); #5682=EDGE_LOOP('',(#22389,#22390,#22391,#22392)); #5683=EDGE_LOOP('',(#22393,#22394,#22395)); #5684=EDGE_LOOP('',(#22396,#22397,#22398,#22399)); #5685=EDGE_LOOP('',(#22400,#22401,#22402)); #5686=EDGE_LOOP('',(#22403,#22404,#22405,#22406)); #5687=EDGE_LOOP('',(#22407,#22408,#22409)); #5688=EDGE_LOOP('',(#22410,#22411,#22412,#22413)); #5689=EDGE_LOOP('',(#22414,#22415,#22416)); #5690=EDGE_LOOP('',(#22417,#22418,#22419,#22420)); #5691=EDGE_LOOP('',(#22421,#22422,#22423,#22424)); #5692=EDGE_LOOP('',(#22425,#22426,#22427,#22428)); #5693=EDGE_LOOP('',(#22429,#22430,#22431,#22432)); #5694=EDGE_LOOP('',(#22433,#22434,#22435,#22436)); #5695=EDGE_LOOP('',(#22437,#22438,#22439,#22440)); #5696=EDGE_LOOP('',(#22441,#22442,#22443,#22444)); #5697=EDGE_LOOP('',(#22445,#22446,#22447,#22448)); #5698=EDGE_LOOP('',(#22449,#22450,#22451,#22452)); #5699=EDGE_LOOP('',(#22453,#22454,#22455,#22456)); #5700=EDGE_LOOP('',(#22457,#22458,#22459,#22460)); #5701=EDGE_LOOP('',(#22461,#22462,#22463,#22464)); #5702=EDGE_LOOP('',(#22465,#22466,#22467,#22468)); #5703=EDGE_LOOP('',(#22469,#22470,#22471,#22472)); #5704=EDGE_LOOP('',(#22473,#22474,#22475,#22476)); #5705=EDGE_LOOP('',(#22477,#22478,#22479,#22480)); #5706=EDGE_LOOP('',(#22481,#22482,#22483,#22484)); #5707=EDGE_LOOP('',(#22485,#22486,#22487,#22488)); #5708=EDGE_LOOP('',(#22489,#22490,#22491,#22492)); #5709=EDGE_LOOP('',(#22493,#22494,#22495,#22496)); #5710=EDGE_LOOP('',(#22497,#22498,#22499,#22500)); #5711=EDGE_LOOP('',(#22501,#22502,#22503,#22504)); #5712=EDGE_LOOP('',(#22505,#22506,#22507,#22508)); #5713=EDGE_LOOP('',(#22509,#22510,#22511,#22512,#22513,#22514,#22515,#22516)); #5714=EDGE_LOOP('',(#22517,#22518,#22519,#22520,#22521,#22522,#22523,#22524)); #5715=EDGE_LOOP('',(#22525,#22526,#22527,#22528)); #5716=EDGE_LOOP('',(#22529,#22530,#22531,#22532)); #5717=EDGE_LOOP('',(#22533,#22534,#22535,#22536)); #5718=EDGE_LOOP('',(#22537,#22538,#22539,#22540)); #5719=EDGE_LOOP('',(#22541,#22542,#22543,#22544)); #5720=EDGE_LOOP('',(#22545,#22546,#22547,#22548)); #5721=EDGE_LOOP('',(#22549,#22550,#22551,#22552)); #5722=EDGE_LOOP('',(#22553,#22554,#22555,#22556)); #5723=EDGE_LOOP('',(#22557,#22558,#22559,#22560)); #5724=EDGE_LOOP('',(#22561,#22562,#22563,#22564)); #5725=EDGE_LOOP('',(#22565,#22566,#22567,#22568)); #5726=EDGE_LOOP('',(#22569,#22570,#22571,#22572)); #5727=EDGE_LOOP('',(#22573,#22574,#22575,#22576)); #5728=EDGE_LOOP('',(#22577,#22578,#22579,#22580)); #5729=EDGE_LOOP('',(#22581,#22582,#22583,#22584)); #5730=EDGE_LOOP('',(#22585,#22586,#22587,#22588)); #5731=EDGE_LOOP('',(#22589,#22590,#22591,#22592)); #5732=EDGE_LOOP('',(#22593,#22594,#22595,#22596)); #5733=EDGE_LOOP('',(#22597,#22598,#22599,#22600)); #5734=EDGE_LOOP('',(#22601,#22602,#22603,#22604)); #5735=EDGE_LOOP('',(#22605,#22606,#22607,#22608)); #5736=EDGE_LOOP('',(#22609,#22610,#22611,#22612)); #5737=EDGE_LOOP('',(#22613,#22614,#22615,#22616)); #5738=EDGE_LOOP('',(#22617,#22618,#22619,#22620)); #5739=EDGE_LOOP('',(#22621,#22622,#22623,#22624)); #5740=EDGE_LOOP('',(#22625,#22626,#22627,#22628)); #5741=EDGE_LOOP('',(#22629,#22630,#22631,#22632)); #5742=EDGE_LOOP('',(#22633,#22634,#22635,#22636)); #5743=EDGE_LOOP('',(#22637,#22638,#22639,#22640)); #5744=EDGE_LOOP('',(#22641,#22642,#22643,#22644)); #5745=EDGE_LOOP('',(#22645,#22646,#22647,#22648)); #5746=EDGE_LOOP('',(#22649,#22650,#22651,#22652)); #5747=EDGE_LOOP('',(#22653,#22654,#22655,#22656)); #5748=EDGE_LOOP('',(#22657,#22658,#22659,#22660)); #5749=EDGE_LOOP('',(#22661,#22662,#22663,#22664)); #5750=EDGE_LOOP('',(#22665,#22666,#22667,#22668)); #5751=EDGE_LOOP('',(#22669,#22670,#22671,#22672)); #5752=EDGE_LOOP('',(#22673,#22674,#22675,#22676)); #5753=EDGE_LOOP('',(#22677,#22678,#22679,#22680)); #5754=EDGE_LOOP('',(#22681,#22682,#22683,#22684)); #5755=EDGE_LOOP('',(#22685,#22686,#22687,#22688)); #5756=EDGE_LOOP('',(#22689,#22690,#22691,#22692)); #5757=EDGE_LOOP('',(#22693,#22694,#22695,#22696)); #5758=EDGE_LOOP('',(#22697,#22698,#22699,#22700)); #5759=EDGE_LOOP('',(#22701,#22702,#22703,#22704)); #5760=EDGE_LOOP('',(#22705,#22706,#22707,#22708)); #5761=EDGE_LOOP('',(#22709,#22710,#22711,#22712)); #5762=EDGE_LOOP('',(#22713,#22714,#22715,#22716)); #5763=EDGE_LOOP('',(#22717,#22718,#22719,#22720)); #5764=EDGE_LOOP('',(#22721,#22722,#22723,#22724)); #5765=EDGE_LOOP('',(#22725,#22726,#22727,#22728)); #5766=EDGE_LOOP('',(#22729,#22730,#22731,#22732)); #5767=EDGE_LOOP('',(#22733,#22734,#22735,#22736)); #5768=EDGE_LOOP('',(#22737,#22738,#22739,#22740)); #5769=EDGE_LOOP('',(#22741,#22742,#22743,#22744)); #5770=EDGE_LOOP('',(#22745,#22746,#22747,#22748)); #5771=EDGE_LOOP('',(#22749,#22750,#22751,#22752)); #5772=EDGE_LOOP('',(#22753,#22754,#22755,#22756)); #5773=EDGE_LOOP('',(#22757,#22758,#22759,#22760)); #5774=EDGE_LOOP('',(#22761,#22762,#22763,#22764)); #5775=EDGE_LOOP('',(#22765,#22766,#22767,#22768)); #5776=EDGE_LOOP('',(#22769,#22770,#22771,#22772)); #5777=EDGE_LOOP('',(#22773,#22774,#22775,#22776)); #5778=EDGE_LOOP('',(#22777,#22778,#22779,#22780)); #5779=EDGE_LOOP('',(#22781,#22782,#22783,#22784)); #5780=EDGE_LOOP('',(#22785,#22786,#22787,#22788)); #5781=EDGE_LOOP('',(#22789,#22790,#22791,#22792)); #5782=EDGE_LOOP('',(#22793,#22794,#22795,#22796)); #5783=EDGE_LOOP('',(#22797,#22798,#22799,#22800)); #5784=EDGE_LOOP('',(#22801,#22802,#22803,#22804)); #5785=EDGE_LOOP('',(#22805,#22806,#22807,#22808)); #5786=EDGE_LOOP('',(#22809,#22810,#22811,#22812)); #5787=EDGE_LOOP('',(#22813,#22814,#22815,#22816)); #5788=EDGE_LOOP('',(#22817,#22818,#22819,#22820,#22821,#22822,#22823,#22824)); #5789=EDGE_LOOP('',(#22825,#22826,#22827,#22828,#22829,#22830,#22831,#22832)); #5790=EDGE_LOOP('',(#22833,#22834,#22835,#22836)); #5791=EDGE_LOOP('',(#22837,#22838,#22839,#22840)); #5792=EDGE_LOOP('',(#22841,#22842,#22843)); #5793=EDGE_LOOP('',(#22844,#22845,#22846,#22847)); #5794=EDGE_LOOP('',(#22848,#22849,#22850)); #5795=EDGE_LOOP('',(#22851,#22852,#22853,#22854)); #5796=EDGE_LOOP('',(#22855,#22856,#22857)); #5797=EDGE_LOOP('',(#22858,#22859,#22860,#22861)); #5798=EDGE_LOOP('',(#22862,#22863,#22864)); #5799=EDGE_LOOP('',(#22865,#22866,#22867,#22868)); #5800=EDGE_LOOP('',(#22869,#22870,#22871)); #5801=EDGE_LOOP('',(#22872,#22873,#22874,#22875)); #5802=EDGE_LOOP('',(#22876,#22877,#22878)); #5803=EDGE_LOOP('',(#22879,#22880,#22881,#22882)); #5804=EDGE_LOOP('',(#22883,#22884,#22885)); #5805=EDGE_LOOP('',(#22886,#22887,#22888,#22889)); #5806=EDGE_LOOP('',(#22890,#22891,#22892)); #5807=EDGE_LOOP('',(#22893,#22894,#22895,#22896)); #5808=EDGE_LOOP('',(#22897,#22898,#22899)); #5809=EDGE_LOOP('',(#22900,#22901,#22902,#22903)); #5810=EDGE_LOOP('',(#22904,#22905,#22906)); #5811=EDGE_LOOP('',(#22907,#22908,#22909,#22910)); #5812=EDGE_LOOP('',(#22911,#22912,#22913)); #5813=EDGE_LOOP('',(#22914,#22915,#22916,#22917)); #5814=EDGE_LOOP('',(#22918,#22919,#22920)); #5815=EDGE_LOOP('',(#22921,#22922,#22923,#22924)); #5816=EDGE_LOOP('',(#22925,#22926,#22927)); #5817=EDGE_LOOP('',(#22928,#22929,#22930,#22931)); #5818=EDGE_LOOP('',(#22932,#22933,#22934)); #5819=EDGE_LOOP('',(#22935,#22936,#22937,#22938)); #5820=EDGE_LOOP('',(#22939,#22940,#22941)); #5821=EDGE_LOOP('',(#22942,#22943,#22944,#22945)); #5822=EDGE_LOOP('',(#22946,#22947,#22948)); #5823=EDGE_LOOP('',(#22949,#22950,#22951,#22952)); #5824=EDGE_LOOP('',(#22953,#22954,#22955)); #5825=EDGE_LOOP('',(#22956,#22957,#22958,#22959)); #5826=EDGE_LOOP('',(#22960,#22961,#22962)); #5827=EDGE_LOOP('',(#22963,#22964,#22965,#22966)); #5828=EDGE_LOOP('',(#22967,#22968,#22969)); #5829=EDGE_LOOP('',(#22970,#22971,#22972,#22973)); #5830=EDGE_LOOP('',(#22974,#22975,#22976)); #5831=EDGE_LOOP('',(#22977,#22978,#22979,#22980)); #5832=EDGE_LOOP('',(#22981,#22982,#22983)); #5833=EDGE_LOOP('',(#22984,#22985,#22986,#22987)); #5834=EDGE_LOOP('',(#22988,#22989,#22990)); #5835=EDGE_LOOP('',(#22991,#22992,#22993,#22994)); #5836=EDGE_LOOP('',(#22995,#22996,#22997)); #5837=EDGE_LOOP('',(#22998,#22999,#23000,#23001)); #5838=EDGE_LOOP('',(#23002,#23003,#23004)); #5839=EDGE_LOOP('',(#23005,#23006,#23007,#23008)); #5840=EDGE_LOOP('',(#23009,#23010,#23011)); #5841=EDGE_LOOP('',(#23012,#23013,#23014,#23015)); #5842=EDGE_LOOP('',(#23016,#23017,#23018)); #5843=EDGE_LOOP('',(#23019,#23020,#23021,#23022)); #5844=EDGE_LOOP('',(#23023,#23024,#23025)); #5845=EDGE_LOOP('',(#23026,#23027,#23028,#23029)); #5846=EDGE_LOOP('',(#23030,#23031,#23032)); #5847=EDGE_LOOP('',(#23033,#23034,#23035,#23036)); #5848=EDGE_LOOP('',(#23037,#23038,#23039)); #5849=EDGE_LOOP('',(#23040,#23041,#23042,#23043)); #5850=EDGE_LOOP('',(#23044,#23045,#23046)); #5851=EDGE_LOOP('',(#23047,#23048,#23049,#23050)); #5852=EDGE_LOOP('',(#23051,#23052,#23053)); #5853=EDGE_LOOP('',(#23054,#23055,#23056,#23057)); #5854=EDGE_LOOP('',(#23058,#23059,#23060)); #5855=EDGE_LOOP('',(#23061,#23062,#23063,#23064)); #5856=EDGE_LOOP('',(#23065,#23066,#23067)); #5857=EDGE_LOOP('',(#23068,#23069,#23070,#23071)); #5858=EDGE_LOOP('',(#23072,#23073,#23074)); #5859=EDGE_LOOP('',(#23075,#23076,#23077,#23078)); #5860=EDGE_LOOP('',(#23079,#23080,#23081)); #5861=EDGE_LOOP('',(#23082,#23083,#23084,#23085)); #5862=EDGE_LOOP('',(#23086,#23087,#23088,#23089)); #5863=EDGE_LOOP('',(#23090)); #5864=EDGE_LOOP('',(#23091)); #5865=EDGE_LOOP('',(#23092)); #5866=EDGE_LOOP('',(#23093)); #5867=EDGE_LOOP('',(#23094)); #5868=EDGE_LOOP('',(#23095)); #5869=EDGE_LOOP('',(#23096)); #5870=EDGE_LOOP('',(#23097,#23098,#23099,#23100,#23101,#23102)); #5871=EDGE_LOOP('',(#23103)); #5872=EDGE_LOOP('',(#23104)); #5873=EDGE_LOOP('',(#23105)); #5874=EDGE_LOOP('',(#23106)); #5875=EDGE_LOOP('',(#23107)); #5876=EDGE_LOOP('',(#23108)); #5877=EDGE_LOOP('',(#23109)); #5878=EDGE_LOOP('',(#23110)); #5879=EDGE_LOOP('',(#23111)); #5880=EDGE_LOOP('',(#23112)); #5881=EDGE_LOOP('',(#23113)); #5882=EDGE_LOOP('',(#23114)); #5883=EDGE_LOOP('',(#23115)); #5884=EDGE_LOOP('',(#23116)); #5885=EDGE_LOOP('',(#23117)); #5886=EDGE_LOOP('',(#23118)); #5887=EDGE_LOOP('',(#23119)); #5888=EDGE_LOOP('',(#23120)); #5889=EDGE_LOOP('',(#23121)); #5890=EDGE_LOOP('',(#23122)); #5891=EDGE_LOOP('',(#23123)); #5892=EDGE_LOOP('',(#23124)); #5893=EDGE_LOOP('',(#23125)); #5894=EDGE_LOOP('',(#23126)); #5895=EDGE_LOOP('',(#23127)); #5896=EDGE_LOOP('',(#23128)); #5897=EDGE_LOOP('',(#23129)); #5898=EDGE_LOOP('',(#23130)); #5899=EDGE_LOOP('',(#23131)); #5900=EDGE_LOOP('',(#23132)); #5901=EDGE_LOOP('',(#23133)); #5902=EDGE_LOOP('',(#23134)); #5903=EDGE_LOOP('',(#23135)); #5904=EDGE_LOOP('',(#23136)); #5905=EDGE_LOOP('',(#23137)); #5906=EDGE_LOOP('',(#23138)); #5907=EDGE_LOOP('',(#23139)); #5908=EDGE_LOOP('',(#23140)); #5909=EDGE_LOOP('',(#23141)); #5910=EDGE_LOOP('',(#23142)); #5911=EDGE_LOOP('',(#23143)); #5912=EDGE_LOOP('',(#23144)); #5913=EDGE_LOOP('',(#23145)); #5914=EDGE_LOOP('',(#23146)); #5915=EDGE_LOOP('',(#23147)); #5916=EDGE_LOOP('',(#23148)); #5917=EDGE_LOOP('',(#23149,#23150,#23151,#23152,#23153,#23154,#23155,#23156)); #5918=EDGE_LOOP('',(#23157)); #5919=EDGE_LOOP('',(#23158)); #5920=EDGE_LOOP('',(#23159)); #5921=EDGE_LOOP('',(#23160)); #5922=EDGE_LOOP('',(#23161)); #5923=EDGE_LOOP('',(#23162)); #5924=EDGE_LOOP('',(#23163)); #5925=EDGE_LOOP('',(#23164)); #5926=EDGE_LOOP('',(#23165)); #5927=EDGE_LOOP('',(#23166)); #5928=EDGE_LOOP('',(#23167)); #5929=EDGE_LOOP('',(#23168)); #5930=EDGE_LOOP('',(#23169)); #5931=EDGE_LOOP('',(#23170)); #5932=EDGE_LOOP('',(#23171)); #5933=EDGE_LOOP('',(#23172)); #5934=EDGE_LOOP('',(#23173)); #5935=EDGE_LOOP('',(#23174)); #5936=EDGE_LOOP('',(#23175)); #5937=EDGE_LOOP('',(#23176)); #5938=EDGE_LOOP('',(#23177)); #5939=EDGE_LOOP('',(#23178)); #5940=EDGE_LOOP('',(#23179)); #5941=EDGE_LOOP('',(#23180)); #5942=EDGE_LOOP('',(#23181)); #5943=EDGE_LOOP('',(#23182)); #5944=EDGE_LOOP('',(#23183)); #5945=EDGE_LOOP('',(#23184)); #5946=EDGE_LOOP('',(#23185)); #5947=EDGE_LOOP('',(#23186)); #5948=EDGE_LOOP('',(#23187)); #5949=EDGE_LOOP('',(#23188)); #5950=EDGE_LOOP('',(#23189)); #5951=EDGE_LOOP('',(#23190)); #5952=EDGE_LOOP('',(#23191)); #5953=EDGE_LOOP('',(#23192)); #5954=EDGE_LOOP('',(#23193)); #5955=EDGE_LOOP('',(#23194)); #5956=EDGE_LOOP('',(#23195)); #5957=EDGE_LOOP('',(#23196)); #5958=EDGE_LOOP('',(#23197)); #5959=EDGE_LOOP('',(#23198)); #5960=EDGE_LOOP('',(#23199)); #5961=EDGE_LOOP('',(#23200)); #5962=EDGE_LOOP('',(#23201)); #5963=EDGE_LOOP('',(#23202)); #5964=EDGE_LOOP('',(#23203)); #5965=EDGE_LOOP('',(#23204)); #5966=EDGE_LOOP('',(#23205)); #5967=EDGE_LOOP('',(#23206)); #5968=EDGE_LOOP('',(#23207)); #5969=EDGE_LOOP('',(#23208)); #5970=EDGE_LOOP('',(#23209)); #5971=EDGE_LOOP('',(#23210)); #5972=EDGE_LOOP('',(#23211)); #5973=EDGE_LOOP('',(#23212,#23213,#23214,#23215)); #5974=EDGE_LOOP('',(#23216)); #5975=EDGE_LOOP('',(#23217)); #5976=EDGE_LOOP('',(#23218)); #5977=EDGE_LOOP('',(#23219)); #5978=EDGE_LOOP('',(#23220)); #5979=EDGE_LOOP('',(#23221)); #5980=EDGE_LOOP('',(#23222)); #5981=EDGE_LOOP('',(#23223,#23224,#23225,#23226)); #5982=EDGE_LOOP('',(#23227)); #5983=EDGE_LOOP('',(#23228)); #5984=EDGE_LOOP('',(#23229)); #5985=EDGE_LOOP('',(#23230)); #5986=EDGE_LOOP('',(#23231)); #5987=EDGE_LOOP('',(#23232)); #5988=EDGE_LOOP('',(#23233)); #5989=EDGE_LOOP('',(#23234,#23235,#23236,#23237)); #5990=EDGE_LOOP('',(#23238,#23239,#23240,#23241,#23242,#23243)); #5991=EDGE_LOOP('',(#23244)); #5992=EDGE_LOOP('',(#23245)); #5993=EDGE_LOOP('',(#23246)); #5994=EDGE_LOOP('',(#23247)); #5995=EDGE_LOOP('',(#23248)); #5996=EDGE_LOOP('',(#23249)); #5997=EDGE_LOOP('',(#23250)); #5998=EDGE_LOOP('',(#23251)); #5999=EDGE_LOOP('',(#23252)); #6000=EDGE_LOOP('',(#23253)); #6001=EDGE_LOOP('',(#23254)); #6002=EDGE_LOOP('',(#23255)); #6003=EDGE_LOOP('',(#23256)); #6004=EDGE_LOOP('',(#23257)); #6005=EDGE_LOOP('',(#23258)); #6006=EDGE_LOOP('',(#23259)); #6007=EDGE_LOOP('',(#23260)); #6008=EDGE_LOOP('',(#23261)); #6009=EDGE_LOOP('',(#23262)); #6010=EDGE_LOOP('',(#23263)); #6011=EDGE_LOOP('',(#23264)); #6012=EDGE_LOOP('',(#23265)); #6013=EDGE_LOOP('',(#23266)); #6014=EDGE_LOOP('',(#23267)); #6015=EDGE_LOOP('',(#23268)); #6016=EDGE_LOOP('',(#23269)); #6017=EDGE_LOOP('',(#23270)); #6018=EDGE_LOOP('',(#23271)); #6019=EDGE_LOOP('',(#23272)); #6020=EDGE_LOOP('',(#23273)); #6021=EDGE_LOOP('',(#23274)); #6022=EDGE_LOOP('',(#23275)); #6023=EDGE_LOOP('',(#23276)); #6024=EDGE_LOOP('',(#23277)); #6025=EDGE_LOOP('',(#23278)); #6026=EDGE_LOOP('',(#23279)); #6027=EDGE_LOOP('',(#23280)); #6028=EDGE_LOOP('',(#23281)); #6029=EDGE_LOOP('',(#23282)); #6030=EDGE_LOOP('',(#23283)); #6031=EDGE_LOOP('',(#23284)); #6032=EDGE_LOOP('',(#23285)); #6033=EDGE_LOOP('',(#23286)); #6034=EDGE_LOOP('',(#23287)); #6035=EDGE_LOOP('',(#23288)); #6036=EDGE_LOOP('',(#23289)); #6037=EDGE_LOOP('',(#23290)); #6038=EDGE_LOOP('',(#23291)); #6039=EDGE_LOOP('',(#23292)); #6040=EDGE_LOOP('',(#23293)); #6041=EDGE_LOOP('',(#23294)); #6042=EDGE_LOOP('',(#23295)); #6043=EDGE_LOOP('',(#23296)); #6044=EDGE_LOOP('',(#23297)); #6045=EDGE_LOOP('',(#23298)); #6046=EDGE_LOOP('',(#23299)); #6047=EDGE_LOOP('',(#23300)); #6048=EDGE_LOOP('',(#23301)); #6049=EDGE_LOOP('',(#23302)); #6050=EDGE_LOOP('',(#23303)); #6051=EDGE_LOOP('',(#23304)); #6052=EDGE_LOOP('',(#23305)); #6053=EDGE_LOOP('',(#23306)); #6054=EDGE_LOOP('',(#23307)); #6055=EDGE_LOOP('',(#23308)); #6056=EDGE_LOOP('',(#23309)); #6057=EDGE_LOOP('',(#23310)); #6058=EDGE_LOOP('',(#23311)); #6059=EDGE_LOOP('',(#23312)); #6060=EDGE_LOOP('',(#23313)); #6061=EDGE_LOOP('',(#23314)); #6062=EDGE_LOOP('',(#23315)); #6063=EDGE_LOOP('',(#23316)); #6064=EDGE_LOOP('',(#23317)); #6065=EDGE_LOOP('',(#23318)); #6066=EDGE_LOOP('',(#23319)); #6067=EDGE_LOOP('',(#23320)); #6068=EDGE_LOOP('',(#23321)); #6069=EDGE_LOOP('',(#23322)); #6070=EDGE_LOOP('',(#23323)); #6071=EDGE_LOOP('',(#23324)); #6072=EDGE_LOOP('',(#23325)); #6073=EDGE_LOOP('',(#23326)); #6074=EDGE_LOOP('',(#23327)); #6075=EDGE_LOOP('',(#23328)); #6076=EDGE_LOOP('',(#23329)); #6077=EDGE_LOOP('',(#23330)); #6078=EDGE_LOOP('',(#23331)); #6079=EDGE_LOOP('',(#23332)); #6080=EDGE_LOOP('',(#23333)); #6081=EDGE_LOOP('',(#23334)); #6082=EDGE_LOOP('',(#23335)); #6083=EDGE_LOOP('',(#23336)); #6084=EDGE_LOOP('',(#23337)); #6085=EDGE_LOOP('',(#23338)); #6086=EDGE_LOOP('',(#23339)); #6087=EDGE_LOOP('',(#23340)); #6088=EDGE_LOOP('',(#23341)); #6089=EDGE_LOOP('',(#23342)); #6090=EDGE_LOOP('',(#23343)); #6091=EDGE_LOOP('',(#23344)); #6092=EDGE_LOOP('',(#23345,#23346,#23347,#23348,#23349,#23350,#23351,#23352)); #6093=EDGE_LOOP('',(#23353)); #6094=EDGE_LOOP('',(#23354)); #6095=EDGE_LOOP('',(#23355)); #6096=EDGE_LOOP('',(#23356)); #6097=EDGE_LOOP('',(#23357)); #6098=EDGE_LOOP('',(#23358)); #6099=EDGE_LOOP('',(#23359)); #6100=EDGE_LOOP('',(#23360)); #6101=EDGE_LOOP('',(#23361)); #6102=EDGE_LOOP('',(#23362)); #6103=EDGE_LOOP('',(#23363)); #6104=EDGE_LOOP('',(#23364)); #6105=EDGE_LOOP('',(#23365)); #6106=EDGE_LOOP('',(#23366)); #6107=EDGE_LOOP('',(#23367)); #6108=EDGE_LOOP('',(#23368)); #6109=EDGE_LOOP('',(#23369)); #6110=EDGE_LOOP('',(#23370)); #6111=EDGE_LOOP('',(#23371)); #6112=EDGE_LOOP('',(#23372)); #6113=EDGE_LOOP('',(#23373)); #6114=EDGE_LOOP('',(#23374)); #6115=EDGE_LOOP('',(#23375)); #6116=EDGE_LOOP('',(#23376)); #6117=EDGE_LOOP('',(#23377)); #6118=EDGE_LOOP('',(#23378)); #6119=EDGE_LOOP('',(#23379)); #6120=EDGE_LOOP('',(#23380)); #6121=EDGE_LOOP('',(#23381)); #6122=EDGE_LOOP('',(#23382)); #6123=EDGE_LOOP('',(#23383)); #6124=EDGE_LOOP('',(#23384)); #6125=EDGE_LOOP('',(#23385)); #6126=EDGE_LOOP('',(#23386)); #6127=EDGE_LOOP('',(#23387)); #6128=EDGE_LOOP('',(#23388,#23389,#23390,#23391)); #6129=EDGE_LOOP('',(#23392,#23393,#23394,#23395)); #6130=EDGE_LOOP('',(#23396,#23397,#23398,#23399)); #6131=EDGE_LOOP('',(#23400,#23401,#23402,#23403)); #6132=EDGE_LOOP('',(#23404,#23405,#23406,#23407)); #6133=EDGE_LOOP('',(#23408,#23409,#23410,#23411)); #6134=EDGE_LOOP('',(#23412,#23413,#23414)); #6135=EDGE_LOOP('',(#23415,#23416,#23417,#23418)); #6136=EDGE_LOOP('',(#23419,#23420,#23421)); #6137=EDGE_LOOP('',(#23422,#23423,#23424,#23425)); #6138=EDGE_LOOP('',(#23426,#23427,#23428)); #6139=EDGE_LOOP('',(#23429,#23430,#23431,#23432)); #6140=EDGE_LOOP('',(#23433,#23434,#23435,#23436)); #6141=EDGE_LOOP('',(#23437)); #6142=EDGE_LOOP('',(#23438)); #6143=EDGE_LOOP('',(#23439)); #6144=EDGE_LOOP('',(#23440)); #6145=EDGE_LOOP('',(#23441)); #6146=EDGE_LOOP('',(#23442,#23443,#23444,#23445)); #6147=EDGE_LOOP('',(#23446)); #6148=EDGE_LOOP('',(#23447)); #6149=EDGE_LOOP('',(#23448)); #6150=EDGE_LOOP('',(#23449)); #6151=EDGE_LOOP('',(#23450,#23451,#23452,#23453)); #6152=EDGE_LOOP('',(#23454,#23455,#23456,#23457)); #6153=EDGE_LOOP('',(#23458,#23459,#23460,#23461)); #6154=EDGE_LOOP('',(#23462,#23463,#23464,#23465)); #6155=EDGE_LOOP('',(#23466,#23467,#23468,#23469)); #6156=EDGE_LOOP('',(#23470,#23471,#23472,#23473)); #6157=EDGE_LOOP('',(#23474)); #6158=EDGE_LOOP('',(#23475)); #6159=EDGE_LOOP('',(#23476)); #6160=EDGE_LOOP('',(#23477,#23478,#23479,#23480)); #6161=EDGE_LOOP('',(#23481)); #6162=EDGE_LOOP('',(#23482)); #6163=EDGE_LOOP('',(#23483)); #6164=EDGE_LOOP('',(#23484)); #6165=EDGE_LOOP('',(#23485,#23486,#23487)); #6166=EDGE_LOOP('',(#23488,#23489,#23490,#23491)); #6167=EDGE_LOOP('',(#23492,#23493,#23494)); #6168=EDGE_LOOP('',(#23495,#23496,#23497,#23498)); #6169=EDGE_LOOP('',(#23499,#23500,#23501,#23502,#23503,#23504)); #6170=EDGE_LOOP('',(#23505,#23506,#23507,#23508,#23509)); #6171=EDGE_LOOP('',(#23510,#23511,#23512,#23513)); #6172=EDGE_LOOP('',(#23514)); #6173=EDGE_LOOP('',(#23515)); #6174=EDGE_LOOP('',(#23516)); #6175=EDGE_LOOP('',(#23517,#23518,#23519,#23520)); #6176=EDGE_LOOP('',(#23521)); #6177=EDGE_LOOP('',(#23522)); #6178=EDGE_LOOP('',(#23523)); #6179=EDGE_LOOP('',(#23524)); #6180=EDGE_LOOP('',(#23525)); #6181=EDGE_LOOP('',(#23526,#23527)); #6182=EDGE_LOOP('',(#23528,#23529,#23530,#23531)); #6183=EDGE_LOOP('',(#23532)); #6184=EDGE_LOOP('',(#23533)); #6185=EDGE_LOOP('',(#23534,#23535,#23536,#23537)); #6186=EDGE_LOOP('',(#23538,#23539,#23540,#23541)); #6187=EDGE_LOOP('',(#23542)); #6188=EDGE_LOOP('',(#23543)); #6189=EDGE_LOOP('',(#23544,#23545,#23546,#23547)); #6190=EDGE_LOOP('',(#23548,#23549,#23550,#23551)); #6191=EDGE_LOOP('',(#23552)); #6192=EDGE_LOOP('',(#23553)); #6193=EDGE_LOOP('',(#23554,#23555,#23556,#23557)); #6194=EDGE_LOOP('',(#23558,#23559,#23560,#23561)); #6195=EDGE_LOOP('',(#23562)); #6196=EDGE_LOOP('',(#23563)); #6197=EDGE_LOOP('',(#23564,#23565,#23566,#23567)); #6198=EDGE_LOOP('',(#23568,#23569,#23570,#23571)); #6199=EDGE_LOOP('',(#23572)); #6200=EDGE_LOOP('',(#23573)); #6201=EDGE_LOOP('',(#23574,#23575,#23576,#23577)); #6202=EDGE_LOOP('',(#23578,#23579,#23580,#23581)); #6203=EDGE_LOOP('',(#23582)); #6204=EDGE_LOOP('',(#23583)); #6205=EDGE_LOOP('',(#23584,#23585,#23586,#23587)); #6206=EDGE_LOOP('',(#23588,#23589,#23590,#23591)); #6207=EDGE_LOOP('',(#23592)); #6208=EDGE_LOOP('',(#23593)); #6209=EDGE_LOOP('',(#23594,#23595,#23596,#23597)); #6210=EDGE_LOOP('',(#23598,#23599,#23600,#23601)); #6211=EDGE_LOOP('',(#23602)); #6212=EDGE_LOOP('',(#23603)); #6213=EDGE_LOOP('',(#23604,#23605,#23606,#23607)); #6214=EDGE_LOOP('',(#23608,#23609,#23610,#23611)); #6215=EDGE_LOOP('',(#23612)); #6216=EDGE_LOOP('',(#23613)); #6217=EDGE_LOOP('',(#23614,#23615,#23616,#23617)); #6218=EDGE_LOOP('',(#23618,#23619,#23620,#23621)); #6219=EDGE_LOOP('',(#23622)); #6220=EDGE_LOOP('',(#23623)); #6221=EDGE_LOOP('',(#23624,#23625,#23626,#23627)); #6222=EDGE_LOOP('',(#23628,#23629,#23630,#23631)); #6223=EDGE_LOOP('',(#23632,#23633,#23634,#23635)); #6224=EDGE_LOOP('',(#23636,#23637,#23638,#23639)); #6225=EDGE_LOOP('',(#23640,#23641,#23642,#23643)); #6226=EDGE_LOOP('',(#23644,#23645,#23646,#23647)); #6227=EDGE_LOOP('',(#23648)); #6228=EDGE_LOOP('',(#23649)); #6229=EDGE_LOOP('',(#23650)); #6230=EDGE_LOOP('',(#23651)); #6231=EDGE_LOOP('',(#23652)); #6232=EDGE_LOOP('',(#23653)); #6233=EDGE_LOOP('',(#23654)); #6234=EDGE_LOOP('',(#23655)); #6235=EDGE_LOOP('',(#23656)); #6236=EDGE_LOOP('',(#23657)); #6237=EDGE_LOOP('',(#23658,#23659,#23660,#23661)); #6238=EDGE_LOOP('',(#23662)); #6239=EDGE_LOOP('',(#23663)); #6240=EDGE_LOOP('',(#23664)); #6241=EDGE_LOOP('',(#23665)); #6242=EDGE_LOOP('',(#23666)); #6243=EDGE_LOOP('',(#23667)); #6244=EDGE_LOOP('',(#23668)); #6245=EDGE_LOOP('',(#23669)); #6246=EDGE_LOOP('',(#23670)); #6247=EDGE_LOOP('',(#23671)); #6248=EDGE_LOOP('',(#23672,#23673,#23674)); #6249=EDGE_LOOP('',(#23675,#23676,#23677,#23678)); #6250=EDGE_LOOP('',(#23679,#23680,#23681)); #6251=EDGE_LOOP('',(#23682,#23683,#23684,#23685)); #6252=EDGE_LOOP('',(#23686,#23687,#23688)); #6253=EDGE_LOOP('',(#23689,#23690,#23691,#23692)); #6254=EDGE_LOOP('',(#23693,#23694,#23695)); #6255=EDGE_LOOP('',(#23696,#23697,#23698,#23699)); #6256=EDGE_LOOP('',(#23700,#23701,#23702)); #6257=EDGE_LOOP('',(#23703,#23704,#23705,#23706)); #6258=EDGE_LOOP('',(#23707,#23708,#23709)); #6259=EDGE_LOOP('',(#23710,#23711,#23712,#23713)); #6260=EDGE_LOOP('',(#23714,#23715,#23716)); #6261=EDGE_LOOP('',(#23717,#23718,#23719,#23720)); #6262=EDGE_LOOP('',(#23721,#23722,#23723)); #6263=EDGE_LOOP('',(#23724,#23725,#23726,#23727)); #6264=EDGE_LOOP('',(#23728,#23729,#23730)); #6265=EDGE_LOOP('',(#23731,#23732,#23733,#23734)); #6266=EDGE_LOOP('',(#23735,#23736,#23737)); #6267=EDGE_LOOP('',(#23738,#23739,#23740,#23741)); #6268=EDGE_LOOP('',(#23742,#23743,#23744)); #6269=EDGE_LOOP('',(#23745,#23746,#23747,#23748)); #6270=EDGE_LOOP('',(#23749,#23750,#23751)); #6271=EDGE_LOOP('',(#23752,#23753,#23754,#23755)); #6272=EDGE_LOOP('',(#23756,#23757,#23758)); #6273=EDGE_LOOP('',(#23759,#23760,#23761,#23762)); #6274=EDGE_LOOP('',(#23763,#23764,#23765)); #6275=EDGE_LOOP('',(#23766,#23767,#23768,#23769)); #6276=EDGE_LOOP('',(#23770,#23771,#23772)); #6277=EDGE_LOOP('',(#23773,#23774,#23775,#23776)); #6278=EDGE_LOOP('',(#23777,#23778,#23779)); #6279=EDGE_LOOP('',(#23780,#23781,#23782,#23783)); #6280=EDGE_LOOP('',(#23784,#23785,#23786)); #6281=EDGE_LOOP('',(#23787,#23788,#23789,#23790)); #6282=EDGE_LOOP('',(#23791,#23792,#23793)); #6283=EDGE_LOOP('',(#23794,#23795,#23796,#23797)); #6284=EDGE_LOOP('',(#23798,#23799,#23800)); #6285=EDGE_LOOP('',(#23801,#23802,#23803,#23804)); #6286=EDGE_LOOP('',(#23805,#23806,#23807)); #6287=EDGE_LOOP('',(#23808,#23809,#23810,#23811)); #6288=EDGE_LOOP('',(#23812,#23813,#23814)); #6289=EDGE_LOOP('',(#23815,#23816,#23817,#23818)); #6290=EDGE_LOOP('',(#23819,#23820,#23821)); #6291=EDGE_LOOP('',(#23822,#23823,#23824,#23825)); #6292=EDGE_LOOP('',(#23826,#23827,#23828)); #6293=EDGE_LOOP('',(#23829,#23830,#23831,#23832)); #6294=EDGE_LOOP('',(#23833,#23834,#23835)); #6295=EDGE_LOOP('',(#23836,#23837,#23838,#23839)); #6296=EDGE_LOOP('',(#23840,#23841,#23842)); #6297=EDGE_LOOP('',(#23843,#23844,#23845,#23846)); #6298=EDGE_LOOP('',(#23847,#23848,#23849)); #6299=EDGE_LOOP('',(#23850,#23851,#23852,#23853)); #6300=EDGE_LOOP('',(#23854,#23855,#23856)); #6301=EDGE_LOOP('',(#23857,#23858,#23859,#23860)); #6302=EDGE_LOOP('',(#23861,#23862,#23863)); #6303=EDGE_LOOP('',(#23864,#23865,#23866,#23867)); #6304=EDGE_LOOP('',(#23868,#23869,#23870)); #6305=EDGE_LOOP('',(#23871,#23872,#23873,#23874)); #6306=EDGE_LOOP('',(#23875,#23876,#23877)); #6307=EDGE_LOOP('',(#23878,#23879,#23880,#23881)); #6308=EDGE_LOOP('',(#23882,#23883,#23884)); #6309=EDGE_LOOP('',(#23885,#23886,#23887,#23888)); #6310=EDGE_LOOP('',(#23889,#23890,#23891)); #6311=EDGE_LOOP('',(#23892,#23893,#23894,#23895)); #6312=EDGE_LOOP('',(#23896,#23897,#23898)); #6313=EDGE_LOOP('',(#23899,#23900,#23901,#23902)); #6314=EDGE_LOOP('',(#23903,#23904,#23905)); #6315=EDGE_LOOP('',(#23906,#23907,#23908,#23909)); #6316=EDGE_LOOP('',(#23910,#23911,#23912)); #6317=EDGE_LOOP('',(#23913,#23914,#23915,#23916)); #6318=EDGE_LOOP('',(#23917,#23918,#23919)); #6319=EDGE_LOOP('',(#23920,#23921,#23922,#23923)); #6320=EDGE_LOOP('',(#23924,#23925,#23926,#23927)); #6321=EDGE_LOOP('',(#23928)); #6322=EDGE_LOOP('',(#23929)); #6323=EDGE_LOOP('',(#23930)); #6324=EDGE_LOOP('',(#23931)); #6325=EDGE_LOOP('',(#23932)); #6326=EDGE_LOOP('',(#23933)); #6327=EDGE_LOOP('',(#23934,#23935,#23936,#23937,#23938)); #6328=EDGE_LOOP('',(#23939)); #6329=EDGE_LOOP('',(#23940)); #6330=EDGE_LOOP('',(#23941,#23942,#23943,#23944)); #6331=EDGE_LOOP('',(#23945)); #6332=EDGE_LOOP('',(#23946)); #6333=EDGE_LOOP('',(#23947)); #6334=EDGE_LOOP('',(#23948)); #6335=EDGE_LOOP('',(#23949)); #6336=EDGE_LOOP('',(#23950)); #6337=EDGE_LOOP('',(#23951,#23952,#23953,#23954,#23955,#23956,#23957,#23958)); #6338=EDGE_LOOP('',(#23959,#23960,#23961,#23962)); #6339=EDGE_LOOP('',(#23963)); #6340=EDGE_LOOP('',(#23964)); #6341=EDGE_LOOP('',(#23965)); #6342=EDGE_LOOP('',(#23966)); #6343=EDGE_LOOP('',(#23967)); #6344=EDGE_LOOP('',(#23968)); #6345=EDGE_LOOP('',(#23969)); #6346=EDGE_LOOP('',(#23970)); #6347=EDGE_LOOP('',(#23971)); #6348=EDGE_LOOP('',(#23972,#23973,#23974,#23975,#23976)); #6349=EDGE_LOOP('',(#23977)); #6350=EDGE_LOOP('',(#23978)); #6351=EDGE_LOOP('',(#23979)); #6352=EDGE_LOOP('',(#23980)); #6353=EDGE_LOOP('',(#23981,#23982,#23983,#23984)); #6354=EDGE_LOOP('',(#23985)); #6355=EDGE_LOOP('',(#23986)); #6356=EDGE_LOOP('',(#23987)); #6357=EDGE_LOOP('',(#23988)); #6358=EDGE_LOOP('',(#23989)); #6359=EDGE_LOOP('',(#23990)); #6360=EDGE_LOOP('',(#23991)); #6361=EDGE_LOOP('',(#23992)); #6362=EDGE_LOOP('',(#23993)); #6363=EDGE_LOOP('',(#23994,#23995,#23996,#23997)); #6364=EDGE_LOOP('',(#23998,#23999,#24000,#24001)); #6365=EDGE_LOOP('',(#24002,#24003,#24004,#24005)); #6366=EDGE_LOOP('',(#24006,#24007,#24008,#24009)); #6367=EDGE_LOOP('',(#24010,#24011,#24012,#24013,#24014,#24015,#24016,#24017)); #6368=EDGE_LOOP('',(#24018,#24019,#24020)); #6369=EDGE_LOOP('',(#24021,#24022,#24023,#24024)); #6370=EDGE_LOOP('',(#24025,#24026,#24027)); #6371=EDGE_LOOP('',(#24028,#24029,#24030,#24031)); #6372=EDGE_LOOP('',(#24032,#24033,#24034)); #6373=EDGE_LOOP('',(#24035,#24036,#24037,#24038)); #6374=EDGE_LOOP('',(#24039,#24040,#24041)); #6375=EDGE_LOOP('',(#24042,#24043,#24044,#24045)); #6376=EDGE_LOOP('',(#24046,#24047,#24048)); #6377=EDGE_LOOP('',(#24049,#24050,#24051,#24052)); #6378=EDGE_LOOP('',(#24053,#24054,#24055,#24056)); #6379=EDGE_LOOP('',(#24057,#24058,#24059,#24060)); #6380=EDGE_LOOP('',(#24061,#24062,#24063,#24064)); #6381=EDGE_LOOP('',(#24065,#24066,#24067,#24068)); #6382=EDGE_LOOP('',(#24069,#24070,#24071,#24072)); #6383=EDGE_LOOP('',(#24073,#24074,#24075,#24076)); #6384=EDGE_LOOP('',(#24077,#24078,#24079,#24080)); #6385=EDGE_LOOP('',(#24081,#24082,#24083,#24084)); #6386=EDGE_LOOP('',(#24085,#24086,#24087,#24088)); #6387=EDGE_LOOP('',(#24089,#24090,#24091,#24092)); #6388=EDGE_LOOP('',(#24093,#24094,#24095,#24096)); #6389=EDGE_LOOP('',(#24097,#24098,#24099,#24100)); #6390=EDGE_LOOP('',(#24101,#24102,#24103,#24104)); #6391=EDGE_LOOP('',(#24105,#24106,#24107,#24108)); #6392=EDGE_LOOP('',(#24109,#24110,#24111,#24112)); #6393=EDGE_LOOP('',(#24113,#24114,#24115,#24116)); #6394=EDGE_LOOP('',(#24117,#24118,#24119,#24120)); #6395=EDGE_LOOP('',(#24121,#24122,#24123,#24124)); #6396=EDGE_LOOP('',(#24125)); #6397=EDGE_LOOP('',(#24126)); #6398=EDGE_LOOP('',(#24127)); #6399=EDGE_LOOP('',(#24128)); #6400=EDGE_LOOP('',(#24129)); #6401=EDGE_LOOP('',(#24130)); #6402=EDGE_LOOP('',(#24131)); #6403=EDGE_LOOP('',(#24132)); #6404=EDGE_LOOP('',(#24133)); #6405=EDGE_LOOP('',(#24134)); #6406=EDGE_LOOP('',(#24135)); #6407=EDGE_LOOP('',(#24136)); #6408=EDGE_LOOP('',(#24137)); #6409=EDGE_LOOP('',(#24138)); #6410=EDGE_LOOP('',(#24139)); #6411=EDGE_LOOP('',(#24140)); #6412=EDGE_LOOP('',(#24141)); #6413=EDGE_LOOP('',(#24142,#24143,#24144,#24145,#24146,#24147)); #6414=EDGE_LOOP('',(#24148)); #6415=EDGE_LOOP('',(#24149)); #6416=EDGE_LOOP('',(#24150)); #6417=EDGE_LOOP('',(#24151)); #6418=EDGE_LOOP('',(#24152)); #6419=EDGE_LOOP('',(#24153,#24154,#24155,#24156)); #6420=EDGE_LOOP('',(#24157,#24158,#24159,#24160,#24161,#24162)); #6421=EDGE_LOOP('',(#24163,#24164,#24165,#24166)); #6422=EDGE_LOOP('',(#24167)); #6423=EDGE_LOOP('',(#24168)); #6424=EDGE_LOOP('',(#24169)); #6425=EDGE_LOOP('',(#24170)); #6426=EDGE_LOOP('',(#24171)); #6427=EDGE_LOOP('',(#24172)); #6428=EDGE_LOOP('',(#24173)); #6429=EDGE_LOOP('',(#24174)); #6430=EDGE_LOOP('',(#24175,#24176,#24177,#24178)); #6431=EDGE_LOOP('',(#24179,#24180,#24181,#24182)); #6432=EDGE_LOOP('',(#24183)); #6433=EDGE_LOOP('',(#24184)); #6434=EDGE_LOOP('',(#24185)); #6435=EDGE_LOOP('',(#24186)); #6436=EDGE_LOOP('',(#24187)); #6437=EDGE_LOOP('',(#24188)); #6438=EDGE_LOOP('',(#24189)); #6439=EDGE_LOOP('',(#24190)); #6440=EDGE_LOOP('',(#24191)); #6441=EDGE_LOOP('',(#24192,#24193,#24194,#24195)); #6442=EDGE_LOOP('',(#24196,#24197,#24198)); #6443=EDGE_LOOP('',(#24199,#24200,#24201,#24202)); #6444=EDGE_LOOP('',(#24203,#24204,#24205)); #6445=EDGE_LOOP('',(#24206,#24207,#24208,#24209)); #6446=EDGE_LOOP('',(#24210,#24211,#24212)); #6447=EDGE_LOOP('',(#24213,#24214,#24215,#24216)); #6448=EDGE_LOOP('',(#24217,#24218,#24219)); #6449=EDGE_LOOP('',(#24220,#24221,#24222,#24223)); #6450=EDGE_LOOP('',(#24224,#24225,#24226)); #6451=EDGE_LOOP('',(#24227,#24228,#24229,#24230)); #6452=EDGE_LOOP('',(#24231,#24232,#24233)); #6453=EDGE_LOOP('',(#24234,#24235,#24236,#24237)); #6454=EDGE_LOOP('',(#24238,#24239,#24240)); #6455=EDGE_LOOP('',(#24241,#24242,#24243,#24244)); #6456=EDGE_LOOP('',(#24245,#24246,#24247,#24248)); #6457=EDGE_LOOP('',(#24249,#24250,#24251,#24252)); #6458=EDGE_LOOP('',(#24253,#24254,#24255,#24256)); #6459=EDGE_LOOP('',(#24257,#24258,#24259,#24260)); #6460=EDGE_LOOP('',(#24261,#24262,#24263,#24264)); #6461=EDGE_LOOP('',(#24265,#24266,#24267,#24268)); #6462=EDGE_LOOP('',(#24269,#24270,#24271,#24272)); #6463=EDGE_LOOP('',(#24273,#24274,#24275,#24276)); #6464=EDGE_LOOP('',(#24277,#24278,#24279,#24280)); #6465=EDGE_LOOP('',(#24281,#24282,#24283,#24284)); #6466=EDGE_LOOP('',(#24285,#24286,#24287,#24288)); #6467=EDGE_LOOP('',(#24289,#24290,#24291,#24292)); #6468=EDGE_LOOP('',(#24293,#24294,#24295,#24296)); #6469=EDGE_LOOP('',(#24297,#24298,#24299,#24300)); #6470=EDGE_LOOP('',(#24301,#24302,#24303,#24304)); #6471=EDGE_LOOP('',(#24305,#24306,#24307,#24308)); #6472=EDGE_LOOP('',(#24309,#24310,#24311,#24312)); #6473=EDGE_LOOP('',(#24313,#24314,#24315,#24316)); #6474=EDGE_LOOP('',(#24317,#24318,#24319,#24320)); #6475=EDGE_LOOP('',(#24321)); #6476=EDGE_LOOP('',(#24322)); #6477=EDGE_LOOP('',(#24323)); #6478=EDGE_LOOP('',(#24324)); #6479=EDGE_LOOP('',(#24325)); #6480=EDGE_LOOP('',(#24326)); #6481=EDGE_LOOP('',(#24327)); #6482=EDGE_LOOP('',(#24328)); #6483=EDGE_LOOP('',(#24329,#24330,#24331,#24332,#24333,#24334,#24335,#24336, #24337,#24338)); #6484=EDGE_LOOP('',(#24339,#24340,#24341,#24342)); #6485=EDGE_LOOP('',(#24343)); #6486=EDGE_LOOP('',(#24344)); #6487=EDGE_LOOP('',(#24345)); #6488=EDGE_LOOP('',(#24346)); #6489=EDGE_LOOP('',(#24347)); #6490=EDGE_LOOP('',(#24348)); #6491=EDGE_LOOP('',(#24349)); #6492=EDGE_LOOP('',(#24350)); #6493=EDGE_LOOP('',(#24351,#24352,#24353,#24354)); #6494=EDGE_LOOP('',(#24355,#24356,#24357,#24358,#24359,#24360,#24361,#24362, #24363,#24364)); #6495=EDGE_LOOP('',(#24365)); #6496=EDGE_LOOP('',(#24366)); #6497=EDGE_LOOP('',(#24367)); #6498=EDGE_LOOP('',(#24368)); #6499=EDGE_LOOP('',(#24369)); #6500=EDGE_LOOP('',(#24370)); #6501=EDGE_LOOP('',(#24371)); #6502=EDGE_LOOP('',(#24372,#24373,#24374,#24375)); #6503=EDGE_LOOP('',(#24376,#24377,#24378,#24379)); #6504=EDGE_LOOP('',(#24380)); #6505=EDGE_LOOP('',(#24381)); #6506=EDGE_LOOP('',(#24382)); #6507=EDGE_LOOP('',(#24383)); #6508=EDGE_LOOP('',(#24384)); #6509=EDGE_LOOP('',(#24385)); #6510=EDGE_LOOP('',(#24386)); #6511=EDGE_LOOP('',(#24387)); #6512=EDGE_LOOP('',(#24388,#24389,#24390,#24391)); #6513=EDGE_LOOP('',(#24392,#24393,#24394,#24395)); #6514=EDGE_LOOP('',(#24396)); #6515=EDGE_LOOP('',(#24397)); #6516=EDGE_LOOP('',(#24398)); #6517=EDGE_LOOP('',(#24399)); #6518=EDGE_LOOP('',(#24400)); #6519=EDGE_LOOP('',(#24401)); #6520=EDGE_LOOP('',(#24402)); #6521=EDGE_LOOP('',(#24403)); #6522=EDGE_LOOP('',(#24404,#24405,#24406,#24407)); #6523=EDGE_LOOP('',(#24408,#24409,#24410)); #6524=EDGE_LOOP('',(#24411,#24412,#24413,#24414)); #6525=EDGE_LOOP('',(#24415,#24416,#24417)); #6526=EDGE_LOOP('',(#24418,#24419,#24420,#24421)); #6527=EDGE_LOOP('',(#24422,#24423,#24424)); #6528=EDGE_LOOP('',(#24425,#24426,#24427,#24428)); #6529=EDGE_LOOP('',(#24429,#24430,#24431)); #6530=EDGE_LOOP('',(#24432,#24433,#24434,#24435)); #6531=EDGE_LOOP('',(#24436,#24437,#24438)); #6532=EDGE_LOOP('',(#24439,#24440,#24441,#24442)); #6533=EDGE_LOOP('',(#24443,#24444,#24445,#24446)); #6534=EDGE_LOOP('',(#24447,#24448,#24449,#24450)); #6535=EDGE_LOOP('',(#24451,#24452,#24453,#24454)); #6536=EDGE_LOOP('',(#24455,#24456,#24457,#24458)); #6537=EDGE_LOOP('',(#24459,#24460,#24461,#24462)); #6538=EDGE_LOOP('',(#24463,#24464,#24465,#24466)); #6539=EDGE_LOOP('',(#24467,#24468,#24469,#24470)); #6540=EDGE_LOOP('',(#24471,#24472,#24473,#24474)); #6541=EDGE_LOOP('',(#24475,#24476,#24477,#24478)); #6542=EDGE_LOOP('',(#24479,#24480,#24481,#24482)); #6543=EDGE_LOOP('',(#24483,#24484,#24485,#24486)); #6544=EDGE_LOOP('',(#24487,#24488,#24489,#24490)); #6545=EDGE_LOOP('',(#24491,#24492,#24493,#24494)); #6546=EDGE_LOOP('',(#24495,#24496,#24497,#24498)); #6547=EDGE_LOOP('',(#24499,#24500,#24501,#24502)); #6548=EDGE_LOOP('',(#24503,#24504,#24505,#24506)); #6549=EDGE_LOOP('',(#24507,#24508,#24509,#24510)); #6550=EDGE_LOOP('',(#24511,#24512,#24513,#24514)); #6551=EDGE_LOOP('',(#24515,#24516,#24517,#24518)); #6552=EDGE_LOOP('',(#24519)); #6553=EDGE_LOOP('',(#24520)); #6554=EDGE_LOOP('',(#24521)); #6555=EDGE_LOOP('',(#24522)); #6556=EDGE_LOOP('',(#24523)); #6557=EDGE_LOOP('',(#24524)); #6558=EDGE_LOOP('',(#24525)); #6559=EDGE_LOOP('',(#24526)); #6560=EDGE_LOOP('',(#24527,#24528,#24529,#24530)); #6561=EDGE_LOOP('',(#24531,#24532,#24533,#24534)); #6562=EDGE_LOOP('',(#24535)); #6563=EDGE_LOOP('',(#24536)); #6564=EDGE_LOOP('',(#24537)); #6565=EDGE_LOOP('',(#24538)); #6566=EDGE_LOOP('',(#24539)); #6567=EDGE_LOOP('',(#24540)); #6568=EDGE_LOOP('',(#24541)); #6569=EDGE_LOOP('',(#24542)); #6570=EDGE_LOOP('',(#24543)); #6571=EDGE_LOOP('',(#24544)); #6572=EDGE_LOOP('',(#24545)); #6573=EDGE_LOOP('',(#24546)); #6574=EDGE_LOOP('',(#24547)); #6575=EDGE_LOOP('',(#24548)); #6576=EDGE_LOOP('',(#24549)); #6577=EDGE_LOOP('',(#24550)); #6578=EDGE_LOOP('',(#24551)); #6579=EDGE_LOOP('',(#24552,#24553,#24554,#24555,#24556,#24557)); #6580=EDGE_LOOP('',(#24558)); #6581=EDGE_LOOP('',(#24559)); #6582=EDGE_LOOP('',(#24560)); #6583=EDGE_LOOP('',(#24561)); #6584=EDGE_LOOP('',(#24562)); #6585=EDGE_LOOP('',(#24563,#24564,#24565,#24566,#24567,#24568)); #6586=EDGE_LOOP('',(#24569,#24570,#24571,#24572)); #6587=EDGE_LOOP('',(#24573,#24574,#24575,#24576)); #6588=EDGE_LOOP('',(#24577)); #6589=EDGE_LOOP('',(#24578)); #6590=EDGE_LOOP('',(#24579)); #6591=EDGE_LOOP('',(#24580)); #6592=EDGE_LOOP('',(#24581)); #6593=EDGE_LOOP('',(#24582)); #6594=EDGE_LOOP('',(#24583)); #6595=EDGE_LOOP('',(#24584)); #6596=EDGE_LOOP('',(#24585)); #6597=EDGE_LOOP('',(#24586,#24587,#24588,#24589)); #6598=EDGE_LOOP('',(#24590,#24591,#24592,#24593)); #6599=EDGE_LOOP('',(#24594,#24595,#24596,#24597)); #6600=EDGE_LOOP('',(#24598,#24599,#24600,#24601)); #6601=EDGE_LOOP('',(#24602,#24603,#24604,#24605)); #6602=EDGE_LOOP('',(#24606,#24607,#24608,#24609,#24610,#24611)); #6603=EDGE_LOOP('',(#24612,#24613,#24614,#24615,#24616,#24617)); #6604=EDGE_LOOP('',(#24618,#24619,#24620,#24621)); #6605=EDGE_LOOP('',(#24622,#24623,#24624,#24625)); #6606=EDGE_LOOP('',(#24626)); #6607=EDGE_LOOP('',(#24627)); #6608=EDGE_LOOP('',(#24628,#24629,#24630,#24631)); #6609=EDGE_LOOP('',(#24632)); #6610=EDGE_LOOP('',(#24633)); #6611=EDGE_LOOP('',(#24634,#24635,#24636)); #6612=EDGE_LOOP('',(#24637,#24638,#24639,#24640)); #6613=EDGE_LOOP('',(#24641,#24642,#24643)); #6614=EDGE_LOOP('',(#24644,#24645,#24646,#24647)); #6615=EDGE_LOOP('',(#24648,#24649,#24650)); #6616=EDGE_LOOP('',(#24651,#24652,#24653,#24654)); #6617=EDGE_LOOP('',(#24655,#24656,#24657)); #6618=EDGE_LOOP('',(#24658,#24659,#24660,#24661)); #6619=EDGE_LOOP('',(#24662,#24663,#24664)); #6620=EDGE_LOOP('',(#24665,#24666,#24667,#24668)); #6621=EDGE_LOOP('',(#24669,#24670,#24671)); #6622=EDGE_LOOP('',(#24672,#24673,#24674,#24675)); #6623=EDGE_LOOP('',(#24676,#24677,#24678)); #6624=EDGE_LOOP('',(#24679,#24680,#24681,#24682)); #6625=EDGE_LOOP('',(#24683,#24684,#24685)); #6626=EDGE_LOOP('',(#24686,#24687,#24688,#24689)); #6627=EDGE_LOOP('',(#24690,#24691,#24692)); #6628=EDGE_LOOP('',(#24693,#24694,#24695,#24696)); #6629=EDGE_LOOP('',(#24697,#24698,#24699)); #6630=EDGE_LOOP('',(#24700,#24701,#24702,#24703)); #6631=EDGE_LOOP('',(#24704,#24705,#24706)); #6632=EDGE_LOOP('',(#24707,#24708,#24709,#24710)); #6633=EDGE_LOOP('',(#24711,#24712,#24713)); #6634=EDGE_LOOP('',(#24714,#24715,#24716,#24717)); #6635=EDGE_LOOP('',(#24718,#24719,#24720)); #6636=EDGE_LOOP('',(#24721,#24722,#24723,#24724)); #6637=EDGE_LOOP('',(#24725,#24726,#24727)); #6638=EDGE_LOOP('',(#24728,#24729,#24730,#24731)); #6639=EDGE_LOOP('',(#24732,#24733,#24734)); #6640=EDGE_LOOP('',(#24735,#24736,#24737,#24738)); #6641=EDGE_LOOP('',(#24739,#24740,#24741)); #6642=EDGE_LOOP('',(#24742,#24743,#24744,#24745)); #6643=EDGE_LOOP('',(#24746,#24747,#24748)); #6644=EDGE_LOOP('',(#24749,#24750,#24751,#24752)); #6645=EDGE_LOOP('',(#24753,#24754,#24755)); #6646=EDGE_LOOP('',(#24756,#24757,#24758,#24759)); #6647=EDGE_LOOP('',(#24760,#24761,#24762)); #6648=EDGE_LOOP('',(#24763,#24764,#24765,#24766)); #6649=EDGE_LOOP('',(#24767,#24768,#24769)); #6650=EDGE_LOOP('',(#24770,#24771,#24772,#24773)); #6651=EDGE_LOOP('',(#24774,#24775,#24776)); #6652=EDGE_LOOP('',(#24777,#24778,#24779,#24780)); #6653=EDGE_LOOP('',(#24781,#24782,#24783)); #6654=EDGE_LOOP('',(#24784,#24785,#24786,#24787)); #6655=EDGE_LOOP('',(#24788,#24789,#24790)); #6656=EDGE_LOOP('',(#24791,#24792,#24793,#24794)); #6657=EDGE_LOOP('',(#24795,#24796,#24797)); #6658=EDGE_LOOP('',(#24798,#24799,#24800,#24801)); #6659=EDGE_LOOP('',(#24802,#24803,#24804)); #6660=EDGE_LOOP('',(#24805,#24806,#24807,#24808)); #6661=EDGE_LOOP('',(#24809,#24810,#24811,#24812)); #6662=EDGE_LOOP('',(#24813,#24814,#24815,#24816,#24817,#24818,#24819,#24820, #24821,#24822)); #6663=EDGE_LOOP('',(#24823)); #6664=EDGE_LOOP('',(#24824)); #6665=EDGE_LOOP('',(#24825)); #6666=EDGE_LOOP('',(#24826)); #6667=EDGE_LOOP('',(#24827)); #6668=EDGE_LOOP('',(#24828)); #6669=EDGE_LOOP('',(#24829)); #6670=EDGE_LOOP('',(#24830)); #6671=EDGE_LOOP('',(#24831)); #6672=EDGE_LOOP('',(#24832)); #6673=EDGE_LOOP('',(#24833)); #6674=EDGE_LOOP('',(#24834)); #6675=EDGE_LOOP('',(#24835)); #6676=EDGE_LOOP('',(#24836)); #6677=EDGE_LOOP('',(#24837)); #6678=EDGE_LOOP('',(#24838)); #6679=EDGE_LOOP('',(#24839)); #6680=EDGE_LOOP('',(#24840)); #6681=EDGE_LOOP('',(#24841)); #6682=EDGE_LOOP('',(#24842)); #6683=EDGE_LOOP('',(#24843)); #6684=EDGE_LOOP('',(#24844,#24845,#24846,#24847)); #6685=EDGE_LOOP('',(#24848,#24849,#24850,#24851)); #6686=EDGE_LOOP('',(#24852,#24853,#24854,#24855)); #6687=EDGE_LOOP('',(#24856,#24857,#24858,#24859,#24860,#24861,#24862,#24863)); #6688=EDGE_LOOP('',(#24864)); #6689=EDGE_LOOP('',(#24865)); #6690=EDGE_LOOP('',(#24866)); #6691=EDGE_LOOP('',(#24867)); #6692=EDGE_LOOP('',(#24868)); #6693=EDGE_LOOP('',(#24869)); #6694=EDGE_LOOP('',(#24870)); #6695=EDGE_LOOP('',(#24871)); #6696=EDGE_LOOP('',(#24872)); #6697=EDGE_LOOP('',(#24873)); #6698=EDGE_LOOP('',(#24874)); #6699=EDGE_LOOP('',(#24875)); #6700=EDGE_LOOP('',(#24876,#24877,#24878,#24879)); #6701=EDGE_LOOP('',(#24880,#24881,#24882,#24883)); #6702=EDGE_LOOP('',(#24884,#24885,#24886,#24887)); #6703=EDGE_LOOP('',(#24888,#24889,#24890,#24891)); #6704=EDGE_LOOP('',(#24892,#24893,#24894,#24895,#24896,#24897,#24898,#24899, #24900,#24901)); #6705=EDGE_LOOP('',(#24902)); #6706=EDGE_LOOP('',(#24903)); #6707=EDGE_LOOP('',(#24904)); #6708=EDGE_LOOP('',(#24905)); #6709=EDGE_LOOP('',(#24906)); #6710=EDGE_LOOP('',(#24907)); #6711=EDGE_LOOP('',(#24908)); #6712=EDGE_LOOP('',(#24909)); #6713=EDGE_LOOP('',(#24910,#24911,#24912,#24913,#24914,#24915,#24916,#24917)); #6714=EDGE_LOOP('',(#24918,#24919,#24920,#24921)); #6715=EDGE_LOOP('',(#24922,#24923,#24924,#24925)); #6716=EDGE_LOOP('',(#24926,#24927,#24928,#24929)); #6717=EDGE_LOOP('',(#24930,#24931,#24932,#24933)); #6718=EDGE_LOOP('',(#24934,#24935,#24936,#24937)); #6719=EDGE_LOOP('',(#24938,#24939,#24940,#24941)); #6720=EDGE_LOOP('',(#24942,#24943,#24944,#24945)); #6721=EDGE_LOOP('',(#24946,#24947,#24948,#24949)); #6722=EDGE_LOOP('',(#24950,#24951,#24952,#24953)); #6723=EDGE_LOOP('',(#24954,#24955,#24956,#24957)); #6724=EDGE_LOOP('',(#24958,#24959,#24960,#24961)); #6725=EDGE_LOOP('',(#24962,#24963,#24964,#24965)); #6726=EDGE_LOOP('',(#24966,#24967,#24968,#24969)); #6727=EDGE_LOOP('',(#24970,#24971,#24972,#24973,#24974,#24975,#24976,#24977)); #6728=EDGE_LOOP('',(#24978)); #6729=EDGE_LOOP('',(#24979)); #6730=EDGE_LOOP('',(#24980)); #6731=EDGE_LOOP('',(#24981)); #6732=EDGE_LOOP('',(#24982)); #6733=EDGE_LOOP('',(#24983)); #6734=EDGE_LOOP('',(#24984)); #6735=EDGE_LOOP('',(#24985)); #6736=EDGE_LOOP('',(#24986)); #6737=EDGE_LOOP('',(#24987)); #6738=EDGE_LOOP('',(#24988)); #6739=EDGE_LOOP('',(#24989)); #6740=EDGE_LOOP('',(#24990)); #6741=EDGE_LOOP('',(#24991)); #6742=EDGE_LOOP('',(#24992)); #6743=EDGE_LOOP('',(#24993)); #6744=EDGE_LOOP('',(#24994)); #6745=EDGE_LOOP('',(#24995)); #6746=EDGE_LOOP('',(#24996)); #6747=EDGE_LOOP('',(#24997)); #6748=EDGE_LOOP('',(#24998)); #6749=EDGE_LOOP('',(#24999)); #6750=EDGE_LOOP('',(#25000)); #6751=EDGE_LOOP('',(#25001)); #6752=EDGE_LOOP('',(#25002)); #6753=EDGE_LOOP('',(#25003)); #6754=EDGE_LOOP('',(#25004)); #6755=EDGE_LOOP('',(#25005)); #6756=EDGE_LOOP('',(#25006)); #6757=EDGE_LOOP('',(#25007)); #6758=EDGE_LOOP('',(#25008)); #6759=EDGE_LOOP('',(#25009)); #6760=EDGE_LOOP('',(#25010)); #6761=EDGE_LOOP('',(#25011)); #6762=EDGE_LOOP('',(#25012)); #6763=EDGE_LOOP('',(#25013)); #6764=EDGE_LOOP('',(#25014)); #6765=EDGE_LOOP('',(#25015)); #6766=EDGE_LOOP('',(#25016)); #6767=EDGE_LOOP('',(#25017)); #6768=EDGE_LOOP('',(#25018)); #6769=EDGE_LOOP('',(#25019)); #6770=EDGE_LOOP('',(#25020)); #6771=EDGE_LOOP('',(#25021)); #6772=EDGE_LOOP('',(#25022)); #6773=EDGE_LOOP('',(#25023)); #6774=EDGE_LOOP('',(#25024)); #6775=EDGE_LOOP('',(#25025)); #6776=EDGE_LOOP('',(#25026)); #6777=EDGE_LOOP('',(#25027)); #6778=EDGE_LOOP('',(#25028)); #6779=EDGE_LOOP('',(#25029)); #6780=EDGE_LOOP('',(#25030)); #6781=EDGE_LOOP('',(#25031)); #6782=EDGE_LOOP('',(#25032)); #6783=EDGE_LOOP('',(#25033)); #6784=EDGE_LOOP('',(#25034)); #6785=EDGE_LOOP('',(#25035)); #6786=EDGE_LOOP('',(#25036)); #6787=EDGE_LOOP('',(#25037)); #6788=EDGE_LOOP('',(#25038)); #6789=EDGE_LOOP('',(#25039)); #6790=EDGE_LOOP('',(#25040)); #6791=EDGE_LOOP('',(#25041)); #6792=EDGE_LOOP('',(#25042)); #6793=EDGE_LOOP('',(#25043)); #6794=EDGE_LOOP('',(#25044,#25045,#25046,#25047)); #6795=EDGE_LOOP('',(#25048,#25049,#25050,#25051)); #6796=EDGE_LOOP('',(#25052,#25053,#25054,#25055)); #6797=EDGE_LOOP('',(#25056,#25057,#25058,#25059)); #6798=EDGE_LOOP('',(#25060,#25061,#25062,#25063)); #6799=EDGE_LOOP('',(#25064,#25065,#25066,#25067)); #6800=EDGE_LOOP('',(#25068,#25069,#25070,#25071)); #6801=EDGE_LOOP('',(#25072,#25073,#25074,#25075)); #6802=EDGE_LOOP('',(#25076,#25077,#25078,#25079)); #6803=EDGE_LOOP('',(#25080,#25081,#25082,#25083)); #6804=EDGE_LOOP('',(#25084,#25085,#25086,#25087)); #6805=EDGE_LOOP('',(#25088,#25089,#25090,#25091)); #6806=EDGE_LOOP('',(#25092,#25093,#25094,#25095)); #6807=EDGE_LOOP('',(#25096,#25097,#25098,#25099)); #6808=EDGE_LOOP('',(#25100,#25101,#25102,#25103)); #6809=EDGE_LOOP('',(#25104,#25105,#25106,#25107)); #6810=EDGE_LOOP('',(#25108,#25109,#25110,#25111)); #6811=EDGE_LOOP('',(#25112,#25113,#25114,#25115)); #6812=EDGE_LOOP('',(#25116,#25117,#25118,#25119)); #6813=EDGE_LOOP('',(#25120,#25121,#25122,#25123)); #6814=EDGE_LOOP('',(#25124,#25125,#25126,#25127)); #6815=EDGE_LOOP('',(#25128,#25129,#25130,#25131)); #6816=EDGE_LOOP('',(#25132,#25133,#25134,#25135)); #6817=EDGE_LOOP('',(#25136,#25137,#25138,#25139)); #6818=EDGE_LOOP('',(#25140,#25141,#25142,#25143)); #6819=EDGE_LOOP('',(#25144,#25145,#25146,#25147)); #6820=EDGE_LOOP('',(#25148,#25149,#25150,#25151)); #6821=EDGE_LOOP('',(#25152,#25153,#25154,#25155)); #6822=EDGE_LOOP('',(#25156,#25157,#25158,#25159)); #6823=EDGE_LOOP('',(#25160,#25161,#25162,#25163,#25164,#25165,#25166,#25167)); #6824=EDGE_LOOP('',(#25168,#25169,#25170,#25171)); #6825=EDGE_LOOP('',(#25172,#25173,#25174,#25175)); #6826=EDGE_LOOP('',(#25176,#25177,#25178,#25179)); #6827=EDGE_LOOP('',(#25180,#25181,#25182,#25183)); #6828=EDGE_LOOP('',(#25184,#25185,#25186,#25187)); #6829=EDGE_LOOP('',(#25188,#25189,#25190,#25191)); #6830=EDGE_LOOP('',(#25192,#25193,#25194,#25195)); #6831=EDGE_LOOP('',(#25196,#25197,#25198,#25199)); #6832=EDGE_LOOP('',(#25200,#25201,#25202,#25203)); #6833=EDGE_LOOP('',(#25204,#25205,#25206,#25207)); #6834=EDGE_LOOP('',(#25208,#25209,#25210,#25211)); #6835=EDGE_LOOP('',(#25212,#25213,#25214,#25215)); #6836=EDGE_LOOP('',(#25216,#25217,#25218,#25219)); #6837=EDGE_LOOP('',(#25220,#25221,#25222,#25223)); #6838=EDGE_LOOP('',(#25224,#25225,#25226,#25227)); #6839=EDGE_LOOP('',(#25228,#25229,#25230,#25231)); #6840=EDGE_LOOP('',(#25232,#25233,#25234,#25235)); #6841=EDGE_LOOP('',(#25236,#25237,#25238,#25239)); #6842=EDGE_LOOP('',(#25240,#25241,#25242,#25243)); #6843=EDGE_LOOP('',(#25244,#25245,#25246,#25247)); #6844=EDGE_LOOP('',(#25248,#25249,#25250,#25251)); #6845=EDGE_LOOP('',(#25252,#25253,#25254,#25255)); #6846=EDGE_LOOP('',(#25256,#25257,#25258,#25259)); #6847=EDGE_LOOP('',(#25260,#25261,#25262,#25263)); #6848=EDGE_LOOP('',(#25264,#25265,#25266,#25267)); #6849=EDGE_LOOP('',(#25268,#25269,#25270,#25271)); #6850=EDGE_LOOP('',(#25272,#25273,#25274,#25275)); #6851=EDGE_LOOP('',(#25276,#25277,#25278,#25279)); #6852=EDGE_LOOP('',(#25280,#25281,#25282,#25283)); #6853=EDGE_LOOP('',(#25284,#25285,#25286,#25287)); #6854=EDGE_LOOP('',(#25288,#25289,#25290,#25291)); #6855=EDGE_LOOP('',(#25292,#25293,#25294,#25295)); #6856=EDGE_LOOP('',(#25296,#25297,#25298,#25299)); #6857=EDGE_LOOP('',(#25300,#25301,#25302,#25303)); #6858=EDGE_LOOP('',(#25304,#25305,#25306,#25307)); #6859=EDGE_LOOP('',(#25308,#25309,#25310,#25311)); #6860=EDGE_LOOP('',(#25312,#25313,#25314,#25315)); #6861=EDGE_LOOP('',(#25316,#25317,#25318,#25319)); #6862=EDGE_LOOP('',(#25320,#25321,#25322,#25323)); #6863=EDGE_LOOP('',(#25324,#25325,#25326,#25327)); #6864=EDGE_LOOP('',(#25328,#25329,#25330,#25331)); #6865=EDGE_LOOP('',(#25332,#25333,#25334,#25335)); #6866=EDGE_LOOP('',(#25336,#25337,#25338,#25339)); #6867=EDGE_LOOP('',(#25340,#25341,#25342,#25343)); #6868=EDGE_LOOP('',(#25344,#25345,#25346,#25347)); #6869=EDGE_LOOP('',(#25348,#25349,#25350,#25351)); #6870=EDGE_LOOP('',(#25352,#25353,#25354,#25355)); #6871=EDGE_LOOP('',(#25356,#25357,#25358,#25359)); #6872=EDGE_LOOP('',(#25360,#25361,#25362,#25363)); #6873=EDGE_LOOP('',(#25364,#25365,#25366,#25367)); #6874=EDGE_LOOP('',(#25368,#25369,#25370,#25371)); #6875=EDGE_LOOP('',(#25372,#25373,#25374,#25375)); #6876=EDGE_LOOP('',(#25376,#25377,#25378,#25379)); #6877=EDGE_LOOP('',(#25380,#25381,#25382,#25383)); #6878=EDGE_LOOP('',(#25384,#25385,#25386,#25387)); #6879=EDGE_LOOP('',(#25388,#25389,#25390,#25391)); #6880=EDGE_LOOP('',(#25392,#25393,#25394,#25395)); #6881=EDGE_LOOP('',(#25396,#25397,#25398,#25399)); #6882=EDGE_LOOP('',(#25400,#25401,#25402,#25403)); #6883=EDGE_LOOP('',(#25404,#25405,#25406,#25407)); #6884=EDGE_LOOP('',(#25408,#25409,#25410,#25411)); #6885=EDGE_LOOP('',(#25412,#25413,#25414,#25415)); #6886=EDGE_LOOP('',(#25416,#25417,#25418,#25419)); #6887=EDGE_LOOP('',(#25420,#25421,#25422,#25423)); #6888=EDGE_LOOP('',(#25424,#25425,#25426,#25427)); #6889=EDGE_LOOP('',(#25428,#25429,#25430,#25431)); #6890=EDGE_LOOP('',(#25432,#25433,#25434,#25435)); #6891=EDGE_LOOP('',(#25436,#25437,#25438,#25439)); #6892=EDGE_LOOP('',(#25440,#25441,#25442,#25443)); #6893=EDGE_LOOP('',(#25444,#25445,#25446,#25447)); #6894=EDGE_LOOP('',(#25448,#25449,#25450,#25451)); #6895=EDGE_LOOP('',(#25452,#25453,#25454,#25455)); #6896=EDGE_LOOP('',(#25456,#25457,#25458,#25459)); #6897=EDGE_LOOP('',(#25460,#25461,#25462,#25463)); #6898=EDGE_LOOP('',(#25464,#25465,#25466,#25467)); #6899=EDGE_LOOP('',(#25468,#25469,#25470,#25471)); #6900=EDGE_LOOP('',(#25472,#25473,#25474,#25475)); #6901=EDGE_LOOP('',(#25476,#25477,#25478,#25479)); #6902=EDGE_LOOP('',(#25480,#25481,#25482,#25483)); #6903=EDGE_LOOP('',(#25484,#25485,#25486,#25487)); #6904=EDGE_LOOP('',(#25488,#25489,#25490,#25491)); #6905=EDGE_LOOP('',(#25492,#25493,#25494,#25495)); #6906=EDGE_LOOP('',(#25496,#25497,#25498,#25499)); #6907=EDGE_LOOP('',(#25500,#25501,#25502,#25503)); #6908=EDGE_LOOP('',(#25504,#25505,#25506,#25507)); #6909=EDGE_LOOP('',(#25508,#25509,#25510,#25511)); #6910=EDGE_LOOP('',(#25512,#25513,#25514,#25515)); #6911=EDGE_LOOP('',(#25516,#25517,#25518,#25519)); #6912=EDGE_LOOP('',(#25520,#25521,#25522,#25523)); #6913=EDGE_LOOP('',(#25524,#25525,#25526,#25527)); #6914=EDGE_LOOP('',(#25528,#25529,#25530,#25531)); #6915=EDGE_LOOP('',(#25532,#25533,#25534,#25535)); #6916=EDGE_LOOP('',(#25536,#25537,#25538,#25539)); #6917=EDGE_LOOP('',(#25540,#25541,#25542,#25543)); #6918=EDGE_LOOP('',(#25544,#25545,#25546,#25547)); #6919=EDGE_LOOP('',(#25548,#25549,#25550,#25551)); #6920=EDGE_LOOP('',(#25552,#25553,#25554,#25555)); #6921=EDGE_LOOP('',(#25556,#25557,#25558,#25559)); #6922=EDGE_LOOP('',(#25560,#25561,#25562,#25563)); #6923=EDGE_LOOP('',(#25564,#25565,#25566,#25567)); #6924=EDGE_LOOP('',(#25568,#25569,#25570,#25571)); #6925=EDGE_LOOP('',(#25572,#25573,#25574,#25575)); #6926=EDGE_LOOP('',(#25576,#25577,#25578,#25579)); #6927=EDGE_LOOP('',(#25580,#25581,#25582,#25583)); #6928=EDGE_LOOP('',(#25584,#25585,#25586,#25587)); #6929=EDGE_LOOP('',(#25588,#25589,#25590,#25591)); #6930=EDGE_LOOP('',(#25592,#25593,#25594,#25595)); #6931=EDGE_LOOP('',(#25596,#25597,#25598,#25599)); #6932=EDGE_LOOP('',(#25600,#25601,#25602,#25603)); #6933=EDGE_LOOP('',(#25604,#25605,#25606,#25607)); #6934=EDGE_LOOP('',(#25608,#25609,#25610,#25611)); #6935=EDGE_LOOP('',(#25612,#25613,#25614,#25615)); #6936=EDGE_LOOP('',(#25616,#25617,#25618,#25619)); #6937=EDGE_LOOP('',(#25620,#25621,#25622,#25623)); #6938=EDGE_LOOP('',(#25624)); #6939=EDGE_LOOP('',(#25625)); #6940=EDGE_LOOP('',(#25626)); #6941=EDGE_LOOP('',(#25627)); #6942=EDGE_LOOP('',(#25628)); #6943=EDGE_LOOP('',(#25629)); #6944=EDGE_LOOP('',(#25630)); #6945=EDGE_LOOP('',(#25631)); #6946=EDGE_LOOP('',(#25632)); #6947=EDGE_LOOP('',(#25633)); #6948=EDGE_LOOP('',(#25634)); #6949=EDGE_LOOP('',(#25635)); #6950=EDGE_LOOP('',(#25636)); #6951=EDGE_LOOP('',(#25637)); #6952=EDGE_LOOP('',(#25638)); #6953=EDGE_LOOP('',(#25639)); #6954=EDGE_LOOP('',(#25640)); #6955=EDGE_LOOP('',(#25641)); #6956=EDGE_LOOP('',(#25642)); #6957=EDGE_LOOP('',(#25643)); #6958=EDGE_LOOP('',(#25644)); #6959=EDGE_LOOP('',(#25645)); #6960=EDGE_LOOP('',(#25646)); #6961=EDGE_LOOP('',(#25647)); #6962=EDGE_LOOP('',(#25648)); #6963=EDGE_LOOP('',(#25649)); #6964=EDGE_LOOP('',(#25650)); #6965=EDGE_LOOP('',(#25651)); #6966=EDGE_LOOP('',(#25652)); #6967=EDGE_LOOP('',(#25653)); #6968=EDGE_LOOP('',(#25654)); #6969=EDGE_LOOP('',(#25655)); #6970=EDGE_LOOP('',(#25656)); #6971=EDGE_LOOP('',(#25657)); #6972=EDGE_LOOP('',(#25658)); #6973=EDGE_LOOP('',(#25659)); #6974=EDGE_LOOP('',(#25660)); #6975=EDGE_LOOP('',(#25661)); #6976=EDGE_LOOP('',(#25662)); #6977=EDGE_LOOP('',(#25663)); #6978=EDGE_LOOP('',(#25664)); #6979=EDGE_LOOP('',(#25665)); #6980=EDGE_LOOP('',(#25666)); #6981=EDGE_LOOP('',(#25667)); #6982=EDGE_LOOP('',(#25668)); #6983=EDGE_LOOP('',(#25669)); #6984=EDGE_LOOP('',(#25670)); #6985=EDGE_LOOP('',(#25671)); #6986=EDGE_LOOP('',(#25672)); #6987=EDGE_LOOP('',(#25673)); #6988=EDGE_LOOP('',(#25674)); #6989=EDGE_LOOP('',(#25675)); #6990=EDGE_LOOP('',(#25676)); #6991=EDGE_LOOP('',(#25677)); #6992=EDGE_LOOP('',(#25678)); #6993=EDGE_LOOP('',(#25679)); #6994=EDGE_LOOP('',(#25680)); #6995=EDGE_LOOP('',(#25681)); #6996=EDGE_LOOP('',(#25682)); #6997=EDGE_LOOP('',(#25683)); #6998=EDGE_LOOP('',(#25684)); #6999=EDGE_LOOP('',(#25685)); #7000=EDGE_LOOP('',(#25686)); #7001=EDGE_LOOP('',(#25687)); #7002=EDGE_LOOP('',(#25688)); #7003=EDGE_LOOP('',(#25689)); #7004=EDGE_LOOP('',(#25690)); #7005=EDGE_LOOP('',(#25691)); #7006=EDGE_LOOP('',(#25692)); #7007=EDGE_LOOP('',(#25693)); #7008=EDGE_LOOP('',(#25694)); #7009=EDGE_LOOP('',(#25695)); #7010=EDGE_LOOP('',(#25696)); #7011=EDGE_LOOP('',(#25697)); #7012=EDGE_LOOP('',(#25698)); #7013=EDGE_LOOP('',(#25699)); #7014=EDGE_LOOP('',(#25700)); #7015=EDGE_LOOP('',(#25701)); #7016=EDGE_LOOP('',(#25702)); #7017=EDGE_LOOP('',(#25703)); #7018=EDGE_LOOP('',(#25704)); #7019=EDGE_LOOP('',(#25705)); #7020=EDGE_LOOP('',(#25706)); #7021=EDGE_LOOP('',(#25707)); #7022=EDGE_LOOP('',(#25708)); #7023=EDGE_LOOP('',(#25709)); #7024=EDGE_LOOP('',(#25710)); #7025=EDGE_LOOP('',(#25711)); #7026=EDGE_LOOP('',(#25712)); #7027=EDGE_LOOP('',(#25713)); #7028=EDGE_LOOP('',(#25714)); #7029=EDGE_LOOP('',(#25715)); #7030=EDGE_LOOP('',(#25716)); #7031=EDGE_LOOP('',(#25717)); #7032=EDGE_LOOP('',(#25718)); #7033=EDGE_LOOP('',(#25719)); #7034=EDGE_LOOP('',(#25720,#25721,#25722,#25723,#25724,#25725,#25726,#25727)); #7035=EDGE_LOOP('',(#25728,#25729,#25730,#25731)); #7036=EDGE_LOOP('',(#25732,#25733,#25734,#25735)); #7037=EDGE_LOOP('',(#25736,#25737,#25738,#25739)); #7038=EDGE_LOOP('',(#25740,#25741,#25742,#25743)); #7039=EDGE_LOOP('',(#25744,#25745,#25746,#25747)); #7040=EDGE_LOOP('',(#25748,#25749,#25750,#25751)); #7041=EDGE_LOOP('',(#25752,#25753,#25754,#25755)); #7042=EDGE_LOOP('',(#25756,#25757,#25758,#25759)); #7043=EDGE_LOOP('',(#25760,#25761,#25762,#25763)); #7044=EDGE_LOOP('',(#25764)); #7045=EDGE_LOOP('',(#25765)); #7046=EDGE_LOOP('',(#25766)); #7047=EDGE_LOOP('',(#25767)); #7048=EDGE_LOOP('',(#25768)); #7049=EDGE_LOOP('',(#25769)); #7050=EDGE_LOOP('',(#25770)); #7051=EDGE_LOOP('',(#25771)); #7052=EDGE_LOOP('',(#25772)); #7053=EDGE_LOOP('',(#25773)); #7054=EDGE_LOOP('',(#25774)); #7055=EDGE_LOOP('',(#25775)); #7056=EDGE_LOOP('',(#25776)); #7057=EDGE_LOOP('',(#25777)); #7058=EDGE_LOOP('',(#25778)); #7059=EDGE_LOOP('',(#25779)); #7060=EDGE_LOOP('',(#25780)); #7061=EDGE_LOOP('',(#25781)); #7062=EDGE_LOOP('',(#25782)); #7063=EDGE_LOOP('',(#25783)); #7064=EDGE_LOOP('',(#25784)); #7065=EDGE_LOOP('',(#25785)); #7066=EDGE_LOOP('',(#25786)); #7067=EDGE_LOOP('',(#25787)); #7068=EDGE_LOOP('',(#25788)); #7069=EDGE_LOOP('',(#25789)); #7070=EDGE_LOOP('',(#25790)); #7071=EDGE_LOOP('',(#25791)); #7072=EDGE_LOOP('',(#25792)); #7073=EDGE_LOOP('',(#25793)); #7074=EDGE_LOOP('',(#25794)); #7075=EDGE_LOOP('',(#25795)); #7076=EDGE_LOOP('',(#25796)); #7077=EDGE_LOOP('',(#25797)); #7078=EDGE_LOOP('',(#25798)); #7079=EDGE_LOOP('',(#25799)); #7080=EDGE_LOOP('',(#25800)); #7081=EDGE_LOOP('',(#25801)); #7082=EDGE_LOOP('',(#25802)); #7083=EDGE_LOOP('',(#25803)); #7084=EDGE_LOOP('',(#25804)); #7085=EDGE_LOOP('',(#25805)); #7086=EDGE_LOOP('',(#25806)); #7087=EDGE_LOOP('',(#25807)); #7088=EDGE_LOOP('',(#25808)); #7089=EDGE_LOOP('',(#25809)); #7090=EDGE_LOOP('',(#25810)); #7091=EDGE_LOOP('',(#25811)); #7092=EDGE_LOOP('',(#25812)); #7093=EDGE_LOOP('',(#25813)); #7094=EDGE_LOOP('',(#25814)); #7095=EDGE_LOOP('',(#25815)); #7096=EDGE_LOOP('',(#25816)); #7097=EDGE_LOOP('',(#25817)); #7098=EDGE_LOOP('',(#25818)); #7099=EDGE_LOOP('',(#25819)); #7100=EDGE_LOOP('',(#25820)); #7101=EDGE_LOOP('',(#25821)); #7102=EDGE_LOOP('',(#25822)); #7103=EDGE_LOOP('',(#25823)); #7104=EDGE_LOOP('',(#25824)); #7105=EDGE_LOOP('',(#25825)); #7106=EDGE_LOOP('',(#25826)); #7107=EDGE_LOOP('',(#25827)); #7108=EDGE_LOOP('',(#25828)); #7109=EDGE_LOOP('',(#25829)); #7110=EDGE_LOOP('',(#25830)); #7111=EDGE_LOOP('',(#25831)); #7112=EDGE_LOOP('',(#25832)); #7113=EDGE_LOOP('',(#25833)); #7114=EDGE_LOOP('',(#25834)); #7115=EDGE_LOOP('',(#25835)); #7116=EDGE_LOOP('',(#25836)); #7117=EDGE_LOOP('',(#25837)); #7118=EDGE_LOOP('',(#25838)); #7119=EDGE_LOOP('',(#25839)); #7120=EDGE_LOOP('',(#25840)); #7121=EDGE_LOOP('',(#25841)); #7122=EDGE_LOOP('',(#25842)); #7123=EDGE_LOOP('',(#25843)); #7124=EDGE_LOOP('',(#25844)); #7125=EDGE_LOOP('',(#25845)); #7126=EDGE_LOOP('',(#25846)); #7127=EDGE_LOOP('',(#25847)); #7128=EDGE_LOOP('',(#25848)); #7129=EDGE_LOOP('',(#25849)); #7130=EDGE_LOOP('',(#25850)); #7131=EDGE_LOOP('',(#25851)); #7132=EDGE_LOOP('',(#25852)); #7133=EDGE_LOOP('',(#25853)); #7134=EDGE_LOOP('',(#25854)); #7135=EDGE_LOOP('',(#25855)); #7136=EDGE_LOOP('',(#25856)); #7137=EDGE_LOOP('',(#25857)); #7138=EDGE_LOOP('',(#25858)); #7139=EDGE_LOOP('',(#25859)); #7140=EDGE_LOOP('',(#25860,#25861,#25862,#25863,#25864,#25865,#25866,#25867)); #7141=EDGE_LOOP('',(#25868,#25869,#25870)); #7142=EDGE_LOOP('',(#25871,#25872,#25873,#25874)); #7143=EDGE_LOOP('',(#25875,#25876,#25877)); #7144=EDGE_LOOP('',(#25878,#25879,#25880,#25881)); #7145=EDGE_LOOP('',(#25882,#25883,#25884)); #7146=EDGE_LOOP('',(#25885,#25886,#25887,#25888)); #7147=EDGE_LOOP('',(#25889,#25890,#25891)); #7148=EDGE_LOOP('',(#25892,#25893,#25894,#25895)); #7149=EDGE_LOOP('',(#25896,#25897,#25898)); #7150=EDGE_LOOP('',(#25899,#25900,#25901,#25902)); #7151=EDGE_LOOP('',(#25903,#25904,#25905)); #7152=EDGE_LOOP('',(#25906,#25907,#25908,#25909)); #7153=EDGE_LOOP('',(#25910,#25911,#25912)); #7154=EDGE_LOOP('',(#25913,#25914,#25915,#25916)); #7155=EDGE_LOOP('',(#25917,#25918,#25919)); #7156=EDGE_LOOP('',(#25920,#25921,#25922,#25923)); #7157=EDGE_LOOP('',(#25924,#25925,#25926)); #7158=EDGE_LOOP('',(#25927,#25928,#25929,#25930)); #7159=EDGE_LOOP('',(#25931,#25932,#25933)); #7160=EDGE_LOOP('',(#25934,#25935,#25936,#25937)); #7161=EDGE_LOOP('',(#25938,#25939,#25940)); #7162=EDGE_LOOP('',(#25941,#25942,#25943,#25944)); #7163=EDGE_LOOP('',(#25945,#25946,#25947)); #7164=EDGE_LOOP('',(#25948,#25949,#25950,#25951)); #7165=EDGE_LOOP('',(#25952,#25953,#25954)); #7166=EDGE_LOOP('',(#25955,#25956,#25957,#25958)); #7167=EDGE_LOOP('',(#25959,#25960,#25961)); #7168=EDGE_LOOP('',(#25962,#25963,#25964,#25965)); #7169=EDGE_LOOP('',(#25966,#25967,#25968)); #7170=EDGE_LOOP('',(#25969,#25970,#25971,#25972)); #7171=EDGE_LOOP('',(#25973,#25974,#25975)); #7172=EDGE_LOOP('',(#25976,#25977,#25978,#25979)); #7173=EDGE_LOOP('',(#25980,#25981,#25982)); #7174=EDGE_LOOP('',(#25983,#25984,#25985,#25986)); #7175=EDGE_LOOP('',(#25987,#25988,#25989)); #7176=EDGE_LOOP('',(#25990,#25991,#25992,#25993)); #7177=EDGE_LOOP('',(#25994,#25995,#25996)); #7178=EDGE_LOOP('',(#25997,#25998,#25999,#26000)); #7179=EDGE_LOOP('',(#26001,#26002,#26003)); #7180=EDGE_LOOP('',(#26004,#26005,#26006,#26007)); #7181=EDGE_LOOP('',(#26008,#26009,#26010)); #7182=EDGE_LOOP('',(#26011,#26012,#26013,#26014)); #7183=EDGE_LOOP('',(#26015,#26016,#26017)); #7184=EDGE_LOOP('',(#26018,#26019,#26020,#26021)); #7185=EDGE_LOOP('',(#26022,#26023,#26024)); #7186=EDGE_LOOP('',(#26025,#26026,#26027,#26028)); #7187=EDGE_LOOP('',(#26029,#26030,#26031)); #7188=EDGE_LOOP('',(#26032,#26033,#26034,#26035)); #7189=EDGE_LOOP('',(#26036,#26037,#26038,#26039)); #7190=EDGE_LOOP('',(#26040,#26041,#26042,#26043)); #7191=EDGE_LOOP('',(#26044,#26045,#26046,#26047)); #7192=EDGE_LOOP('',(#26048,#26049,#26050,#26051)); #7193=EDGE_LOOP('',(#26052,#26053,#26054,#26055)); #7194=EDGE_LOOP('',(#26056,#26057,#26058,#26059)); #7195=EDGE_LOOP('',(#26060,#26061,#26062,#26063)); #7196=EDGE_LOOP('',(#26064,#26065,#26066,#26067)); #7197=EDGE_LOOP('',(#26068,#26069,#26070,#26071)); #7198=EDGE_LOOP('',(#26072,#26073,#26074,#26075)); #7199=EDGE_LOOP('',(#26076,#26077,#26078,#26079)); #7200=EDGE_LOOP('',(#26080,#26081,#26082,#26083)); #7201=EDGE_LOOP('',(#26084,#26085,#26086,#26087)); #7202=EDGE_LOOP('',(#26088,#26089,#26090,#26091)); #7203=EDGE_LOOP('',(#26092,#26093,#26094,#26095)); #7204=EDGE_LOOP('',(#26096,#26097,#26098,#26099)); #7205=EDGE_LOOP('',(#26100,#26101,#26102,#26103)); #7206=EDGE_LOOP('',(#26104,#26105,#26106,#26107)); #7207=EDGE_LOOP('',(#26108,#26109,#26110,#26111)); #7208=EDGE_LOOP('',(#26112)); #7209=EDGE_LOOP('',(#26113)); #7210=EDGE_LOOP('',(#26114)); #7211=EDGE_LOOP('',(#26115)); #7212=EDGE_LOOP('',(#26116)); #7213=EDGE_LOOP('',(#26117)); #7214=EDGE_LOOP('',(#26118)); #7215=EDGE_LOOP('',(#26119,#26120,#26121,#26122)); #7216=EDGE_LOOP('',(#26123)); #7217=EDGE_LOOP('',(#26124)); #7218=EDGE_LOOP('',(#26125)); #7219=EDGE_LOOP('',(#26126)); #7220=EDGE_LOOP('',(#26127)); #7221=EDGE_LOOP('',(#26128)); #7222=EDGE_LOOP('',(#26129)); #7223=EDGE_LOOP('',(#26130)); #7224=EDGE_LOOP('',(#26131)); #7225=EDGE_LOOP('',(#26132)); #7226=EDGE_LOOP('',(#26133)); #7227=EDGE_LOOP('',(#26134)); #7228=EDGE_LOOP('',(#26135)); #7229=EDGE_LOOP('',(#26136)); #7230=EDGE_LOOP('',(#26137)); #7231=EDGE_LOOP('',(#26138)); #7232=EDGE_LOOP('',(#26139)); #7233=EDGE_LOOP('',(#26140)); #7234=EDGE_LOOP('',(#26141,#26142,#26143,#26144)); #7235=EDGE_LOOP('',(#26145,#26146,#26147,#26148)); #7236=EDGE_LOOP('',(#26149)); #7237=EDGE_LOOP('',(#26150)); #7238=EDGE_LOOP('',(#26151)); #7239=EDGE_LOOP('',(#26152)); #7240=EDGE_LOOP('',(#26153)); #7241=EDGE_LOOP('',(#26154)); #7242=EDGE_LOOP('',(#26155)); #7243=EDGE_LOOP('',(#26156,#26157,#26158,#26159)); #7244=EDGE_LOOP('',(#26160)); #7245=EDGE_LOOP('',(#26161)); #7246=EDGE_LOOP('',(#26162)); #7247=EDGE_LOOP('',(#26163)); #7248=EDGE_LOOP('',(#26164)); #7249=EDGE_LOOP('',(#26165)); #7250=EDGE_LOOP('',(#26166)); #7251=EDGE_LOOP('',(#26167)); #7252=EDGE_LOOP('',(#26168)); #7253=EDGE_LOOP('',(#26169)); #7254=EDGE_LOOP('',(#26170)); #7255=EDGE_LOOP('',(#26171)); #7256=EDGE_LOOP('',(#26172)); #7257=EDGE_LOOP('',(#26173)); #7258=EDGE_LOOP('',(#26174)); #7259=EDGE_LOOP('',(#26175)); #7260=EDGE_LOOP('',(#26176)); #7261=EDGE_LOOP('',(#26177)); #7262=EDGE_LOOP('',(#26178)); #7263=EDGE_LOOP('',(#26179)); #7264=EDGE_LOOP('',(#26180)); #7265=EDGE_LOOP('',(#26181)); #7266=EDGE_LOOP('',(#26182)); #7267=EDGE_LOOP('',(#26183)); #7268=EDGE_LOOP('',(#26184)); #7269=EDGE_LOOP('',(#26185)); #7270=EDGE_LOOP('',(#26186)); #7271=EDGE_LOOP('',(#26187)); #7272=EDGE_LOOP('',(#26188,#26189,#26190,#26191)); #7273=EDGE_LOOP('',(#26192,#26193,#26194,#26195)); #7274=EDGE_LOOP('',(#26196,#26197,#26198,#26199)); #7275=EDGE_LOOP('',(#26200,#26201,#26202,#26203)); #7276=EDGE_LOOP('',(#26204,#26205,#26206,#26207)); #7277=EDGE_LOOP('',(#26208,#26209,#26210,#26211)); #7278=EDGE_LOOP('',(#26212,#26213,#26214,#26215)); #7279=EDGE_LOOP('',(#26216,#26217,#26218,#26219)); #7280=EDGE_LOOP('',(#26220,#26221,#26222,#26223)); #7281=EDGE_LOOP('',(#26224,#26225,#26226,#26227)); #7282=EDGE_LOOP('',(#26228,#26229,#26230,#26231)); #7283=EDGE_LOOP('',(#26232,#26233,#26234,#26235)); #7284=EDGE_LOOP('',(#26236,#26237,#26238,#26239)); #7285=EDGE_LOOP('',(#26240,#26241,#26242,#26243)); #7286=EDGE_LOOP('',(#26244,#26245,#26246,#26247)); #7287=EDGE_LOOP('',(#26248,#26249,#26250,#26251)); #7288=EDGE_LOOP('',(#26252,#26253,#26254,#26255)); #7289=EDGE_LOOP('',(#26256,#26257,#26258,#26259)); #7290=EDGE_LOOP('',(#26260,#26261,#26262,#26263)); #7291=EDGE_LOOP('',(#26264,#26265,#26266,#26267)); #7292=EDGE_LOOP('',(#26268,#26269,#26270,#26271)); #7293=EDGE_LOOP('',(#26272,#26273,#26274,#26275)); #7294=EDGE_LOOP('',(#26276,#26277,#26278,#26279)); #7295=EDGE_LOOP('',(#26280,#26281,#26282,#26283)); #7296=EDGE_LOOP('',(#26284,#26285,#26286,#26287)); #7297=EDGE_LOOP('',(#26288,#26289,#26290,#26291)); #7298=EDGE_LOOP('',(#26292,#26293,#26294,#26295)); #7299=EDGE_LOOP('',(#26296,#26297,#26298,#26299)); #7300=EDGE_LOOP('',(#26300,#26301,#26302,#26303)); #7301=EDGE_LOOP('',(#26304,#26305,#26306,#26307)); #7302=EDGE_LOOP('',(#26308,#26309,#26310,#26311)); #7303=EDGE_LOOP('',(#26312,#26313,#26314,#26315)); #7304=EDGE_LOOP('',(#26316,#26317,#26318,#26319)); #7305=EDGE_LOOP('',(#26320,#26321,#26322,#26323)); #7306=EDGE_LOOP('',(#26324,#26325,#26326,#26327)); #7307=EDGE_LOOP('',(#26328,#26329,#26330,#26331)); #7308=EDGE_LOOP('',(#26332)); #7309=EDGE_LOOP('',(#26333)); #7310=EDGE_LOOP('',(#26334)); #7311=EDGE_LOOP('',(#26335)); #7312=EDGE_LOOP('',(#26336)); #7313=EDGE_LOOP('',(#26337)); #7314=EDGE_LOOP('',(#26338)); #7315=EDGE_LOOP('',(#26339)); #7316=EDGE_LOOP('',(#26340)); #7317=EDGE_LOOP('',(#26341)); #7318=EDGE_LOOP('',(#26342)); #7319=EDGE_LOOP('',(#26343)); #7320=EDGE_LOOP('',(#26344)); #7321=EDGE_LOOP('',(#26345)); #7322=EDGE_LOOP('',(#26346)); #7323=EDGE_LOOP('',(#26347)); #7324=EDGE_LOOP('',(#26348)); #7325=EDGE_LOOP('',(#26349)); #7326=EDGE_LOOP('',(#26350)); #7327=EDGE_LOOP('',(#26351)); #7328=EDGE_LOOP('',(#26352)); #7329=EDGE_LOOP('',(#26353)); #7330=EDGE_LOOP('',(#26354)); #7331=EDGE_LOOP('',(#26355)); #7332=EDGE_LOOP('',(#26356)); #7333=EDGE_LOOP('',(#26357)); #7334=EDGE_LOOP('',(#26358)); #7335=EDGE_LOOP('',(#26359)); #7336=EDGE_LOOP('',(#26360)); #7337=EDGE_LOOP('',(#26361)); #7338=EDGE_LOOP('',(#26362)); #7339=EDGE_LOOP('',(#26363)); #7340=EDGE_LOOP('',(#26364,#26365,#26366,#26367)); #7341=EDGE_LOOP('',(#26368,#26369,#26370,#26371)); #7342=EDGE_LOOP('',(#26372)); #7343=EDGE_LOOP('',(#26373)); #7344=EDGE_LOOP('',(#26374)); #7345=EDGE_LOOP('',(#26375)); #7346=EDGE_LOOP('',(#26376)); #7347=EDGE_LOOP('',(#26377)); #7348=EDGE_LOOP('',(#26378)); #7349=EDGE_LOOP('',(#26379)); #7350=EDGE_LOOP('',(#26380)); #7351=EDGE_LOOP('',(#26381)); #7352=EDGE_LOOP('',(#26382)); #7353=EDGE_LOOP('',(#26383)); #7354=EDGE_LOOP('',(#26384)); #7355=EDGE_LOOP('',(#26385)); #7356=EDGE_LOOP('',(#26386)); #7357=EDGE_LOOP('',(#26387)); #7358=EDGE_LOOP('',(#26388)); #7359=EDGE_LOOP('',(#26389)); #7360=EDGE_LOOP('',(#26390)); #7361=EDGE_LOOP('',(#26391)); #7362=EDGE_LOOP('',(#26392)); #7363=EDGE_LOOP('',(#26393)); #7364=EDGE_LOOP('',(#26394)); #7365=EDGE_LOOP('',(#26395)); #7366=EDGE_LOOP('',(#26396)); #7367=EDGE_LOOP('',(#26397)); #7368=EDGE_LOOP('',(#26398)); #7369=EDGE_LOOP('',(#26399)); #7370=EDGE_LOOP('',(#26400)); #7371=EDGE_LOOP('',(#26401)); #7372=EDGE_LOOP('',(#26402)); #7373=EDGE_LOOP('',(#26403)); #7374=EDGE_LOOP('',(#26404,#26405,#26406,#26407)); #7375=ELLIPSE('',#29247,0.353553390593276,0.25); #7376=ELLIPSE('',#29479,94.0757309544211,93.5603742569483); #7377=ELLIPSE('',#29483,94.0757309544211,93.5603742569482); #7378=ELLIPSE('',#29566,0.353553390593268,0.25); #7379=ELLIPSE('',#29823,416.834298845153,86.0550815662622); #7380=ELLIPSE('',#29825,280.474653989311,86.0550815662622); #7381=ELLIPSE('',#29827,90.4836674706676,86.0550815662622); #7382=ELLIPSE('',#29828,90.4836674706676,86.0550815662622); #7383=ELLIPSE('',#30299,94.0757309544236,93.5603742569475); #7384=ELLIPSE('',#30312,90.4836674706669,86.0550815662616); #7385=ELLIPSE('',#30331,416.834247866506,86.0550815662616); #7386=ELLIPSE('',#30332,453.188440748417,93.5603742569475); #7387=ELLIPSE('',#30334,94.0757309544236,93.5603742569475); #7388=ELLIPSE('',#30336,90.4836674706669,86.0550815662616); #7389=ELLIPSE('',#31426,0.153500526037709,0.1535); #7390=ELLIPSE('',#31427,0.153500526037709,0.1535); #7391=ELLIPSE('',#31428,0.153500526037709,0.1535); #7392=ELLIPSE('',#31438,0.244837297455776,0.1535); #7393=ELLIPSE('',#31752,416.834298636548,86.0550815662623); #7394=ELLIPSE('',#31755,90.4836674737951,86.0550815662623); #7395=ELLIPSE('',#31757,87.9568672127831,86.0550815662622); #7396=ELLIPSE('',#31758,416.834298636545,86.0550815662622); #7397=ELLIPSE('',#31759,87.9568672127831,86.0550815662622); #7398=ELLIPSE('',#31760,416.834298636545,86.0550815662622); #7399=ELLIPSE('',#31843,87.9583966702261,86.0550815662621); #7400=ELLIPSE('',#31846,416.671611637902,86.0550815662621); #7401=ELLIPSE('',#31848,87.9583966702266,86.0550815662621); #7402=ELLIPSE('',#31849,416.671611637829,86.0550815662621); #7403=ELLIPSE('',#31850,416.671611637829,86.0550815662621); #7404=ELLIPSE('',#31851,87.9583966702259,86.0550815662621); #7405=ELLIPSE('',#31852,416.671611637902,86.0550815662621); #7406=ELLIPSE('',#31853,87.9583966702261,86.0550815662621); #7407=ELLIPSE('',#31935,87.9568672088344,86.0550815662622); #7408=ELLIPSE('',#31937,416.834299053755,86.0550815662622); #7409=ELLIPSE('',#31939,90.4836674675403,86.0550815662622); #7410=ELLIPSE('',#31941,416.83429905382,86.0550815662622); #7411=ELLIPSE('',#31944,416.834299053754,86.0550815662622); #7412=ELLIPSE('',#31945,87.9568672088344,86.0550815662622); #7413=ELLIPSE('',#31951,90.483581706823,86.055); #7414=ELLIPSE('',#31952,90.4835817068251,86.055); #7415=ELLIPSE('',#31954,90.7464472628826,86.305); #7416=ELLIPSE('',#31955,90.7464472628847,86.305); #7417=CIRCLE('',#29246,0.25); #7418=CIRCLE('',#29249,0.250000000000003); #7419=CIRCLE('',#29250,0.5); #7420=CIRCLE('',#29251,0.75); #7421=CIRCLE('',#29253,0.249999999999998); #7422=CIRCLE('',#29254,98.25); #7423=CIRCLE('',#29255,98.5); #7424=CIRCLE('',#29257,0.249999999999998); #7425=CIRCLE('',#29258,0.5); #7426=CIRCLE('',#29259,0.75); #7427=CIRCLE('',#29261,0.249999999999999); #7428=CIRCLE('',#29263,0.249999999999999); #7429=CIRCLE('',#29264,0.5); #7430=CIRCLE('',#29265,0.75); #7431=CIRCLE('',#29267,0.249999999999999); #7432=CIRCLE('',#29269,0.25); #7433=CIRCLE('',#29270,0.5); #7434=CIRCLE('',#29271,0.75); #7435=CIRCLE('',#29273,0.250000000000003); #7436=CIRCLE('',#29275,0.250000000000001); #7437=CIRCLE('',#29276,0.5); #7438=CIRCLE('',#29277,0.75); #7439=CIRCLE('',#29279,0.250000000000001); #7440=CIRCLE('',#29281,0.250000000000002); #7441=CIRCLE('',#29282,0.5); #7442=CIRCLE('',#29283,0.75); #7443=CIRCLE('',#29287,0.75); #7444=CIRCLE('',#29290,0.75); #7445=CIRCLE('',#29292,98.5); #7446=CIRCLE('',#29294,0.75); #7447=CIRCLE('',#29298,0.1335); #7448=CIRCLE('',#29300,0.1335); #7449=CIRCLE('',#29302,0.1335); #7450=CIRCLE('',#29304,0.1335); #7451=CIRCLE('',#29306,0.1335); #7452=CIRCLE('',#29308,0.1335); #7453=CIRCLE('',#29310,0.1335); #7454=CIRCLE('',#29312,0.1335); #7455=CIRCLE('',#29314,0.1335); #7456=CIRCLE('',#29316,0.1335); #7457=CIRCLE('',#29318,0.1335); #7458=CIRCLE('',#29320,0.1335); #7459=CIRCLE('',#29322,0.1335); #7460=CIRCLE('',#29324,0.1335); #7461=CIRCLE('',#29326,0.1335); #7462=CIRCLE('',#29328,0.1335); #7463=CIRCLE('',#29330,0.1335); #7464=CIRCLE('',#29332,0.1335); #7465=CIRCLE('',#29334,0.1335); #7466=CIRCLE('',#29336,0.1335); #7467=CIRCLE('',#29338,0.1335); #7468=CIRCLE('',#29340,0.1335); #7469=CIRCLE('',#29342,0.1335); #7470=CIRCLE('',#29344,0.1335); #7471=CIRCLE('',#29346,0.1335); #7472=CIRCLE('',#29347,0.1335); #7473=CIRCLE('',#29350,0.1335); #7474=CIRCLE('',#29352,0.1335); #7475=CIRCLE('',#29354,0.1335); #7476=CIRCLE('',#29356,0.1335); #7477=CIRCLE('',#29358,0.1335); #7478=CIRCLE('',#29360,0.1335); #7479=CIRCLE('',#29362,0.1335); #7480=CIRCLE('',#29364,0.1335); #7481=CIRCLE('',#29366,0.1335); #7482=CIRCLE('',#29368,0.1335); #7483=CIRCLE('',#29370,0.1335); #7484=CIRCLE('',#29372,0.1335); #7485=CIRCLE('',#29374,0.1335); #7486=CIRCLE('',#29376,0.1335); #7487=CIRCLE('',#29378,0.1335); #7488=CIRCLE('',#29380,0.1335); #7489=CIRCLE('',#29382,0.1335); #7490=CIRCLE('',#29384,0.1335); #7491=CIRCLE('',#29386,0.1335); #7492=CIRCLE('',#29388,0.1335); #7493=CIRCLE('',#29390,0.1335); #7494=CIRCLE('',#29392,0.1335); #7495=CIRCLE('',#29394,0.1335); #7496=CIRCLE('',#29396,0.1335); #7497=CIRCLE('',#29398,0.1335); #7498=CIRCLE('',#29400,0.1335); #7499=CIRCLE('',#29402,0.1335); #7500=CIRCLE('',#29404,0.1335); #7501=CIRCLE('',#29406,0.1335); #7502=CIRCLE('',#29408,0.1335); #7503=CIRCLE('',#29410,0.1335); #7504=CIRCLE('',#29412,0.1335); #7505=CIRCLE('',#29414,0.1335); #7506=CIRCLE('',#29416,0.1335); #7507=CIRCLE('',#29418,0.1335); #7508=CIRCLE('',#29420,0.1335); #7509=CIRCLE('',#29422,0.1335); #7510=CIRCLE('',#29424,0.1335); #7511=CIRCLE('',#29426,0.1335); #7512=CIRCLE('',#29428,0.1335); #7513=CIRCLE('',#29430,0.1335); #7514=CIRCLE('',#29432,0.1335); #7515=CIRCLE('',#29434,0.1335); #7516=CIRCLE('',#29436,0.1335); #7517=CIRCLE('',#29438,0.1335); #7518=CIRCLE('',#29440,0.1335); #7519=CIRCLE('',#29442,0.1335); #7520=CIRCLE('',#29444,0.1335); #7521=CIRCLE('',#29446,0.1335); #7522=CIRCLE('',#29448,0.1335); #7523=CIRCLE('',#29450,0.1335); #7524=CIRCLE('',#29452,0.1335); #7525=CIRCLE('',#29454,0.1335); #7526=CIRCLE('',#29456,0.1335); #7527=CIRCLE('',#29458,0.1335); #7528=CIRCLE('',#29460,0.1335); #7529=CIRCLE('',#29462,0.1335); #7530=CIRCLE('',#29464,0.1335); #7531=CIRCLE('',#29466,0.1335); #7532=CIRCLE('',#29468,0.1335); #7533=CIRCLE('',#29470,0.1335); #7534=CIRCLE('',#29472,0.1335); #7535=CIRCLE('',#29484,0.75); #7536=CIRCLE('',#29485,0.75); #7537=CIRCLE('',#29486,0.75); #7538=CIRCLE('',#29497,0.098); #7539=CIRCLE('',#29499,0.098); #7540=CIRCLE('',#29501,0.098); #7541=CIRCLE('',#29503,0.098); #7542=CIRCLE('',#29505,0.098); #7543=CIRCLE('',#29507,0.098); #7544=CIRCLE('',#29509,0.098); #7545=CIRCLE('',#29511,0.098); #7546=CIRCLE('',#29513,0.098); #7547=CIRCLE('',#29515,0.098); #7548=CIRCLE('',#29517,0.098); #7549=CIRCLE('',#29519,0.098); #7550=CIRCLE('',#29521,0.098); #7551=CIRCLE('',#29523,0.098); #7552=CIRCLE('',#29525,0.098); #7553=CIRCLE('',#29527,0.098); #7554=CIRCLE('',#29529,0.098); #7555=CIRCLE('',#29531,0.098); #7556=CIRCLE('',#29533,0.098); #7557=CIRCLE('',#29535,0.098); #7558=CIRCLE('',#29537,0.098); #7559=CIRCLE('',#29539,0.098); #7560=CIRCLE('',#29541,0.098); #7561=CIRCLE('',#29543,0.098); #7562=CIRCLE('',#29545,0.1335); #7563=CIRCLE('',#29546,0.1335); #7564=CIRCLE('',#29547,0.1335); #7565=CIRCLE('',#29549,0.1335); #7566=CIRCLE('',#29551,0.1335); #7567=CIRCLE('',#29553,0.1335); #7568=CIRCLE('',#29555,0.1335); #7569=CIRCLE('',#29557,0.1335); #7570=CIRCLE('',#29559,0.1335); #7571=CIRCLE('',#29561,0.1335); #7572=CIRCLE('',#29563,0.1335); #7573=CIRCLE('',#29565,0.25); #7574=CIRCLE('',#29568,0.25); #7575=CIRCLE('',#29569,0.75); #7576=CIRCLE('',#29570,0.5); #7577=CIRCLE('',#29572,0.25); #7578=CIRCLE('',#29573,98.5); #7579=CIRCLE('',#29574,98.25); #7580=CIRCLE('',#29576,0.25); #7581=CIRCLE('',#29577,0.75); #7582=CIRCLE('',#29578,0.5); #7583=CIRCLE('',#29580,0.25); #7584=CIRCLE('',#29582,0.25); #7585=CIRCLE('',#29583,0.75); #7586=CIRCLE('',#29584,0.5); #7587=CIRCLE('',#29586,0.25); #7588=CIRCLE('',#29588,0.25); #7589=CIRCLE('',#29589,0.75); #7590=CIRCLE('',#29590,0.5); #7591=CIRCLE('',#29592,0.25); #7592=CIRCLE('',#29594,0.25); #7593=CIRCLE('',#29595,0.75); #7594=CIRCLE('',#29596,0.5); #7595=CIRCLE('',#29598,0.25); #7596=CIRCLE('',#29600,0.25); #7597=CIRCLE('',#29601,0.75); #7598=CIRCLE('',#29602,0.5); #7599=CIRCLE('',#29605,0.75); #7600=CIRCLE('',#29608,0.75); #7601=CIRCLE('',#29611,0.75); #7602=CIRCLE('',#29616,0.1335); #7603=CIRCLE('',#29618,0.1335); #7604=CIRCLE('',#29620,0.1335); #7605=CIRCLE('',#29622,0.1335); #7606=CIRCLE('',#29624,0.1335); #7607=CIRCLE('',#29626,0.1335); #7608=CIRCLE('',#29628,0.1335); #7609=CIRCLE('',#29630,0.1335); #7610=CIRCLE('',#29633,0.1335); #7611=CIRCLE('',#29635,0.1335); #7612=CIRCLE('',#29637,0.1335); #7613=CIRCLE('',#29639,0.1335); #7614=CIRCLE('',#29641,0.1335); #7615=CIRCLE('',#29643,0.1335); #7616=CIRCLE('',#29645,0.1335); #7617=CIRCLE('',#29647,0.1335); #7618=CIRCLE('',#29649,0.1335); #7619=CIRCLE('',#29651,0.1335); #7620=CIRCLE('',#29653,0.1335); #7621=CIRCLE('',#29655,0.1335); #7622=CIRCLE('',#29657,0.1335); #7623=CIRCLE('',#29659,0.1335); #7624=CIRCLE('',#29661,0.1335); #7625=CIRCLE('',#29663,0.1335); #7626=CIRCLE('',#29665,0.1335); #7627=CIRCLE('',#29667,0.1335); #7628=CIRCLE('',#29669,0.1335); #7629=CIRCLE('',#29671,0.1335); #7630=CIRCLE('',#29673,0.1335); #7631=CIRCLE('',#29675,0.1335); #7632=CIRCLE('',#29677,0.1335); #7633=CIRCLE('',#29679,0.1335); #7634=CIRCLE('',#29681,0.1335); #7635=CIRCLE('',#29683,0.1335); #7636=CIRCLE('',#29685,0.1335); #7637=CIRCLE('',#29687,0.1335); #7638=CIRCLE('',#29689,0.1335); #7639=CIRCLE('',#29691,0.1335); #7640=CIRCLE('',#29693,0.1335); #7641=CIRCLE('',#29695,0.1335); #7642=CIRCLE('',#29697,0.1335); #7643=CIRCLE('',#29699,0.1335); #7644=CIRCLE('',#29701,0.1335); #7645=CIRCLE('',#29703,0.1335); #7646=CIRCLE('',#29705,0.1335); #7647=CIRCLE('',#29707,0.1335); #7648=CIRCLE('',#29709,0.1335); #7649=CIRCLE('',#29711,0.1335); #7650=CIRCLE('',#29713,0.1335); #7651=CIRCLE('',#29715,0.1335); #7652=CIRCLE('',#29717,0.1335); #7653=CIRCLE('',#29719,0.1335); #7654=CIRCLE('',#29721,0.1335); #7655=CIRCLE('',#29723,0.1335); #7656=CIRCLE('',#29725,0.1335); #7657=CIRCLE('',#29727,0.1335); #7658=CIRCLE('',#29729,0.1335); #7659=CIRCLE('',#29731,0.1335); #7660=CIRCLE('',#29733,0.1335); #7661=CIRCLE('',#29735,0.1335); #7662=CIRCLE('',#29737,0.1335); #7663=CIRCLE('',#29739,0.1335); #7664=CIRCLE('',#29741,0.1335); #7665=CIRCLE('',#29743,0.1335); #7666=CIRCLE('',#29745,0.1335); #7667=CIRCLE('',#29747,0.1335); #7668=CIRCLE('',#29749,0.1335); #7669=CIRCLE('',#29751,0.1335); #7670=CIRCLE('',#29753,0.1335); #7671=CIRCLE('',#29755,0.1335); #7672=CIRCLE('',#29757,0.1335); #7673=CIRCLE('',#29759,0.1335); #7674=CIRCLE('',#29761,0.1335); #7675=CIRCLE('',#29763,0.1335); #7676=CIRCLE('',#29765,0.1335); #7677=CIRCLE('',#29767,0.1335); #7678=CIRCLE('',#29769,0.1335); #7679=CIRCLE('',#29771,0.1335); #7680=CIRCLE('',#29773,0.1335); #7681=CIRCLE('',#29775,0.1335); #7682=CIRCLE('',#29777,0.1335); #7683=CIRCLE('',#29779,0.1335); #7684=CIRCLE('',#29781,0.1335); #7685=CIRCLE('',#29783,0.1335); #7686=CIRCLE('',#29785,0.1335); #7687=CIRCLE('',#29787,0.1335); #7688=CIRCLE('',#29789,0.1335); #7689=CIRCLE('',#29791,0.1335); #7690=CIRCLE('',#29793,0.1335); #7691=CIRCLE('',#29795,0.1335); #7692=CIRCLE('',#29797,0.1335); #7693=CIRCLE('',#29799,0.1335); #7694=CIRCLE('',#29801,0.1335); #7695=CIRCLE('',#29803,0.1335); #7696=CIRCLE('',#29805,0.1335); #7697=CIRCLE('',#29807,0.1335); #7698=CIRCLE('',#29809,0.1335); #7699=CIRCLE('',#29811,0.1335); #7700=CIRCLE('',#29813,0.1335); #7701=CIRCLE('',#29815,0.1335); #7702=CIRCLE('',#29817,0.1335); #7703=CIRCLE('',#29819,0.1335); #7704=CIRCLE('',#29834,0.75); #7705=CIRCLE('',#29835,98.5); #7706=CIRCLE('',#29836,0.75); #7707=CIRCLE('',#29837,0.75); #7708=CIRCLE('',#29848,0.1335); #7709=CIRCLE('',#29849,0.1335); #7710=CIRCLE('',#29850,0.1335); #7711=CIRCLE('',#29851,0.1335); #7712=CIRCLE('',#29852,0.1335); #7713=CIRCLE('',#29853,0.1335); #7714=CIRCLE('',#29854,0.1335); #7715=CIRCLE('',#29855,0.1335); #7716=CIRCLE('',#29857,0.1335); #7717=CIRCLE('',#29859,0.1335); #7718=CIRCLE('',#29861,0.1335); #7719=CIRCLE('',#29863,0.1335); #7720=CIRCLE('',#29865,0.1335); #7721=CIRCLE('',#29867,0.1335); #7722=CIRCLE('',#29869,0.1335); #7723=CIRCLE('',#29871,0.1335); #7724=CIRCLE('',#29873,0.1335); #7725=CIRCLE('',#29875,0.1335); #7726=CIRCLE('',#29877,0.1335); #7727=CIRCLE('',#29879,0.1335); #7728=CIRCLE('',#29881,0.1335); #7729=CIRCLE('',#29883,0.1335); #7730=CIRCLE('',#29885,0.1335); #7731=CIRCLE('',#29887,0.1335); #7732=CIRCLE('',#29889,0.1335); #7733=CIRCLE('',#29890,0.1335); #7734=CIRCLE('',#29893,0.1335); #7735=CIRCLE('',#29895,0.1335); #7736=CIRCLE('',#29897,0.1335); #7737=CIRCLE('',#29899,0.1335); #7738=CIRCLE('',#29901,0.1335); #7739=CIRCLE('',#29903,0.1335); #7740=CIRCLE('',#29905,0.1335); #7741=CIRCLE('',#29907,0.1335); #7742=CIRCLE('',#29909,0.1335); #7743=CIRCLE('',#29911,0.1335); #7744=CIRCLE('',#29912,0.1335); #7745=CIRCLE('',#29914,0.1335); #7746=CIRCLE('',#29916,0.1335); #7747=CIRCLE('',#29918,0.1335); #7748=CIRCLE('',#29920,0.1335); #7749=CIRCLE('',#29922,0.1335); #7750=CIRCLE('',#29924,0.1335); #7751=CIRCLE('',#29926,0.1335); #7752=CIRCLE('',#29928,0.1335); #7753=CIRCLE('',#29930,0.1335); #7754=CIRCLE('',#29932,0.098); #7755=CIRCLE('',#29934,0.098); #7756=CIRCLE('',#29936,0.098); #7757=CIRCLE('',#29938,0.098); #7758=CIRCLE('',#29940,0.098); #7759=CIRCLE('',#29942,0.098); #7760=CIRCLE('',#29944,0.098); #7761=CIRCLE('',#29946,0.098); #7762=CIRCLE('',#29948,0.098); #7763=CIRCLE('',#29950,0.098); #7764=CIRCLE('',#29952,0.098); #7765=CIRCLE('',#29954,0.098); #7766=CIRCLE('',#29956,0.098); #7767=CIRCLE('',#29958,0.098); #7768=CIRCLE('',#29960,0.098); #7769=CIRCLE('',#29962,0.098); #7770=CIRCLE('',#29964,0.098); #7771=CIRCLE('',#29966,0.098); #7772=CIRCLE('',#29968,0.098); #7773=CIRCLE('',#29970,0.098); #7774=CIRCLE('',#29972,0.098); #7775=CIRCLE('',#29974,0.098); #7776=CIRCLE('',#29976,0.098); #7777=CIRCLE('',#29978,0.098); #7778=CIRCLE('',#29980,0.1335); #7779=CIRCLE('',#29982,0.1335); #7780=CIRCLE('',#29984,0.1335); #7781=CIRCLE('',#29986,0.1335); #7782=CIRCLE('',#29988,0.1335); #7783=CIRCLE('',#29990,0.1335); #7784=CIRCLE('',#29992,0.1335); #7785=CIRCLE('',#29994,0.1335); #7786=CIRCLE('',#29996,0.1335); #7787=CIRCLE('',#29998,0.1335); #7788=CIRCLE('',#30000,0.1335); #7789=CIRCLE('',#30002,0.1335); #7790=CIRCLE('',#30004,0.1335); #7791=CIRCLE('',#30006,0.1335); #7792=CIRCLE('',#30008,0.1335); #7793=CIRCLE('',#30010,0.1335); #7794=CIRCLE('',#30012,0.1335); #7795=CIRCLE('',#30014,0.1335); #7796=CIRCLE('',#30016,0.1335); #7797=CIRCLE('',#30018,0.1335); #7798=CIRCLE('',#30020,0.1335); #7799=CIRCLE('',#30022,0.1335); #7800=CIRCLE('',#30024,0.1335); #7801=CIRCLE('',#30026,0.1335); #7802=CIRCLE('',#30028,0.1335); #7803=CIRCLE('',#30030,0.1335); #7804=CIRCLE('',#30032,0.1335); #7805=CIRCLE('',#30034,0.1335); #7806=CIRCLE('',#30036,0.1335); #7807=CIRCLE('',#30038,0.1335); #7808=CIRCLE('',#30040,0.1335); #7809=CIRCLE('',#30042,0.1335); #7810=CIRCLE('',#30044,0.1335); #7811=CIRCLE('',#30046,0.1335); #7812=CIRCLE('',#30048,0.1335); #7813=CIRCLE('',#30050,0.1335); #7814=CIRCLE('',#30052,0.1335); #7815=CIRCLE('',#30054,0.1335); #7816=CIRCLE('',#30056,0.1335); #7817=CIRCLE('',#30058,0.1335); #7818=CIRCLE('',#30060,0.1335); #7819=CIRCLE('',#30062,0.1335); #7820=CIRCLE('',#30064,0.1335); #7821=CIRCLE('',#30066,0.1335); #7822=CIRCLE('',#30068,0.1335); #7823=CIRCLE('',#30070,0.1335); #7824=CIRCLE('',#30072,0.1335); #7825=CIRCLE('',#30074,0.1335); #7826=CIRCLE('',#30076,0.1335); #7827=CIRCLE('',#30078,0.1335); #7828=CIRCLE('',#30080,0.1335); #7829=CIRCLE('',#30082,0.1335); #7830=CIRCLE('',#30084,0.1335); #7831=CIRCLE('',#30086,0.1335); #7832=CIRCLE('',#30088,0.1335); #7833=CIRCLE('',#30090,0.1335); #7834=CIRCLE('',#30092,0.1335); #7835=CIRCLE('',#30094,0.1335); #7836=CIRCLE('',#30096,0.1335); #7837=CIRCLE('',#30098,0.1335); #7838=CIRCLE('',#30100,0.1335); #7839=CIRCLE('',#30102,0.1335); #7840=CIRCLE('',#30104,0.1335); #7841=CIRCLE('',#30106,0.1335); #7842=CIRCLE('',#30108,0.1335); #7843=CIRCLE('',#30110,0.1335); #7844=CIRCLE('',#30112,0.1335); #7845=CIRCLE('',#30114,0.1335); #7846=CIRCLE('',#30116,0.1335); #7847=CIRCLE('',#30118,0.1335); #7848=CIRCLE('',#30120,0.1335); #7849=CIRCLE('',#30122,0.1335); #7850=CIRCLE('',#30124,0.1335); #7851=CIRCLE('',#30126,0.1335); #7852=CIRCLE('',#30128,0.1335); #7853=CIRCLE('',#30130,0.1335); #7854=CIRCLE('',#30132,0.1335); #7855=CIRCLE('',#30134,0.1335); #7856=CIRCLE('',#30136,0.1335); #7857=CIRCLE('',#30138,0.1335); #7858=CIRCLE('',#30140,0.1335); #7859=CIRCLE('',#30142,0.1335); #7860=CIRCLE('',#30144,0.1335); #7861=CIRCLE('',#30146,0.1335); #7862=CIRCLE('',#30148,0.1335); #7863=CIRCLE('',#30150,0.1335); #7864=CIRCLE('',#30152,0.1335); #7865=CIRCLE('',#30154,0.1335); #7866=CIRCLE('',#30156,0.1335); #7867=CIRCLE('',#30158,0.1335); #7868=CIRCLE('',#30160,0.1335); #7869=CIRCLE('',#30162,0.1335); #7870=CIRCLE('',#30164,0.1335); #7871=CIRCLE('',#30166,0.1335); #7872=CIRCLE('',#30168,0.1335); #7873=CIRCLE('',#30170,0.1335); #7874=CIRCLE('',#30172,0.1335); #7875=CIRCLE('',#30174,0.1335); #7876=CIRCLE('',#30176,0.1335); #7877=CIRCLE('',#30178,0.1335); #7878=CIRCLE('',#30180,0.1335); #7879=CIRCLE('',#30182,0.1335); #7880=CIRCLE('',#30184,0.1335); #7881=CIRCLE('',#30186,0.1335); #7882=CIRCLE('',#30188,0.1335); #7883=CIRCLE('',#30190,0.1335); #7884=CIRCLE('',#30192,0.1335); #7885=CIRCLE('',#30194,0.1335); #7886=CIRCLE('',#30196,0.1335); #7887=CIRCLE('',#30198,0.1335); #7888=CIRCLE('',#30200,0.1335); #7889=CIRCLE('',#30202,0.1335); #7890=CIRCLE('',#30204,0.1335); #7891=CIRCLE('',#30206,0.1335); #7892=CIRCLE('',#30208,0.1335); #7893=CIRCLE('',#30210,0.1335); #7894=CIRCLE('',#30212,0.1335); #7895=CIRCLE('',#30215,0.1335); #7896=CIRCLE('',#30218,0.1335); #7897=CIRCLE('',#30221,0.1335); #7898=CIRCLE('',#30224,0.1335); #7899=CIRCLE('',#30227,0.1335); #7900=CIRCLE('',#30230,0.1335); #7901=CIRCLE('',#30233,0.1335); #7902=CIRCLE('',#30236,0.1335); #7903=CIRCLE('',#30238,0.1335); #7904=CIRCLE('',#30240,0.1335); #7905=CIRCLE('',#30242,0.1335); #7906=CIRCLE('',#30244,0.1335); #7907=CIRCLE('',#30246,0.1335); #7908=CIRCLE('',#30248,0.1335); #7909=CIRCLE('',#30250,0.1335); #7910=CIRCLE('',#30252,0.1335); #7911=CIRCLE('',#30254,0.1335); #7912=CIRCLE('',#30256,0.1335); #7913=CIRCLE('',#30258,0.1335); #7914=CIRCLE('',#30260,0.1335); #7915=CIRCLE('',#30262,0.1335); #7916=CIRCLE('',#30264,0.1335); #7917=CIRCLE('',#30266,0.1335); #7918=CIRCLE('',#30268,0.1335); #7919=CIRCLE('',#30270,0.1335); #7920=CIRCLE('',#30272,0.1335); #7921=CIRCLE('',#30274,0.1335); #7922=CIRCLE('',#30276,0.1335); #7923=CIRCLE('',#30278,0.1335); #7924=CIRCLE('',#30280,0.1335); #7925=CIRCLE('',#30282,0.1335); #7926=CIRCLE('',#30284,0.1335); #7927=CIRCLE('',#30286,0.1335); #7928=CIRCLE('',#30288,0.1335); #7929=CIRCLE('',#30290,0.1335); #7930=CIRCLE('',#30292,0.1335); #7931=CIRCLE('',#30294,0.1335); #7932=CIRCLE('',#30296,0.1335); #7933=CIRCLE('',#30300,5.); #7934=CIRCLE('',#30301,5.); #7935=CIRCLE('',#30302,98.5); #7936=CIRCLE('',#30303,5.); #7937=CIRCLE('',#30304,2.5); #7938=CIRCLE('',#30305,2.5); #7939=CIRCLE('',#30306,2.5); #7940=CIRCLE('',#30313,5.); #7941=CIRCLE('',#30314,2.5); #7942=CIRCLE('',#30315,2.5); #7943=CIRCLE('',#30316,2.5); #7944=CIRCLE('',#30317,5.); #7945=CIRCLE('',#30318,98.5); #7946=CIRCLE('',#30319,5.); #7947=CIRCLE('',#30344,0.166); #7948=CIRCLE('',#30345,0.166); #7949=CIRCLE('',#30347,0.166); #7950=CIRCLE('',#30348,0.166); #7951=CIRCLE('',#30350,0.166); #7952=CIRCLE('',#30351,0.166); #7953=CIRCLE('',#30353,0.166); #7954=CIRCLE('',#30354,0.166); #7955=CIRCLE('',#30356,0.166); #7956=CIRCLE('',#30357,0.166); #7957=CIRCLE('',#30359,0.166); #7958=CIRCLE('',#30360,0.166); #7959=CIRCLE('',#30362,0.166); #7960=CIRCLE('',#30363,0.166); #7961=CIRCLE('',#30365,0.166); #7962=CIRCLE('',#30366,0.166); #7963=CIRCLE('',#30368,0.166); #7964=CIRCLE('',#30369,0.166); #7965=CIRCLE('',#30371,0.166); #7966=CIRCLE('',#30372,0.166); #7967=CIRCLE('',#30374,0.166); #7968=CIRCLE('',#30375,0.166); #7969=CIRCLE('',#30377,0.166); #7970=CIRCLE('',#30378,0.166); #7971=CIRCLE('',#30380,0.166); #7972=CIRCLE('',#30381,0.166); #7973=CIRCLE('',#30383,0.166); #7974=CIRCLE('',#30384,0.166); #7975=CIRCLE('',#30386,0.166); #7976=CIRCLE('',#30387,0.166); #7977=CIRCLE('',#30389,0.166); #7978=CIRCLE('',#30390,0.166); #7979=CIRCLE('',#30392,0.166); #7980=CIRCLE('',#30393,0.166); #7981=CIRCLE('',#30395,0.166); #7982=CIRCLE('',#30396,0.166); #7983=CIRCLE('',#30398,0.166); #7984=CIRCLE('',#30399,0.166); #7985=CIRCLE('',#30401,0.166); #7986=CIRCLE('',#30402,0.166); #7987=CIRCLE('',#30404,0.166); #7988=CIRCLE('',#30405,0.166); #7989=CIRCLE('',#30407,0.166); #7990=CIRCLE('',#30408,0.166); #7991=CIRCLE('',#30410,0.166); #7992=CIRCLE('',#30411,0.166); #7993=CIRCLE('',#30413,0.166); #7994=CIRCLE('',#30414,0.166); #7995=CIRCLE('',#30416,0.166); #7996=CIRCLE('',#30417,0.166); #7997=CIRCLE('',#30419,0.166); #7998=CIRCLE('',#30420,0.166); #7999=CIRCLE('',#30422,0.166); #8000=CIRCLE('',#30423,0.166); #8001=CIRCLE('',#30425,0.166); #8002=CIRCLE('',#30426,0.166); #8003=CIRCLE('',#30428,0.166); #8004=CIRCLE('',#30429,0.166); #8005=CIRCLE('',#30431,0.166); #8006=CIRCLE('',#30432,0.166); #8007=CIRCLE('',#30434,0.166); #8008=CIRCLE('',#30435,0.166); #8009=CIRCLE('',#30437,0.166); #8010=CIRCLE('',#30438,0.166); #8011=CIRCLE('',#30440,0.166); #8012=CIRCLE('',#30441,0.166); #8013=CIRCLE('',#30443,0.166); #8014=CIRCLE('',#30444,0.166); #8015=CIRCLE('',#30446,0.166); #8016=CIRCLE('',#30447,0.166); #8017=CIRCLE('',#30449,0.166); #8018=CIRCLE('',#30450,0.166); #8019=CIRCLE('',#30452,0.166); #8020=CIRCLE('',#30453,0.166); #8021=CIRCLE('',#30455,0.166); #8022=CIRCLE('',#30456,0.166); #8023=CIRCLE('',#30458,0.166); #8024=CIRCLE('',#30459,0.166); #8025=CIRCLE('',#30461,0.166); #8026=CIRCLE('',#30462,0.166); #8027=CIRCLE('',#30464,0.166); #8028=CIRCLE('',#30465,0.166); #8029=CIRCLE('',#30467,0.166); #8030=CIRCLE('',#30468,0.166); #8031=CIRCLE('',#30470,0.166); #8032=CIRCLE('',#30471,0.166); #8033=CIRCLE('',#30473,0.166); #8034=CIRCLE('',#30474,0.166); #8035=CIRCLE('',#30476,0.166); #8036=CIRCLE('',#30477,0.166); #8037=CIRCLE('',#30479,0.166); #8038=CIRCLE('',#30480,0.166); #8039=CIRCLE('',#30482,0.166); #8040=CIRCLE('',#30483,0.166); #8041=CIRCLE('',#30485,0.166); #8042=CIRCLE('',#30486,0.166); #8043=CIRCLE('',#30488,0.166); #8044=CIRCLE('',#30489,0.166); #8045=CIRCLE('',#30491,0.166); #8046=CIRCLE('',#30492,0.166); #8047=CIRCLE('',#30494,0.166); #8048=CIRCLE('',#30495,0.166); #8049=CIRCLE('',#30497,0.166); #8050=CIRCLE('',#30498,0.166); #8051=CIRCLE('',#30500,0.166); #8052=CIRCLE('',#30501,0.166); #8053=CIRCLE('',#30503,0.166); #8054=CIRCLE('',#30504,0.166); #8055=CIRCLE('',#30506,0.166); #8056=CIRCLE('',#30507,0.166); #8057=CIRCLE('',#30509,0.166); #8058=CIRCLE('',#30510,0.166); #8059=CIRCLE('',#30512,0.166); #8060=CIRCLE('',#30513,0.166); #8061=CIRCLE('',#30515,0.166); #8062=CIRCLE('',#30516,0.166); #8063=CIRCLE('',#30518,0.166); #8064=CIRCLE('',#30519,0.166); #8065=CIRCLE('',#30521,0.166); #8066=CIRCLE('',#30522,0.166); #8067=CIRCLE('',#30524,0.166); #8068=CIRCLE('',#30525,0.166); #8069=CIRCLE('',#30527,0.166); #8070=CIRCLE('',#30528,0.166); #8071=CIRCLE('',#30530,0.166); #8072=CIRCLE('',#30531,0.166); #8073=CIRCLE('',#30533,0.166); #8074=CIRCLE('',#30534,0.166); #8075=CIRCLE('',#30536,0.166); #8076=CIRCLE('',#30537,0.166); #8077=CIRCLE('',#30539,0.166); #8078=CIRCLE('',#30540,0.166); #8079=CIRCLE('',#30542,0.166); #8080=CIRCLE('',#30543,0.166); #8081=CIRCLE('',#30545,0.166); #8082=CIRCLE('',#30546,0.166); #8083=CIRCLE('',#30548,0.166); #8084=CIRCLE('',#30549,0.166); #8085=CIRCLE('',#30551,0.166); #8086=CIRCLE('',#30552,0.166); #8087=CIRCLE('',#30554,0.166); #8088=CIRCLE('',#30555,0.166); #8089=CIRCLE('',#30557,0.166); #8090=CIRCLE('',#30558,0.166); #8091=CIRCLE('',#30560,0.166); #8092=CIRCLE('',#30561,0.166); #8093=CIRCLE('',#30563,0.166); #8094=CIRCLE('',#30564,0.166); #8095=CIRCLE('',#30566,0.166); #8096=CIRCLE('',#30567,0.166); #8097=CIRCLE('',#30569,0.166); #8098=CIRCLE('',#30570,0.166); #8099=CIRCLE('',#30572,0.166); #8100=CIRCLE('',#30573,0.166); #8101=CIRCLE('',#30575,0.166); #8102=CIRCLE('',#30576,0.166); #8103=CIRCLE('',#30578,0.166); #8104=CIRCLE('',#30579,0.166); #8105=CIRCLE('',#30581,0.166); #8106=CIRCLE('',#30582,0.166); #8107=CIRCLE('',#30584,0.166); #8108=CIRCLE('',#30585,0.166); #8109=CIRCLE('',#30587,0.166); #8110=CIRCLE('',#30588,0.166); #8111=CIRCLE('',#30590,0.166); #8112=CIRCLE('',#30591,0.166); #8113=CIRCLE('',#30593,0.166); #8114=CIRCLE('',#30594,0.166); #8115=CIRCLE('',#30596,0.166); #8116=CIRCLE('',#30597,0.166); #8117=CIRCLE('',#30599,0.166); #8118=CIRCLE('',#30600,0.166); #8119=CIRCLE('',#30602,0.166); #8120=CIRCLE('',#30603,0.166); #8121=CIRCLE('',#30605,0.166); #8122=CIRCLE('',#30606,0.166); #8123=CIRCLE('',#30608,0.166); #8124=CIRCLE('',#30609,0.166); #8125=CIRCLE('',#30611,0.166); #8126=CIRCLE('',#30612,0.166); #8127=CIRCLE('',#30614,0.166); #8128=CIRCLE('',#30615,0.166); #8129=CIRCLE('',#30617,0.166); #8130=CIRCLE('',#30618,0.166); #8131=CIRCLE('',#30620,0.166); #8132=CIRCLE('',#30621,0.166); #8133=CIRCLE('',#30623,0.166); #8134=CIRCLE('',#30624,0.166); #8135=CIRCLE('',#30626,0.166); #8136=CIRCLE('',#30627,0.166); #8137=CIRCLE('',#30629,0.166); #8138=CIRCLE('',#30630,0.166); #8139=CIRCLE('',#30632,30.7847734880976); #8140=CIRCLE('',#30633,30.7847734880976); #8141=CIRCLE('',#30636,71.882); #8142=CIRCLE('',#30637,71.882); #8143=CIRCLE('',#30643,0.166); #8144=CIRCLE('',#30644,0.166); #8145=CIRCLE('',#30646,0.166); #8146=CIRCLE('',#30647,0.166); #8147=CIRCLE('',#30649,0.166); #8148=CIRCLE('',#30650,0.166); #8149=CIRCLE('',#30652,0.166); #8150=CIRCLE('',#30653,0.166); #8151=CIRCLE('',#30655,0.219); #8152=CIRCLE('',#30656,0.133); #8153=CIRCLE('',#30658,0.219); #8154=CIRCLE('',#30660,0.133); #8155=CIRCLE('',#30662,0.219); #8156=CIRCLE('',#30663,0.133); #8157=CIRCLE('',#30665,0.219); #8158=CIRCLE('',#30667,0.133); #8159=CIRCLE('',#30669,0.133); #8160=CIRCLE('',#30670,0.133); #8161=CIRCLE('',#30672,0.219); #8162=CIRCLE('',#30673,0.219); #8163=CIRCLE('',#30676,0.219); #8164=CIRCLE('',#30677,0.133); #8165=CIRCLE('',#30679,0.219); #8166=CIRCLE('',#30681,0.133); #8167=CIRCLE('',#30683,0.133); #8168=CIRCLE('',#30684,0.133); #8169=CIRCLE('',#30686,0.219); #8170=CIRCLE('',#30687,0.219); #8171=CIRCLE('',#30690,0.219); #8172=CIRCLE('',#30691,0.133); #8173=CIRCLE('',#30693,0.219); #8174=CIRCLE('',#30695,0.133); #8175=CIRCLE('',#30697,0.133); #8176=CIRCLE('',#30698,0.133); #8177=CIRCLE('',#30700,0.219); #8178=CIRCLE('',#30701,0.219); #8179=CIRCLE('',#30704,0.219); #8180=CIRCLE('',#30705,0.133); #8181=CIRCLE('',#30707,0.219); #8182=CIRCLE('',#30709,0.133); #8183=CIRCLE('',#30711,0.133); #8184=CIRCLE('',#30712,0.133); #8185=CIRCLE('',#30714,0.219); #8186=CIRCLE('',#30715,0.219); #8187=CIRCLE('',#30718,0.219); #8188=CIRCLE('',#30719,0.133); #8189=CIRCLE('',#30721,0.219); #8190=CIRCLE('',#30723,0.133); #8191=CIRCLE('',#30725,0.133); #8192=CIRCLE('',#30726,0.133); #8193=CIRCLE('',#30728,0.219); #8194=CIRCLE('',#30729,0.219); #8195=CIRCLE('',#30732,0.219); #8196=CIRCLE('',#30733,0.133); #8197=CIRCLE('',#30735,0.219); #8198=CIRCLE('',#30737,0.133); #8199=CIRCLE('',#30739,0.133); #8200=CIRCLE('',#30740,0.133); #8201=CIRCLE('',#30742,0.219); #8202=CIRCLE('',#30743,0.219); #8203=CIRCLE('',#30746,0.219); #8204=CIRCLE('',#30747,0.133); #8205=CIRCLE('',#30749,0.219); #8206=CIRCLE('',#30751,0.133); #8207=CIRCLE('',#30753,0.133); #8208=CIRCLE('',#30754,0.133); #8209=CIRCLE('',#30756,0.219); #8210=CIRCLE('',#30757,0.219); #8211=CIRCLE('',#30760,0.219); #8212=CIRCLE('',#30761,0.133); #8213=CIRCLE('',#30763,0.219); #8214=CIRCLE('',#30765,0.133); #8215=CIRCLE('',#30767,0.219); #8216=CIRCLE('',#30768,0.133); #8217=CIRCLE('',#30770,0.219); #8218=CIRCLE('',#30772,0.133); #8219=CIRCLE('',#30774,0.219); #8220=CIRCLE('',#30775,0.133); #8221=CIRCLE('',#30777,0.219); #8222=CIRCLE('',#30779,0.133); #8223=CIRCLE('',#30781,0.219); #8224=CIRCLE('',#30782,0.133); #8225=CIRCLE('',#30784,0.219); #8226=CIRCLE('',#30786,0.133); #8227=CIRCLE('',#30788,0.219); #8228=CIRCLE('',#30789,0.133); #8229=CIRCLE('',#30791,0.219); #8230=CIRCLE('',#30793,0.133); #8231=CIRCLE('',#30795,0.219); #8232=CIRCLE('',#30796,0.133); #8233=CIRCLE('',#30798,0.219); #8234=CIRCLE('',#30800,0.133); #8235=CIRCLE('',#30802,0.219); #8236=CIRCLE('',#30803,0.133); #8237=CIRCLE('',#30805,0.219); #8238=CIRCLE('',#30807,0.133); #8239=CIRCLE('',#30809,0.219); #8240=CIRCLE('',#30810,0.133); #8241=CIRCLE('',#30812,0.219); #8242=CIRCLE('',#30814,0.133); #8243=CIRCLE('',#30816,0.219); #8244=CIRCLE('',#30817,0.133); #8245=CIRCLE('',#30819,0.219); #8246=CIRCLE('',#30821,0.133); #8247=CIRCLE('',#30823,0.219); #8248=CIRCLE('',#30824,0.133); #8249=CIRCLE('',#30826,0.219); #8250=CIRCLE('',#30828,0.133); #8251=CIRCLE('',#30830,0.219); #8252=CIRCLE('',#30831,0.133); #8253=CIRCLE('',#30833,0.219); #8254=CIRCLE('',#30835,0.133); #8255=CIRCLE('',#30837,0.219); #8256=CIRCLE('',#30838,0.133); #8257=CIRCLE('',#30840,0.219); #8258=CIRCLE('',#30842,0.133); #8259=CIRCLE('',#30844,0.219); #8260=CIRCLE('',#30845,0.133); #8261=CIRCLE('',#30847,0.219); #8262=CIRCLE('',#30849,0.133); #8263=CIRCLE('',#30851,0.219); #8264=CIRCLE('',#30852,0.133); #8265=CIRCLE('',#30854,0.219); #8266=CIRCLE('',#30856,0.133); #8267=CIRCLE('',#30858,0.219); #8268=CIRCLE('',#30859,0.133); #8269=CIRCLE('',#30861,0.219); #8270=CIRCLE('',#30863,0.133); #8271=CIRCLE('',#30865,0.166); #8272=CIRCLE('',#30866,0.166); #8273=CIRCLE('',#30868,0.166); #8274=CIRCLE('',#30869,0.166); #8275=CIRCLE('',#30871,0.1335); #8276=CIRCLE('',#30873,0.1335); #8277=CIRCLE('',#30875,0.1335); #8278=CIRCLE('',#30877,0.1335); #8279=CIRCLE('',#30879,0.1335); #8280=CIRCLE('',#30881,0.1335); #8281=CIRCLE('',#30883,0.1335); #8282=CIRCLE('',#30885,0.1335); #8283=CIRCLE('',#30887,0.1335); #8284=CIRCLE('',#30889,0.1335); #8285=CIRCLE('',#30891,0.1335); #8286=CIRCLE('',#30893,0.1335); #8287=CIRCLE('',#30895,0.1335); #8288=CIRCLE('',#30897,0.1335); #8289=CIRCLE('',#30899,0.1335); #8290=CIRCLE('',#30901,0.1335); #8291=CIRCLE('',#30903,0.1335); #8292=CIRCLE('',#30905,0.1335); #8293=CIRCLE('',#30907,0.1335); #8294=CIRCLE('',#30909,0.1335); #8295=CIRCLE('',#30911,0.1335); #8296=CIRCLE('',#30913,0.1335); #8297=CIRCLE('',#30915,0.1335); #8298=CIRCLE('',#30917,0.1335); #8299=CIRCLE('',#30919,0.1335); #8300=CIRCLE('',#30921,0.1335); #8301=CIRCLE('',#30923,0.1335); #8302=CIRCLE('',#30925,0.1335); #8303=CIRCLE('',#30927,0.1335); #8304=CIRCLE('',#30929,0.1335); #8305=CIRCLE('',#30931,0.1335); #8306=CIRCLE('',#30933,0.1335); #8307=CIRCLE('',#30935,0.1335); #8308=CIRCLE('',#30937,0.1335); #8309=CIRCLE('',#30939,0.1335); #8310=CIRCLE('',#30941,0.1335); #8311=CIRCLE('',#30943,0.1335); #8312=CIRCLE('',#30945,0.1335); #8313=CIRCLE('',#30947,0.1335); #8314=CIRCLE('',#30949,0.1335); #8315=CIRCLE('',#30951,0.1335); #8316=CIRCLE('',#30953,0.1335); #8317=CIRCLE('',#30955,0.166); #8318=CIRCLE('',#30956,0.166); #8319=CIRCLE('',#30958,0.166); #8320=CIRCLE('',#30959,0.166); #8321=CIRCLE('',#30961,0.166); #8322=CIRCLE('',#30962,0.166); #8323=CIRCLE('',#30964,0.166); #8324=CIRCLE('',#30965,0.166); #8325=CIRCLE('',#30967,0.166); #8326=CIRCLE('',#30968,0.166); #8327=CIRCLE('',#30970,0.166); #8328=CIRCLE('',#30971,0.166); #8329=CIRCLE('',#30973,0.166); #8330=CIRCLE('',#30974,0.166); #8331=CIRCLE('',#30976,0.166); #8332=CIRCLE('',#30977,0.166); #8333=CIRCLE('',#30979,0.166); #8334=CIRCLE('',#30980,0.166); #8335=CIRCLE('',#30982,0.166); #8336=CIRCLE('',#30983,0.166); #8337=CIRCLE('',#30985,0.125); #8338=CIRCLE('',#30986,2.96999999999987); #8339=CIRCLE('',#30987,0.125); #8340=CIRCLE('',#30988,3.09499999999987); #8341=CIRCLE('',#30990,0.125); #8342=CIRCLE('',#30992,0.124999999999718); #8343=CIRCLE('',#30993,3.09499999999987); #8344=CIRCLE('',#30994,2.96999999999987); #8345=CIRCLE('',#30996,0.124999999999993); #8346=CIRCLE('',#30997,32.1597734880977); #8347=CIRCLE('',#30998,32.2847734880977); #8348=CIRCLE('',#31000,0.125); #8349=CIRCLE('',#31001,3.09499999999987); #8350=CIRCLE('',#31002,2.96999999999987); #8351=CIRCLE('',#31004,0.125000000000004); #8352=CIRCLE('',#31006,0.12499999999999); #8353=CIRCLE('',#31007,3.09499999999987); #8354=CIRCLE('',#31008,2.96999999999987); #8355=CIRCLE('',#31010,69.6769999999999); #8356=CIRCLE('',#31011,69.5519999999999); #8357=CIRCLE('',#31013,32.2847734880977); #8358=CIRCLE('',#31015,2.96999999999987); #8359=CIRCLE('',#31018,2.96999999999987); #8360=CIRCLE('',#31020,3.44999999999956); #8361=CIRCLE('',#31021,3.44999999999949); #8362=CIRCLE('',#31022,70.032); #8363=CIRCLE('',#31023,3.44999999999996); #8364=CIRCLE('',#31024,3.44999999999997); #8365=CIRCLE('',#31025,31.8047734880976); #8366=CIRCLE('',#31027,2.96999999999987); #8367=CIRCLE('',#31030,2.96999999999987); #8368=CIRCLE('',#31032,69.5519999999999); #8369=CIRCLE('',#31034,0.166); #8370=CIRCLE('',#31035,0.166); #8371=CIRCLE('',#31037,0.166); #8372=CIRCLE('',#31038,0.166); #8373=CIRCLE('',#31040,0.166); #8374=CIRCLE('',#31041,0.166); #8375=CIRCLE('',#31043,0.166); #8376=CIRCLE('',#31044,0.166); #8377=CIRCLE('',#31046,0.166); #8378=CIRCLE('',#31047,0.166); #8379=CIRCLE('',#31049,0.166); #8380=CIRCLE('',#31050,0.166); #8381=CIRCLE('',#31052,0.166); #8382=CIRCLE('',#31053,0.166); #8383=CIRCLE('',#31055,0.166); #8384=CIRCLE('',#31056,0.166); #8385=CIRCLE('',#31058,0.166); #8386=CIRCLE('',#31059,0.166); #8387=CIRCLE('',#31061,0.166); #8388=CIRCLE('',#31062,0.166); #8389=CIRCLE('',#31064,0.166); #8390=CIRCLE('',#31065,0.166); #8391=CIRCLE('',#31067,0.166); #8392=CIRCLE('',#31068,0.166); #8393=CIRCLE('',#31070,0.166); #8394=CIRCLE('',#31071,0.166); #8395=CIRCLE('',#31073,0.166); #8396=CIRCLE('',#31074,0.166); #8397=CIRCLE('',#31076,0.166); #8398=CIRCLE('',#31077,0.166); #8399=CIRCLE('',#31079,0.166); #8400=CIRCLE('',#31080,0.166); #8401=CIRCLE('',#31082,0.166); #8402=CIRCLE('',#31083,0.166); #8403=CIRCLE('',#31085,0.166); #8404=CIRCLE('',#31086,0.166); #8405=CIRCLE('',#31088,0.166); #8406=CIRCLE('',#31089,0.166); #8407=CIRCLE('',#31091,0.166); #8408=CIRCLE('',#31092,0.166); #8409=CIRCLE('',#31094,0.166); #8410=CIRCLE('',#31095,0.166); #8411=CIRCLE('',#31097,0.166); #8412=CIRCLE('',#31098,0.166); #8413=CIRCLE('',#31100,0.166); #8414=CIRCLE('',#31101,0.166); #8415=CIRCLE('',#31103,0.166); #8416=CIRCLE('',#31104,0.166); #8417=CIRCLE('',#31106,0.166); #8418=CIRCLE('',#31107,0.166); #8419=CIRCLE('',#31109,0.166); #8420=CIRCLE('',#31110,0.166); #8421=CIRCLE('',#31112,0.166); #8422=CIRCLE('',#31113,0.166); #8423=CIRCLE('',#31115,0.166); #8424=CIRCLE('',#31116,0.166); #8425=CIRCLE('',#31118,0.166); #8426=CIRCLE('',#31119,0.166); #8427=CIRCLE('',#31121,0.166); #8428=CIRCLE('',#31122,0.166); #8429=CIRCLE('',#31124,0.166); #8430=CIRCLE('',#31125,0.166); #8431=CIRCLE('',#31127,0.166); #8432=CIRCLE('',#31128,0.166); #8433=CIRCLE('',#31130,0.166); #8434=CIRCLE('',#31131,0.166); #8435=CIRCLE('',#31133,0.166); #8436=CIRCLE('',#31134,0.166); #8437=CIRCLE('',#31136,0.166); #8438=CIRCLE('',#31137,0.166); #8439=CIRCLE('',#31139,0.166); #8440=CIRCLE('',#31140,0.166); #8441=CIRCLE('',#31142,0.166); #8442=CIRCLE('',#31143,0.166); #8443=CIRCLE('',#31145,0.166); #8444=CIRCLE('',#31146,0.166); #8445=CIRCLE('',#31148,0.166); #8446=CIRCLE('',#31149,0.166); #8447=CIRCLE('',#31151,0.166); #8448=CIRCLE('',#31152,0.166); #8449=CIRCLE('',#31154,0.166); #8450=CIRCLE('',#31155,0.166); #8451=CIRCLE('',#31157,0.166); #8452=CIRCLE('',#31158,0.166); #8453=CIRCLE('',#31160,0.166); #8454=CIRCLE('',#31161,0.166); #8455=CIRCLE('',#31163,0.166); #8456=CIRCLE('',#31164,0.166); #8457=CIRCLE('',#31166,0.166); #8458=CIRCLE('',#31167,0.166); #8459=CIRCLE('',#31169,0.166); #8460=CIRCLE('',#31170,0.166); #8461=CIRCLE('',#31172,0.166); #8462=CIRCLE('',#31173,0.166); #8463=CIRCLE('',#31175,0.166); #8464=CIRCLE('',#31176,0.166); #8465=CIRCLE('',#31178,0.166); #8466=CIRCLE('',#31179,0.166); #8467=CIRCLE('',#31181,0.166); #8468=CIRCLE('',#31182,0.166); #8469=CIRCLE('',#31184,0.166); #8470=CIRCLE('',#31185,0.166); #8471=CIRCLE('',#31187,0.166); #8472=CIRCLE('',#31188,0.166); #8473=CIRCLE('',#31190,0.166); #8474=CIRCLE('',#31191,0.166); #8475=CIRCLE('',#31193,0.166); #8476=CIRCLE('',#31194,0.166); #8477=CIRCLE('',#31196,0.166); #8478=CIRCLE('',#31197,0.166); #8479=CIRCLE('',#31199,3.60999999999994); #8480=CIRCLE('',#31200,3.60999999999995); #8481=CIRCLE('',#31202,70.192); #8482=CIRCLE('',#31203,70.1919999999997); #8483=CIRCLE('',#31205,3.60999999999948); #8484=CIRCLE('',#31206,3.60999999999948); #8485=CIRCLE('',#31209,3.44999999999996); #8486=CIRCLE('',#31212,3.45); #8487=CIRCLE('',#31214,31.8047734880973); #8488=CIRCLE('',#31216,3.60999999999955); #8489=CIRCLE('',#31217,3.60999999999956); #8490=CIRCLE('',#31219,3.44999999999957); #8491=CIRCLE('',#31222,3.4499999999995); #8492=CIRCLE('',#31224,70.0319999999997); #8493=CIRCLE('',#31227,3.60999999999999); #8494=CIRCLE('',#31228,31.6447734880973); #8495=CIRCLE('',#31230,3.60999999999996); #8496=CIRCLE('',#31232,31.6447734880976); #8497=CIRCLE('',#31234,0.126); #8498=CIRCLE('',#31236,0.126); #8499=CIRCLE('',#31238,0.126); #8500=CIRCLE('',#31240,0.126); #8501=CIRCLE('',#31242,0.126); #8502=CIRCLE('',#31244,0.126); #8503=CIRCLE('',#31246,0.126); #8504=CIRCLE('',#31248,0.126); #8505=CIRCLE('',#31250,0.126); #8506=CIRCLE('',#31252,0.126); #8507=CIRCLE('',#31254,0.126); #8508=CIRCLE('',#31256,0.126); #8509=CIRCLE('',#31258,0.126); #8510=CIRCLE('',#31260,0.126); #8511=CIRCLE('',#31262,0.126); #8512=CIRCLE('',#31264,0.126); #8513=CIRCLE('',#31266,0.126); #8514=CIRCLE('',#31268,0.126); #8515=CIRCLE('',#31270,0.126); #8516=CIRCLE('',#31272,0.126); #8517=CIRCLE('',#31274,0.126); #8518=CIRCLE('',#31276,0.126); #8519=CIRCLE('',#31278,0.126); #8520=CIRCLE('',#31280,0.126); #8521=CIRCLE('',#31282,0.126); #8522=CIRCLE('',#31284,0.126); #8523=CIRCLE('',#31286,0.126); #8524=CIRCLE('',#31288,0.126); #8525=CIRCLE('',#31290,0.126); #8526=CIRCLE('',#31292,0.126); #8527=CIRCLE('',#31294,0.126); #8528=CIRCLE('',#31296,0.126); #8529=CIRCLE('',#31298,0.126); #8530=CIRCLE('',#31300,0.126); #8531=CIRCLE('',#31302,0.126); #8532=CIRCLE('',#31304,0.126); #8533=CIRCLE('',#31306,0.126); #8534=CIRCLE('',#31308,0.126); #8535=CIRCLE('',#31310,0.126); #8536=CIRCLE('',#31312,0.126); #8537=CIRCLE('',#31314,0.126); #8538=CIRCLE('',#31316,0.126); #8539=CIRCLE('',#31318,0.126); #8540=CIRCLE('',#31320,0.126); #8541=CIRCLE('',#31322,0.126); #8542=CIRCLE('',#31324,0.126); #8543=CIRCLE('',#31326,0.126); #8544=CIRCLE('',#31328,0.126); #8545=CIRCLE('',#31330,0.126); #8546=CIRCLE('',#31332,0.126); #8547=CIRCLE('',#31334,0.126); #8548=CIRCLE('',#31336,0.126); #8549=CIRCLE('',#31338,0.126); #8550=CIRCLE('',#31340,0.126); #8551=CIRCLE('',#31342,0.126); #8552=CIRCLE('',#31344,0.126); #8553=CIRCLE('',#31346,0.126); #8554=CIRCLE('',#31348,0.126); #8555=CIRCLE('',#31350,0.126); #8556=CIRCLE('',#31352,0.126); #8557=CIRCLE('',#31354,0.126); #8558=CIRCLE('',#31356,0.126); #8559=CIRCLE('',#31358,0.126); #8560=CIRCLE('',#31360,0.126); #8561=CIRCLE('',#31362,0.126); #8562=CIRCLE('',#31364,0.126); #8563=CIRCLE('',#31366,0.126); #8564=CIRCLE('',#31368,0.126); #8565=CIRCLE('',#31370,0.126); #8566=CIRCLE('',#31372,0.126); #8567=CIRCLE('',#31375,30.7847734880976); #8568=CIRCLE('',#31380,30.7847734880976); #8569=CIRCLE('',#31385,1.); #8570=CIRCLE('',#31386,1.); #8571=CIRCLE('',#31388,1.); #8572=CIRCLE('',#31389,1.); #8573=CIRCLE('',#31391,1.); #8574=CIRCLE('',#31392,1.); #8575=CIRCLE('',#31394,1.); #8576=CIRCLE('',#31395,1.); #8577=CIRCLE('',#31397,0.1335); #8578=CIRCLE('',#31399,0.1335); #8579=CIRCLE('',#31401,0.1335); #8580=CIRCLE('',#31403,0.1335); #8581=CIRCLE('',#31405,0.1335); #8582=CIRCLE('',#31407,0.1335); #8583=CIRCLE('',#31409,0.1985); #8584=CIRCLE('',#31410,0.1985); #8585=CIRCLE('',#31411,0.1985); #8586=CIRCLE('',#31412,0.1985); #8587=CIRCLE('',#31413,0.1985); #8588=CIRCLE('',#31416,0.1985); #8589=CIRCLE('',#31418,0.1985); #8590=CIRCLE('',#31420,0.1985); #8591=CIRCLE('',#31422,0.1985); #8592=CIRCLE('',#31424,0.1985); #8593=CIRCLE('',#31431,0.1535); #8594=CIRCLE('',#31434,0.1535); #8595=CIRCLE('',#31437,0.1535); #8596=CIRCLE('',#31439,0.1535); #8597=CIRCLE('',#31446,0.3125); #8598=CIRCLE('',#31447,0.1985); #8599=CIRCLE('',#31449,0.3125); #8600=CIRCLE('',#31451,0.1985); #8601=CIRCLE('',#31453,0.3125); #8602=CIRCLE('',#31454,0.1985); #8603=CIRCLE('',#31456,0.3125); #8604=CIRCLE('',#31458,0.1985); #8605=CIRCLE('',#31460,0.3125); #8606=CIRCLE('',#31461,0.1985); #8607=CIRCLE('',#31463,0.3125); #8608=CIRCLE('',#31465,0.1985); #8609=CIRCLE('',#31467,0.3125); #8610=CIRCLE('',#31468,0.1985); #8611=CIRCLE('',#31470,0.3125); #8612=CIRCLE('',#31472,0.1985); #8613=CIRCLE('',#31474,0.3125); #8614=CIRCLE('',#31475,0.1985); #8615=CIRCLE('',#31477,0.3125); #8616=CIRCLE('',#31479,0.1985); #8617=CIRCLE('',#31481,0.3125); #8618=CIRCLE('',#31482,0.1985); #8619=CIRCLE('',#31484,0.3125); #8620=CIRCLE('',#31486,0.1985); #8621=CIRCLE('',#31488,0.3125); #8622=CIRCLE('',#31489,0.1985); #8623=CIRCLE('',#31491,0.3125); #8624=CIRCLE('',#31493,0.1985); #8625=CIRCLE('',#31495,0.3125); #8626=CIRCLE('',#31496,0.1985); #8627=CIRCLE('',#31498,0.3125); #8628=CIRCLE('',#31500,0.1985); #8629=CIRCLE('',#31502,0.3125); #8630=CIRCLE('',#31503,0.1985); #8631=CIRCLE('',#31505,0.3125); #8632=CIRCLE('',#31507,0.1985); #8633=CIRCLE('',#31509,0.3125); #8634=CIRCLE('',#31510,0.1985); #8635=CIRCLE('',#31512,0.3125); #8636=CIRCLE('',#31514,0.1985); #8637=CIRCLE('',#31522,0.1335); #8638=CIRCLE('',#31524,0.1335); #8639=CIRCLE('',#31526,0.1335); #8640=CIRCLE('',#31528,0.1335); #8641=CIRCLE('',#31530,0.1335); #8642=CIRCLE('',#31532,0.1335); #8643=CIRCLE('',#31534,0.1335); #8644=CIRCLE('',#31536,0.1335); #8645=CIRCLE('',#31538,0.1335); #8646=CIRCLE('',#31540,0.1335); #8647=CIRCLE('',#31542,0.1335); #8648=CIRCLE('',#31544,0.1335); #8649=CIRCLE('',#31546,0.1335); #8650=CIRCLE('',#31548,0.1335); #8651=CIRCLE('',#31550,0.1335); #8652=CIRCLE('',#31552,0.1335); #8653=CIRCLE('',#31554,0.1335); #8654=CIRCLE('',#31556,0.1335); #8655=CIRCLE('',#31558,0.1335); #8656=CIRCLE('',#31560,0.1335); #8657=CIRCLE('',#31562,0.1335); #8658=CIRCLE('',#31564,0.1335); #8659=CIRCLE('',#31566,0.1335); #8660=CIRCLE('',#31568,0.1335); #8661=CIRCLE('',#31570,0.1335); #8662=CIRCLE('',#31572,0.1335); #8663=CIRCLE('',#31574,0.1335); #8664=CIRCLE('',#31576,0.1335); #8665=CIRCLE('',#31578,0.1335); #8666=CIRCLE('',#31580,0.1335); #8667=CIRCLE('',#31582,0.1335); #8668=CIRCLE('',#31584,0.1335); #8669=CIRCLE('',#31586,0.1335); #8670=CIRCLE('',#31588,0.1335); #8671=CIRCLE('',#31590,0.1335); #8672=CIRCLE('',#31592,0.1335); #8673=CIRCLE('',#31594,0.1335); #8674=CIRCLE('',#31596,0.1335); #8675=CIRCLE('',#31598,0.1335); #8676=CIRCLE('',#31600,0.1335); #8677=CIRCLE('',#31602,0.1335); #8678=CIRCLE('',#31604,0.1335); #8679=CIRCLE('',#31606,0.1335); #8680=CIRCLE('',#31608,0.1335); #8681=CIRCLE('',#31610,0.1335); #8682=CIRCLE('',#31612,0.1335); #8683=CIRCLE('',#31614,0.1335); #8684=CIRCLE('',#31616,0.1335); #8685=CIRCLE('',#31618,0.1335); #8686=CIRCLE('',#31620,0.1335); #8687=CIRCLE('',#31622,0.1335); #8688=CIRCLE('',#31624,0.1335); #8689=CIRCLE('',#31626,0.1335); #8690=CIRCLE('',#31628,0.1335); #8691=CIRCLE('',#31630,0.1335); #8692=CIRCLE('',#31632,0.1335); #8693=CIRCLE('',#31634,0.1335); #8694=CIRCLE('',#31636,0.1335); #8695=CIRCLE('',#31638,0.1335); #8696=CIRCLE('',#31640,0.1335); #8697=CIRCLE('',#31642,0.1335); #8698=CIRCLE('',#31644,0.1335); #8699=CIRCLE('',#31646,0.1335); #8700=CIRCLE('',#31648,0.1335); #8701=CIRCLE('',#31650,0.1335); #8702=CIRCLE('',#31652,0.1335); #8703=CIRCLE('',#31654,0.1335); #8704=CIRCLE('',#31656,0.1335); #8705=CIRCLE('',#31658,0.1335); #8706=CIRCLE('',#31660,0.1335); #8707=CIRCLE('',#31662,0.1335); #8708=CIRCLE('',#31664,0.1335); #8709=CIRCLE('',#31669,0.5); #8710=CIRCLE('',#31674,0.5); #8711=CIRCLE('',#31681,0.098); #8712=CIRCLE('',#31683,0.098); #8713=CIRCLE('',#31685,0.098); #8714=CIRCLE('',#31687,0.098); #8715=CIRCLE('',#31689,0.1335); #8716=CIRCLE('',#31691,0.1335); #8717=CIRCLE('',#31693,0.1335); #8718=CIRCLE('',#31695,0.1335); #8719=CIRCLE('',#31697,0.1335); #8720=CIRCLE('',#31699,0.1335); #8721=CIRCLE('',#31701,0.166); #8722=CIRCLE('',#31702,0.166); #8723=CIRCLE('',#31704,0.166); #8724=CIRCLE('',#31705,0.166); #8725=CIRCLE('',#31707,0.166); #8726=CIRCLE('',#31708,0.166); #8727=CIRCLE('',#31710,0.166); #8728=CIRCLE('',#31711,0.166); #8729=CIRCLE('',#31713,0.166); #8730=CIRCLE('',#31714,0.166); #8731=CIRCLE('',#31716,0.166); #8732=CIRCLE('',#31717,0.166); #8733=CIRCLE('',#31719,0.166); #8734=CIRCLE('',#31720,0.166); #8735=CIRCLE('',#31722,0.166); #8736=CIRCLE('',#31723,0.166); #8737=CIRCLE('',#31725,0.166); #8738=CIRCLE('',#31726,0.166); #8739=CIRCLE('',#31728,0.166); #8740=CIRCLE('',#31729,0.166); #8741=CIRCLE('',#31731,0.166); #8742=CIRCLE('',#31732,0.166); #8743=CIRCLE('',#31734,0.166); #8744=CIRCLE('',#31735,0.166); #8745=CIRCLE('',#31737,0.166); #8746=CIRCLE('',#31738,0.166); #8747=CIRCLE('',#31740,0.166); #8748=CIRCLE('',#31741,0.166); #8749=CIRCLE('',#31743,0.166); #8750=CIRCLE('',#31744,0.166); #8751=CIRCLE('',#31746,0.166); #8752=CIRCLE('',#31747,0.166); #8753=CIRCLE('',#31749,0.166); #8754=CIRCLE('',#31750,0.166); #8755=CIRCLE('',#31767,0.098); #8756=CIRCLE('',#31769,0.098); #8757=CIRCLE('',#31771,0.098); #8758=CIRCLE('',#31773,0.098); #8759=CIRCLE('',#31775,0.1335); #8760=CIRCLE('',#31777,0.1335); #8761=CIRCLE('',#31779,0.1335); #8762=CIRCLE('',#31781,0.1335); #8763=CIRCLE('',#31783,0.1335); #8764=CIRCLE('',#31785,0.1335); #8765=CIRCLE('',#31787,0.1335); #8766=CIRCLE('',#31789,0.1335); #8767=CIRCLE('',#31791,0.1335); #8768=CIRCLE('',#31793,0.1335); #8769=CIRCLE('',#31795,0.1335); #8770=CIRCLE('',#31796,0.1335); #8771=CIRCLE('',#31798,0.1335); #8772=CIRCLE('',#31799,0.1335); #8773=CIRCLE('',#31801,0.1335); #8774=CIRCLE('',#31802,0.1335); #8775=CIRCLE('',#31804,0.1335); #8776=CIRCLE('',#31805,0.1335); #8777=CIRCLE('',#31807,0.1335); #8778=CIRCLE('',#31808,0.1335); #8779=CIRCLE('',#31810,0.1335); #8780=CIRCLE('',#31811,0.1335); #8781=CIRCLE('',#31813,0.1335); #8782=CIRCLE('',#31814,0.1335); #8783=CIRCLE('',#31816,0.1335); #8784=CIRCLE('',#31817,0.1335); #8785=CIRCLE('',#31819,0.1335); #8786=CIRCLE('',#31820,0.1335); #8787=CIRCLE('',#31822,0.1335); #8788=CIRCLE('',#31823,0.1335); #8789=CIRCLE('',#31825,0.1335); #8790=CIRCLE('',#31826,0.1335); #8791=CIRCLE('',#31828,0.1335); #8792=CIRCLE('',#31829,0.1335); #8793=CIRCLE('',#31831,0.1335); #8794=CIRCLE('',#31832,0.1335); #8795=CIRCLE('',#31834,0.1335); #8796=CIRCLE('',#31835,0.1335); #8797=CIRCLE('',#31837,0.1335); #8798=CIRCLE('',#31838,0.1335); #8799=CIRCLE('',#31840,0.1335); #8800=CIRCLE('',#31841,0.1335); #8801=CIRCLE('',#31864,0.098); #8802=CIRCLE('',#31866,0.098); #8803=CIRCLE('',#31868,0.098); #8804=CIRCLE('',#31870,0.098); #8805=CIRCLE('',#31872,0.1335); #8806=CIRCLE('',#31874,0.1335); #8807=CIRCLE('',#31876,0.1335); #8808=CIRCLE('',#31878,0.1335); #8809=CIRCLE('',#31880,0.1335); #8810=CIRCLE('',#31882,0.1335); #8811=CIRCLE('',#31884,0.166); #8812=CIRCLE('',#31885,0.166); #8813=CIRCLE('',#31887,0.166); #8814=CIRCLE('',#31888,0.166); #8815=CIRCLE('',#31890,0.166); #8816=CIRCLE('',#31891,0.166); #8817=CIRCLE('',#31893,0.166); #8818=CIRCLE('',#31894,0.166); #8819=CIRCLE('',#31896,0.166); #8820=CIRCLE('',#31897,0.166); #8821=CIRCLE('',#31899,0.166); #8822=CIRCLE('',#31900,0.166); #8823=CIRCLE('',#31902,0.166); #8824=CIRCLE('',#31903,0.166); #8825=CIRCLE('',#31905,0.166); #8826=CIRCLE('',#31906,0.166); #8827=CIRCLE('',#31908,0.166); #8828=CIRCLE('',#31909,0.166); #8829=CIRCLE('',#31911,0.166); #8830=CIRCLE('',#31912,0.166); #8831=CIRCLE('',#31914,0.166); #8832=CIRCLE('',#31915,0.166); #8833=CIRCLE('',#31917,0.166); #8834=CIRCLE('',#31918,0.166); #8835=CIRCLE('',#31920,0.166); #8836=CIRCLE('',#31921,0.166); #8837=CIRCLE('',#31923,0.166); #8838=CIRCLE('',#31924,0.166); #8839=CIRCLE('',#31926,0.166); #8840=CIRCLE('',#31927,0.166); #8841=CIRCLE('',#31929,0.166); #8842=CIRCLE('',#31930,0.166); #8843=CIRCLE('',#31932,0.166); #8844=CIRCLE('',#31933,0.166); #8845=CIRCLE('',#31957,0.166); #8846=CIRCLE('',#31958,0.166); #8847=CIRCLE('',#31960,0.166); #8848=CIRCLE('',#31961,0.166); #8849=CIRCLE('',#31965,82.3181714265492); #8850=CIRCLE('',#31966,82.3181714265492); #8851=CIRCLE('',#31971,0.1335); #8852=CIRCLE('',#31973,0.1335); #8853=CIRCLE('',#31975,0.1335); #8854=CIRCLE('',#31977,0.1335); #8855=CIRCLE('',#31979,0.1335); #8856=CIRCLE('',#31981,0.1335); #8857=CIRCLE('',#31983,0.1335); #8858=CIRCLE('',#31985,0.1335); #8859=CIRCLE('',#31987,0.1335); #8860=CIRCLE('',#31989,0.1335); #8861=CIRCLE('',#31991,0.1335); #8862=CIRCLE('',#31993,0.1335); #8863=CIRCLE('',#31995,0.1335); #8864=CIRCLE('',#31997,0.1335); #8865=CIRCLE('',#31999,0.1335); #8866=CIRCLE('',#32001,0.1335); #8867=CIRCLE('',#32003,0.1335); #8868=CIRCLE('',#32005,0.1335); #8869=CIRCLE('',#32007,0.1335); #8870=CIRCLE('',#32009,0.1335); #8871=CIRCLE('',#32011,0.1335); #8872=CIRCLE('',#32013,0.1335); #8873=CIRCLE('',#32015,0.1335); #8874=CIRCLE('',#32017,0.1335); #8875=CIRCLE('',#32019,0.1335); #8876=CIRCLE('',#32021,0.1335); #8877=CIRCLE('',#32023,0.1335); #8878=CIRCLE('',#32025,0.1335); #8879=CIRCLE('',#32027,0.1335); #8880=CIRCLE('',#32029,0.1335); #8881=CIRCLE('',#32031,0.1335); #8882=CIRCLE('',#32033,0.1335); #8883=CIRCLE('',#32035,0.1335); #8884=CIRCLE('',#32037,0.1335); #8885=CIRCLE('',#32039,0.1335); #8886=CIRCLE('',#32041,0.1335); #8887=CIRCLE('',#32043,0.1335); #8888=CIRCLE('',#32045,0.1335); #8889=CIRCLE('',#32047,0.1335); #8890=CIRCLE('',#32049,0.1335); #8891=CIRCLE('',#32051,0.1335); #8892=CIRCLE('',#32053,0.1335); #8893=CIRCLE('',#32055,0.1335); #8894=CIRCLE('',#32057,0.1335); #8895=CIRCLE('',#32059,0.1335); #8896=CIRCLE('',#32061,0.1335); #8897=CIRCLE('',#32063,0.1335); #8898=CIRCLE('',#32065,0.1335); #8899=CIRCLE('',#32067,0.1335); #8900=CIRCLE('',#32069,0.1335); #8901=CIRCLE('',#32071,0.5); #8902=CIRCLE('',#32072,0.5); #8903=CIRCLE('',#32074,0.5); #8904=CIRCLE('',#32075,0.166); #8905=CIRCLE('',#32076,0.166); #8906=CIRCLE('',#32077,0.166); #8907=CIRCLE('',#32078,0.166); #8908=CIRCLE('',#32079,0.166); #8909=CIRCLE('',#32080,0.166); #8910=CIRCLE('',#32081,0.166); #8911=CIRCLE('',#32082,0.166); #8912=CIRCLE('',#32083,0.166); #8913=CIRCLE('',#32084,0.166); #8914=CIRCLE('',#32085,0.166); #8915=CIRCLE('',#32086,0.166); #8916=CIRCLE('',#32087,0.166); #8917=CIRCLE('',#32088,0.166); #8918=CIRCLE('',#32089,0.166); #8919=CIRCLE('',#32090,0.166); #8920=CIRCLE('',#32091,0.166); #8921=CIRCLE('',#32092,0.166); #8922=CIRCLE('',#32093,0.166); #8923=CIRCLE('',#32094,0.166); #8924=CIRCLE('',#32095,0.166); #8925=CIRCLE('',#32098,0.5); #8926=CIRCLE('',#32101,97.927805574297); #8927=CIRCLE('',#32102,0.166); #8928=CIRCLE('',#32103,0.166); #8929=CIRCLE('',#32104,0.166); #8930=CIRCLE('',#32105,0.166); #8931=CIRCLE('',#32106,0.166); #8932=CIRCLE('',#32107,0.166); #8933=CIRCLE('',#32108,0.166); #8934=CIRCLE('',#32109,0.166); #8935=CIRCLE('',#32110,0.166); #8936=CIRCLE('',#32111,0.166); #8937=CIRCLE('',#32112,0.166); #8938=CIRCLE('',#32113,0.166); #8939=CIRCLE('',#32119,80.3181714265491); #8940=CIRCLE('',#32120,0.166); #8941=CIRCLE('',#32121,0.166); #8942=CIRCLE('',#32122,0.166); #8943=CIRCLE('',#32123,0.166); #8944=CIRCLE('',#32124,0.166); #8945=CIRCLE('',#32125,0.166); #8946=CIRCLE('',#32126,0.166); #8947=CIRCLE('',#32127,0.166); #8948=CIRCLE('',#32130,0.166); #8949=CIRCLE('',#32132,0.166); #8950=CIRCLE('',#32134,0.166); #8951=CIRCLE('',#32136,0.166); #8952=CIRCLE('',#32138,0.166); #8953=CIRCLE('',#32140,0.166); #8954=CIRCLE('',#32142,0.166); #8955=CIRCLE('',#32144,0.166); #8956=CIRCLE('',#32146,0.166); #8957=CIRCLE('',#32148,0.166); #8958=CIRCLE('',#32150,0.166); #8959=CIRCLE('',#32152,0.166); #8960=CIRCLE('',#32154,97.927805574297); #8961=CIRCLE('',#32156,80.3181714265491); #8962=CIRCLE('',#32157,0.166); #8963=CIRCLE('',#32158,0.166); #8964=CIRCLE('',#32159,0.166); #8965=CIRCLE('',#32160,0.166); #8966=CIRCLE('',#32161,0.166); #8967=CIRCLE('',#32162,0.166); #8968=CIRCLE('',#32163,0.166); #8969=CIRCLE('',#32164,0.166); #8970=CIRCLE('',#32165,0.166); #8971=CIRCLE('',#32166,0.166); #8972=CIRCLE('',#32167,0.166); #8973=CIRCLE('',#32168,0.166); #8974=CIRCLE('',#32169,0.166); #8975=CIRCLE('',#32170,0.166); #8976=CIRCLE('',#32171,0.166); #8977=CIRCLE('',#32172,0.166); #8978=CIRCLE('',#32173,0.166); #8979=CIRCLE('',#32174,0.166); #8980=CIRCLE('',#32175,0.166); #8981=CIRCLE('',#32176,0.166); #8982=CIRCLE('',#32177,0.166); #8983=CIRCLE('',#32178,0.166); #8984=CIRCLE('',#32179,0.166); #8985=CIRCLE('',#32180,0.166); #8986=CIRCLE('',#32181,0.166); #8987=CIRCLE('',#32182,0.166); #8988=CIRCLE('',#32183,0.166); #8989=CIRCLE('',#32184,0.166); #8990=CIRCLE('',#32185,0.166); #8991=CIRCLE('',#32223,0.166); #8992=CIRCLE('',#32224,0.166); #8993=CIRCLE('',#32226,0.166); #8994=CIRCLE('',#32227,0.166); #8995=CIRCLE('',#32229,0.166); #8996=CIRCLE('',#32230,0.166); #8997=CIRCLE('',#32232,0.166); #8998=CIRCLE('',#32233,0.166); #8999=CIRCLE('',#32235,0.166); #9000=CIRCLE('',#32236,0.166); #9001=CIRCLE('',#32238,0.166); #9002=CIRCLE('',#32239,0.166); #9003=CIRCLE('',#32241,0.166); #9004=CIRCLE('',#32242,0.166); #9005=CIRCLE('',#32244,0.166); #9006=CIRCLE('',#32245,0.166); #9007=CIRCLE('',#32247,0.166); #9008=CIRCLE('',#32248,0.166); #9009=CIRCLE('',#32250,0.166); #9010=CIRCLE('',#32251,0.166); #9011=CIRCLE('',#32253,0.166); #9012=CIRCLE('',#32254,0.166); #9013=CIRCLE('',#32256,0.166); #9014=CIRCLE('',#32257,0.166); #9015=CIRCLE('',#32259,0.166); #9016=CIRCLE('',#32260,0.166); #9017=CIRCLE('',#32262,0.166); #9018=CIRCLE('',#32263,0.166); #9019=CIRCLE('',#32265,0.166); #9020=CIRCLE('',#32266,0.166); #9021=CIRCLE('',#32268,0.166); #9022=CIRCLE('',#32269,0.166); #9023=CIRCLE('',#32271,0.166); #9024=CIRCLE('',#32272,0.166); #9025=CIRCLE('',#32274,0.166); #9026=CIRCLE('',#32275,0.166); #9027=CIRCLE('',#32277,0.166); #9028=CIRCLE('',#32278,0.166); #9029=CIRCLE('',#32280,0.166); #9030=CIRCLE('',#32281,0.166); #9031=CIRCLE('',#32283,0.166); #9032=CIRCLE('',#32284,0.166); #9033=CIRCLE('',#32286,0.166); #9034=CIRCLE('',#32287,0.166); #9035=CIRCLE('',#32289,0.166); #9036=CIRCLE('',#32290,0.166); #9037=CIRCLE('',#32292,0.166); #9038=CIRCLE('',#32293,0.166); #9039=CIRCLE('',#32295,0.166); #9040=CIRCLE('',#32296,0.166); #9041=CIRCLE('',#32298,0.166); #9042=CIRCLE('',#32299,0.166); #9043=CIRCLE('',#32301,0.166); #9044=CIRCLE('',#32302,0.166); #9045=CIRCLE('',#32304,0.166); #9046=CIRCLE('',#32305,0.166); #9047=CIRCLE('',#32307,0.166); #9048=CIRCLE('',#32308,0.166); #9049=CIRCLE('',#32310,0.166); #9050=CIRCLE('',#32311,0.166); #9051=CIRCLE('',#32313,0.166); #9052=CIRCLE('',#32314,0.166); #9053=CIRCLE('',#32316,0.166); #9054=CIRCLE('',#32317,0.166); #9055=CIRCLE('',#32319,0.166); #9056=CIRCLE('',#32320,0.166); #9057=CIRCLE('',#32322,0.166); #9058=CIRCLE('',#32323,0.166); #9059=CIRCLE('',#32325,0.166); #9060=CIRCLE('',#32326,0.166); #9061=CIRCLE('',#32328,0.166); #9062=CIRCLE('',#32329,0.166); #9063=CIRCLE('',#32331,0.166); #9064=CIRCLE('',#32332,0.166); #9065=CIRCLE('',#32334,0.166); #9066=CIRCLE('',#32335,0.166); #9067=CIRCLE('',#32337,0.166); #9068=CIRCLE('',#32338,0.166); #9069=CIRCLE('',#32340,0.166); #9070=CIRCLE('',#32341,0.166); #9071=CIRCLE('',#32343,0.166); #9072=CIRCLE('',#32344,0.166); #9073=CIRCLE('',#32346,0.166); #9074=CIRCLE('',#32347,0.166); #9075=CIRCLE('',#32349,0.166); #9076=CIRCLE('',#32350,0.166); #9077=CIRCLE('',#32352,0.166); #9078=CIRCLE('',#32353,0.166); #9079=CIRCLE('',#32355,0.166); #9080=CIRCLE('',#32356,0.166); #9081=CIRCLE('',#32358,0.166); #9082=CIRCLE('',#32359,0.166); #9083=CIRCLE('',#32361,0.166); #9084=CIRCLE('',#32362,0.166); #9085=CIRCLE('',#32364,0.166); #9086=CIRCLE('',#32365,0.166); #9087=CIRCLE('',#32367,0.166); #9088=CIRCLE('',#32368,0.166); #9089=CIRCLE('',#32370,0.166); #9090=CIRCLE('',#32371,0.166); #9091=CIRCLE('',#32373,0.166); #9092=CIRCLE('',#32374,0.166); #9093=CIRCLE('',#32376,0.166); #9094=CIRCLE('',#32377,0.166); #9095=CIRCLE('',#32379,0.166); #9096=CIRCLE('',#32380,0.166); #9097=CIRCLE('',#32382,0.166); #9098=CIRCLE('',#32383,0.166); #9099=CIRCLE('',#32385,0.166); #9100=CIRCLE('',#32386,0.166); #9101=CIRCLE('',#32388,0.166); #9102=CIRCLE('',#32389,0.166); #9103=CIRCLE('',#32391,0.166); #9104=CIRCLE('',#32392,0.166); #9105=CIRCLE('',#32394,0.166); #9106=CIRCLE('',#32395,0.166); #9107=CIRCLE('',#32397,0.166); #9108=CIRCLE('',#32398,0.166); #9109=CIRCLE('',#32400,0.166); #9110=CIRCLE('',#32401,0.166); #9111=CIRCLE('',#32403,0.166); #9112=CIRCLE('',#32404,0.166); #9113=CIRCLE('',#32406,0.166); #9114=CIRCLE('',#32407,0.166); #9115=CIRCLE('',#32409,0.166); #9116=CIRCLE('',#32410,0.166); #9117=CIRCLE('',#32412,0.166); #9118=CIRCLE('',#32413,0.166); #9119=CIRCLE('',#32415,0.166); #9120=CIRCLE('',#32416,0.166); #9121=CIRCLE('',#32418,0.166); #9122=CIRCLE('',#32419,0.166); #9123=CIRCLE('',#32421,0.166); #9124=CIRCLE('',#32422,0.166); #9125=CIRCLE('',#32424,0.166); #9126=CIRCLE('',#32425,0.166); #9127=CIRCLE('',#32427,0.166); #9128=CIRCLE('',#32428,0.166); #9129=CIRCLE('',#32430,0.166); #9130=CIRCLE('',#32431,0.166); #9131=CIRCLE('',#32433,0.166); #9132=CIRCLE('',#32434,0.166); #9133=CIRCLE('',#32436,0.166); #9134=CIRCLE('',#32437,0.166); #9135=CIRCLE('',#32439,0.166); #9136=CIRCLE('',#32440,0.166); #9137=CIRCLE('',#32442,0.166); #9138=CIRCLE('',#32443,0.166); #9139=CIRCLE('',#32445,0.166); #9140=CIRCLE('',#32446,0.166); #9141=CIRCLE('',#32448,0.166); #9142=CIRCLE('',#32449,0.166); #9143=CIRCLE('',#32451,0.166); #9144=CIRCLE('',#32452,0.166); #9145=CIRCLE('',#32454,0.166); #9146=CIRCLE('',#32455,0.166); #9147=CIRCLE('',#32457,0.166); #9148=CIRCLE('',#32458,0.166); #9149=CIRCLE('',#32460,0.166); #9150=CIRCLE('',#32461,0.166); #9151=CIRCLE('',#32463,0.166); #9152=CIRCLE('',#32464,0.166); #9153=CIRCLE('',#32466,0.166); #9154=CIRCLE('',#32467,0.166); #9155=CIRCLE('',#32469,0.166); #9156=CIRCLE('',#32470,0.166); #9157=CIRCLE('',#32472,0.166); #9158=CIRCLE('',#32473,0.166); #9159=CIRCLE('',#32475,0.166); #9160=CIRCLE('',#32476,0.166); #9161=CIRCLE('',#32478,0.166); #9162=CIRCLE('',#32479,0.166); #9163=CIRCLE('',#32481,0.166); #9164=CIRCLE('',#32482,0.166); #9165=CIRCLE('',#32484,0.166); #9166=CIRCLE('',#32485,0.166); #9167=CIRCLE('',#32487,0.166); #9168=CIRCLE('',#32488,0.166); #9169=CIRCLE('',#32490,0.166); #9170=CIRCLE('',#32491,0.166); #9171=CIRCLE('',#32493,0.166); #9172=CIRCLE('',#32494,0.166); #9173=CIRCLE('',#32496,0.166); #9174=CIRCLE('',#32497,0.166); #9175=CIRCLE('',#32499,0.166); #9176=CIRCLE('',#32500,0.166); #9177=CIRCLE('',#32502,0.166); #9178=CIRCLE('',#32503,0.166); #9179=CIRCLE('',#32505,0.166); #9180=CIRCLE('',#32506,0.166); #9181=CIRCLE('',#32508,0.166); #9182=CIRCLE('',#32509,0.166); #9183=CIRCLE('',#32511,0.375); #9184=CIRCLE('',#32512,2.94499999999999); #9185=CIRCLE('',#32513,0.375000000000412); #9186=CIRCLE('',#32514,3.31999999999999); #9187=CIRCLE('',#32516,0.374999999999991); #9188=CIRCLE('',#32517,69.902); #9189=CIRCLE('',#32518,69.527); #9190=CIRCLE('',#32520,0.374999999999986); #9191=CIRCLE('',#32521,3.31999999999999); #9192=CIRCLE('',#32522,2.94499999999999); #9193=CIRCLE('',#32524,0.375000000000001); #9194=CIRCLE('',#32526,0.375000000000004); #9195=CIRCLE('',#32527,3.31999999999999); #9196=CIRCLE('',#32528,2.94499999999999); #9197=CIRCLE('',#32530,0.375000000000026); #9198=CIRCLE('',#32531,31.9347734880976); #9199=CIRCLE('',#32532,32.3097734880976); #9200=CIRCLE('',#32534,0.374999999999973); #9201=CIRCLE('',#32535,3.31999999999999); #9202=CIRCLE('',#32536,2.94499999999999); #9203=CIRCLE('',#32540,2.94499999999999); #9204=CIRCLE('',#32542,69.527); #9205=CIRCLE('',#32544,2.94499999999999); #9206=CIRCLE('',#32546,30.7847734880976); #9207=CIRCLE('',#32547,71.882); #9208=CIRCLE('',#32550,2.94499999999999); #9209=CIRCLE('',#32552,32.3097734880976); #9210=CIRCLE('',#32554,2.94499999999999); #9211=CIRCLE('',#32557,71.882); #9212=CIRCLE('',#32560,30.7847734880976); #9213=CIRCLE('',#32564,0.1535); #9214=CIRCLE('',#32566,0.1535); #9215=CIRCLE('',#32568,0.1535); #9216=CIRCLE('',#32570,0.1535); #9217=CIRCLE('',#32572,0.1535); #9218=CIRCLE('',#32574,0.1535); #9219=CIRCLE('',#32576,0.1535); #9220=CIRCLE('',#32578,0.1535); #9221=CIRCLE('',#32580,0.1535); #9222=CIRCLE('',#32582,0.1535); #9223=CIRCLE('',#32584,0.1535); #9224=CIRCLE('',#32586,0.1535); #9225=CIRCLE('',#32588,0.1535); #9226=CIRCLE('',#32590,0.1535); #9227=CIRCLE('',#32592,0.1535); #9228=CIRCLE('',#32594,0.1535); #9229=CIRCLE('',#32596,0.1535); #9230=CIRCLE('',#32598,0.1535); #9231=CIRCLE('',#32600,0.1535); #9232=CIRCLE('',#32602,0.1535); #9233=CIRCLE('',#32604,0.1335); #9234=CIRCLE('',#32606,0.1335); #9235=CIRCLE('',#32608,0.1335); #9236=CIRCLE('',#32610,0.1335); #9237=CIRCLE('',#32612,0.1335); #9238=CIRCLE('',#32614,0.1335); #9239=CIRCLE('',#32616,0.1335); #9240=CIRCLE('',#32618,0.1335); #9241=CIRCLE('',#32620,0.1335); #9242=CIRCLE('',#32622,0.1335); #9243=CIRCLE('',#32624,0.1335); #9244=CIRCLE('',#32626,0.1335); #9245=CIRCLE('',#32628,0.1335); #9246=CIRCLE('',#32630,0.1335); #9247=CIRCLE('',#32632,0.1335); #9248=CIRCLE('',#32634,0.1335); #9249=CIRCLE('',#32636,0.1335); #9250=CIRCLE('',#32638,0.1335); #9251=CIRCLE('',#32640,0.1335); #9252=CIRCLE('',#32642,0.1335); #9253=CIRCLE('',#32644,0.1335); #9254=CIRCLE('',#32646,0.1335); #9255=CIRCLE('',#32648,0.1335); #9256=CIRCLE('',#32650,0.1335); #9257=CIRCLE('',#32652,0.1335); #9258=CIRCLE('',#32654,0.1335); #9259=CIRCLE('',#32656,0.1335); #9260=CIRCLE('',#32658,0.1335); #9261=CIRCLE('',#32660,0.166); #9262=CIRCLE('',#32661,0.166); #9263=CIRCLE('',#32663,0.166); #9264=CIRCLE('',#32664,0.166); #9265=CIRCLE('',#32666,0.166); #9266=CIRCLE('',#32667,0.166); #9267=CIRCLE('',#32669,0.166); #9268=CIRCLE('',#32670,0.166); #9269=CIRCLE('',#32672,0.166); #9270=CIRCLE('',#32673,0.166); #9271=CIRCLE('',#32675,0.166); #9272=CIRCLE('',#32676,0.166); #9273=CIRCLE('',#32678,0.166); #9274=CIRCLE('',#32679,0.166); #9275=CIRCLE('',#32681,0.166); #9276=CIRCLE('',#32682,0.166); #9277=CIRCLE('',#32684,0.166); #9278=CIRCLE('',#32685,0.166); #9279=CIRCLE('',#32687,0.166); #9280=CIRCLE('',#32688,0.166); #9281=CIRCLE('',#32690,0.166); #9282=CIRCLE('',#32691,0.166); #9283=CIRCLE('',#32693,0.166); #9284=CIRCLE('',#32694,0.166); #9285=CIRCLE('',#32696,0.166); #9286=CIRCLE('',#32697,0.166); #9287=CIRCLE('',#32699,0.166); #9288=CIRCLE('',#32700,0.166); #9289=CIRCLE('',#32702,0.166); #9290=CIRCLE('',#32703,0.166); #9291=CIRCLE('',#32705,0.166); #9292=CIRCLE('',#32706,0.166); #9293=CIRCLE('',#32708,0.166); #9294=CIRCLE('',#32709,0.166); #9295=CIRCLE('',#32711,0.166); #9296=CIRCLE('',#32712,0.166); #9297=CIRCLE('',#32721,0.166); #9298=CIRCLE('',#32722,0.166); #9299=CIRCLE('',#32724,0.166); #9300=CIRCLE('',#32725,0.166); #9301=CIRCLE('',#32727,0.166); #9302=CIRCLE('',#32728,0.166); #9303=CIRCLE('',#32730,0.166); #9304=CIRCLE('',#32731,0.166); #9305=CIRCLE('',#32733,0.166); #9306=CIRCLE('',#32734,0.166); #9307=CIRCLE('',#32736,0.166); #9308=CIRCLE('',#32737,0.166); #9309=CIRCLE('',#32739,0.166); #9310=CIRCLE('',#32740,0.166); #9311=CIRCLE('',#32742,0.166); #9312=CIRCLE('',#32743,0.166); #9313=CIRCLE('',#32745,0.166); #9314=CIRCLE('',#32746,0.166); #9315=CIRCLE('',#32748,0.166); #9316=CIRCLE('',#32749,0.166); #9317=CIRCLE('',#32751,0.166); #9318=CIRCLE('',#32752,0.166); #9319=CIRCLE('',#32754,0.166); #9320=CIRCLE('',#32755,0.166); #9321=CIRCLE('',#32757,0.166); #9322=CIRCLE('',#32758,0.166); #9323=CIRCLE('',#32760,0.166); #9324=CIRCLE('',#32761,0.166); #9325=CIRCLE('',#32763,0.166); #9326=CIRCLE('',#32764,0.166); #9327=CIRCLE('',#32766,0.166); #9328=CIRCLE('',#32767,0.166); #9329=CIRCLE('',#32769,0.166); #9330=CIRCLE('',#32770,0.166); #9331=CIRCLE('',#32772,0.166); #9332=CIRCLE('',#32773,0.166); #9333=CIRCLE('',#32775,0.166); #9334=CIRCLE('',#32776,0.166); #9335=CIRCLE('',#32778,0.166); #9336=CIRCLE('',#32779,0.166); #9337=CIRCLE('',#32781,0.166); #9338=CIRCLE('',#32782,0.166); #9339=CIRCLE('',#32784,0.166); #9340=CIRCLE('',#32785,0.166); #9341=CIRCLE('',#32787,0.166); #9342=CIRCLE('',#32788,0.166); #9343=CIRCLE('',#32790,0.166); #9344=CIRCLE('',#32791,0.166); #9345=CIRCLE('',#32793,0.166); #9346=CIRCLE('',#32794,0.166); #9347=CIRCLE('',#32796,0.166); #9348=CIRCLE('',#32797,0.166); #9349=CIRCLE('',#32799,0.166); #9350=CIRCLE('',#32800,0.166); #9351=CIRCLE('',#32802,0.166); #9352=CIRCLE('',#32803,0.166); #9353=CIRCLE('',#32805,0.166); #9354=CIRCLE('',#32806,0.166); #9355=CIRCLE('',#32808,0.166); #9356=CIRCLE('',#32809,0.166); #9357=CIRCLE('',#32811,0.166); #9358=CIRCLE('',#32812,0.166); #9359=CIRCLE('',#32814,0.166); #9360=CIRCLE('',#32815,0.166); #9361=LINE('',#42289,#11056); #9362=LINE('',#42293,#11057); #9363=LINE('',#42317,#11058); #9364=LINE('',#42318,#11059); #9365=LINE('',#42329,#11060); #9366=LINE('',#42330,#11061); #9367=LINE('',#42341,#11062); #9368=LINE('',#42342,#11063); #9369=LINE('',#42353,#11064); #9370=LINE('',#42354,#11065); #9371=LINE('',#42362,#11066); #9372=LINE('',#42363,#11067); #9373=LINE('',#42366,#11068); #9374=LINE('',#42368,#11069); #9375=LINE('',#42369,#11070); #9376=LINE('',#42372,#11071); #9377=LINE('',#42376,#11072); #9378=LINE('',#42377,#11073); #9379=LINE('',#42380,#11074); #9380=LINE('',#42384,#11075); #9381=LINE('',#42388,#11076); #9382=LINE('',#42392,#11077); #9383=LINE('',#42393,#11078); #9384=LINE('',#42399,#11079); #9385=LINE('',#42403,#11080); #9386=LINE('',#42408,#11081); #9387=LINE('',#42412,#11082); #9388=LINE('',#42417,#11083); #9389=LINE('',#42421,#11084); #9390=LINE('',#42426,#11085); #9391=LINE('',#42430,#11086); #9392=LINE('',#42435,#11087); #9393=LINE('',#42439,#11088); #9394=LINE('',#42444,#11089); #9395=LINE('',#42448,#11090); #9396=LINE('',#42453,#11091); #9397=LINE('',#42457,#11092); #9398=LINE('',#42462,#11093); #9399=LINE('',#42466,#11094); #9400=LINE('',#42471,#11095); #9401=LINE('',#42475,#11096); #9402=LINE('',#42480,#11097); #9403=LINE('',#42484,#11098); #9404=LINE('',#42489,#11099); #9405=LINE('',#42493,#11100); #9406=LINE('',#42498,#11101); #9407=LINE('',#42502,#11102); #9408=LINE('',#42507,#11103); #9409=LINE('',#42562,#11104); #9410=LINE('',#42569,#11105); #9411=LINE('',#42573,#11106); #9412=LINE('',#42578,#11107); #9413=LINE('',#42582,#11108); #9414=LINE('',#42587,#11109); #9415=LINE('',#42591,#11110); #9416=LINE('',#42596,#11111); #9417=LINE('',#42600,#11112); #9418=LINE('',#42605,#11113); #9419=LINE('',#42609,#11114); #9420=LINE('',#42614,#11115); #9421=LINE('',#42618,#11116); #9422=LINE('',#42623,#11117); #9423=LINE('',#42627,#11118); #9424=LINE('',#42632,#11119); #9425=LINE('',#42636,#11120); #9426=LINE('',#42641,#11121); #9427=LINE('',#42645,#11122); #9428=LINE('',#42650,#11123); #9429=LINE('',#42654,#11124); #9430=LINE('',#42659,#11125); #9431=LINE('',#42663,#11126); #9432=LINE('',#42668,#11127); #9433=LINE('',#42672,#11128); #9434=LINE('',#42677,#11129); #9435=LINE('',#42681,#11130); #9436=LINE('',#42686,#11131); #9437=LINE('',#42690,#11132); #9438=LINE('',#42695,#11133); #9439=LINE('',#42699,#11134); #9440=LINE('',#42704,#11135); #9441=LINE('',#42708,#11136); #9442=LINE('',#42713,#11137); #9443=LINE('',#42717,#11138); #9444=LINE('',#42722,#11139); #9445=LINE('',#42726,#11140); #9446=LINE('',#42731,#11141); #9447=LINE('',#42735,#11142); #9448=LINE('',#42740,#11143); #9449=LINE('',#42744,#11144); #9450=LINE('',#42749,#11145); #9451=LINE('',#42753,#11146); #9452=LINE('',#42758,#11147); #9453=LINE('',#42762,#11148); #9454=LINE('',#42767,#11149); #9455=LINE('',#42771,#11150); #9456=LINE('',#42776,#11151); #9457=LINE('',#42780,#11152); #9458=LINE('',#42785,#11153); #9459=LINE('',#42789,#11154); #9460=LINE('',#42794,#11155); #9461=LINE('',#42798,#11156); #9462=LINE('',#42803,#11157); #9463=LINE('',#42807,#11158); #9464=LINE('',#42812,#11159); #9465=LINE('',#42816,#11160); #9466=LINE('',#42821,#11161); #9467=LINE('',#42825,#11162); #9468=LINE('',#42830,#11163); #9469=LINE('',#42834,#11164); #9470=LINE('',#42838,#11165); #9471=LINE('',#42842,#11166); #9472=LINE('',#42844,#11167); #9473=LINE('',#42846,#11168); #9474=LINE('',#42847,#11169); #9475=LINE('',#42850,#11170); #9476=LINE('',#42852,#11171); #9477=LINE('',#42854,#11172); #9478=LINE('',#42855,#11173); #9479=LINE('',#42858,#11174); #9480=LINE('',#42859,#11175); #9481=LINE('',#42862,#11176); #9482=LINE('',#42864,#11177); #9483=LINE('',#42865,#11178); #9484=LINE('',#42868,#11179); #9485=LINE('',#42870,#11180); #9486=LINE('',#42871,#11181); #9487=LINE('',#42874,#11182); #9488=LINE('',#42876,#11183); #9489=LINE('',#42879,#11184); #9490=LINE('',#42882,#11185); #9491=LINE('',#42884,#11186); #9492=LINE('',#42885,#11187); #9493=LINE('',#42887,#11188); #9494=LINE('',#42890,#11189); #9495=LINE('',#42893,#11190); #9496=LINE('',#42897,#11191); #9497=LINE('',#42901,#11192); #9498=LINE('',#42904,#11193); #9499=LINE('',#42907,#11194); #9500=LINE('',#42909,#11195); #9501=LINE('',#42910,#11196); #9502=LINE('',#42912,#11197); #9503=LINE('',#42914,#11198); #9504=LINE('',#42922,#11199); #9505=LINE('',#42926,#11200); #9506=LINE('',#42931,#11201); #9507=LINE('',#42935,#11202); #9508=LINE('',#42940,#11203); #9509=LINE('',#42944,#11204); #9510=LINE('',#42949,#11205); #9511=LINE('',#42953,#11206); #9512=LINE('',#42958,#11207); #9513=LINE('',#42962,#11208); #9514=LINE('',#42967,#11209); #9515=LINE('',#42971,#11210); #9516=LINE('',#42976,#11211); #9517=LINE('',#42980,#11212); #9518=LINE('',#42985,#11213); #9519=LINE('',#42989,#11214); #9520=LINE('',#42994,#11215); #9521=LINE('',#42998,#11216); #9522=LINE('',#43003,#11217); #9523=LINE('',#43007,#11218); #9524=LINE('',#43012,#11219); #9525=LINE('',#43016,#11220); #9526=LINE('',#43021,#11221); #9527=LINE('',#43068,#11222); #9528=LINE('',#43073,#11223); #9529=LINE('',#43080,#11224); #9530=LINE('',#43084,#11225); #9531=LINE('',#43089,#11226); #9532=LINE('',#43093,#11227); #9533=LINE('',#43098,#11228); #9534=LINE('',#43102,#11229); #9535=LINE('',#43107,#11230); #9536=LINE('',#43111,#11231); #9537=LINE('',#43115,#11232); #9538=LINE('',#43119,#11233); #9539=LINE('',#43143,#11234); #9540=LINE('',#43144,#11235); #9541=LINE('',#43155,#11236); #9542=LINE('',#43156,#11237); #9543=LINE('',#43167,#11238); #9544=LINE('',#43168,#11239); #9545=LINE('',#43179,#11240); #9546=LINE('',#43180,#11241); #9547=LINE('',#43188,#11242); #9548=LINE('',#43189,#11243); #9549=LINE('',#43192,#11244); #9550=LINE('',#43195,#11245); #9551=LINE('',#43198,#11246); #9552=LINE('',#43199,#11247); #9553=LINE('',#43202,#11248); #9554=LINE('',#43206,#11249); #9555=LINE('',#43207,#11250); #9556=LINE('',#43210,#11251); #9557=LINE('',#43214,#11252); #9558=LINE('',#43215,#11253); #9559=LINE('',#43218,#11254); #9560=LINE('',#43219,#11255); #9561=LINE('',#43225,#11256); #9562=LINE('',#43229,#11257); #9563=LINE('',#43234,#11258); #9564=LINE('',#43238,#11259); #9565=LINE('',#43243,#11260); #9566=LINE('',#43247,#11261); #9567=LINE('',#43252,#11262); #9568=LINE('',#43256,#11263); #9569=LINE('',#43259,#11264); #9570=LINE('',#43264,#11265); #9571=LINE('',#43268,#11266); #9572=LINE('',#43273,#11267); #9573=LINE('',#43277,#11268); #9574=LINE('',#43282,#11269); #9575=LINE('',#43286,#11270); #9576=LINE('',#43291,#11271); #9577=LINE('',#43295,#11272); #9578=LINE('',#43300,#11273); #9579=LINE('',#43304,#11274); #9580=LINE('',#43309,#11275); #9581=LINE('',#43313,#11276); #9582=LINE('',#43318,#11277); #9583=LINE('',#43322,#11278); #9584=LINE('',#43327,#11279); #9585=LINE('',#43331,#11280); #9586=LINE('',#43336,#11281); #9587=LINE('',#43340,#11282); #9588=LINE('',#43345,#11283); #9589=LINE('',#43349,#11284); #9590=LINE('',#43354,#11285); #9591=LINE('',#43358,#11286); #9592=LINE('',#43363,#11287); #9593=LINE('',#43367,#11288); #9594=LINE('',#43372,#11289); #9595=LINE('',#43376,#11290); #9596=LINE('',#43381,#11291); #9597=LINE('',#43385,#11292); #9598=LINE('',#43390,#11293); #9599=LINE('',#43394,#11294); #9600=LINE('',#43399,#11295); #9601=LINE('',#43403,#11296); #9602=LINE('',#43408,#11297); #9603=LINE('',#43412,#11298); #9604=LINE('',#43417,#11299); #9605=LINE('',#43421,#11300); #9606=LINE('',#43426,#11301); #9607=LINE('',#43430,#11302); #9608=LINE('',#43435,#11303); #9609=LINE('',#43439,#11304); #9610=LINE('',#43444,#11305); #9611=LINE('',#43448,#11306); #9612=LINE('',#43453,#11307); #9613=LINE('',#43457,#11308); #9614=LINE('',#43462,#11309); #9615=LINE('',#43466,#11310); #9616=LINE('',#43471,#11311); #9617=LINE('',#43475,#11312); #9618=LINE('',#43480,#11313); #9619=LINE('',#43484,#11314); #9620=LINE('',#43489,#11315); #9621=LINE('',#43493,#11316); #9622=LINE('',#43498,#11317); #9623=LINE('',#43502,#11318); #9624=LINE('',#43507,#11319); #9625=LINE('',#43511,#11320); #9626=LINE('',#43516,#11321); #9627=LINE('',#43520,#11322); #9628=LINE('',#43525,#11323); #9629=LINE('',#43529,#11324); #9630=LINE('',#43534,#11325); #9631=LINE('',#43538,#11326); #9632=LINE('',#43543,#11327); #9633=LINE('',#43547,#11328); #9634=LINE('',#43552,#11329); #9635=LINE('',#43556,#11330); #9636=LINE('',#43561,#11331); #9637=LINE('',#43565,#11332); #9638=LINE('',#43570,#11333); #9639=LINE('',#43574,#11334); #9640=LINE('',#43579,#11335); #9641=LINE('',#43583,#11336); #9642=LINE('',#43588,#11337); #9643=LINE('',#43592,#11338); #9644=LINE('',#43597,#11339); #9645=LINE('',#43601,#11340); #9646=LINE('',#43606,#11341); #9647=LINE('',#43610,#11342); #9648=LINE('',#43615,#11343); #9649=LINE('',#43619,#11344); #9650=LINE('',#43624,#11345); #9651=LINE('',#43628,#11346); #9652=LINE('',#43633,#11347); #9653=LINE('',#43637,#11348); #9654=LINE('',#43642,#11349); #9655=LINE('',#43646,#11350); #9656=LINE('',#43651,#11351); #9657=LINE('',#43655,#11352); #9658=LINE('',#43660,#11353); #9659=LINE('',#43664,#11354); #9660=LINE('',#43669,#11355); #9661=LINE('',#43673,#11356); #9662=LINE('',#43678,#11357); #9663=LINE('',#43682,#11358); #9664=LINE('',#43686,#11359); #9665=LINE('',#43688,#11360); #9666=LINE('',#43690,#11361); #9667=LINE('',#43691,#11362); #9668=LINE('',#43694,#11363); #9669=LINE('',#43696,#11364); #9670=LINE('',#43698,#11365); #9671=LINE('',#43699,#11366); #9672=LINE('',#43702,#11367); #9673=LINE('',#43705,#11368); #9674=LINE('',#43709,#11369); #9675=LINE('',#43714,#11370); #9676=LINE('',#43718,#11371); #9677=LINE('',#43720,#11372); #9678=LINE('',#43721,#11373); #9679=LINE('',#43724,#11374); #9680=LINE('',#43726,#11375); #9681=LINE('',#43727,#11376); #9682=LINE('',#43730,#11377); #9683=LINE('',#43732,#11378); #9684=LINE('',#43733,#11379); #9685=LINE('',#43735,#11380); #9686=LINE('',#43737,#11381); #9687=LINE('',#43739,#11382); #9688=LINE('',#43747,#11383); #9689=LINE('',#43751,#11384); #9690=LINE('',#43752,#11385); #9691=LINE('',#43754,#11386); #9692=LINE('',#43756,#11387); #9693=LINE('',#43758,#11388); #9694=LINE('',#43765,#11389); #9695=LINE('',#43767,#11390); #9696=LINE('',#43769,#11391); #9697=LINE('',#43770,#11392); #9698=LINE('',#43773,#11393); #9699=LINE('',#43775,#11394); #9700=LINE('',#43777,#11395); #9701=LINE('',#43778,#11396); #9702=LINE('',#43799,#11397); #9703=LINE('',#43803,#11398); #9704=LINE('',#43808,#11399); #9705=LINE('',#43812,#11400); #9706=LINE('',#43817,#11401); #9707=LINE('',#43821,#11402); #9708=LINE('',#43826,#11403); #9709=LINE('',#43830,#11404); #9710=LINE('',#43835,#11405); #9711=LINE('',#43839,#11406); #9712=LINE('',#43844,#11407); #9713=LINE('',#43848,#11408); #9714=LINE('',#43853,#11409); #9715=LINE('',#43857,#11410); #9716=LINE('',#43862,#11411); #9717=LINE('',#43866,#11412); #9718=LINE('',#43871,#11413); #9719=LINE('',#43924,#11414); #9720=LINE('',#43931,#11415); #9721=LINE('',#43935,#11416); #9722=LINE('',#43940,#11417); #9723=LINE('',#43944,#11418); #9724=LINE('',#43949,#11419); #9725=LINE('',#43953,#11420); #9726=LINE('',#43958,#11421); #9727=LINE('',#43962,#11422); #9728=LINE('',#43982,#11423); #9729=LINE('',#43987,#11424); #9730=LINE('',#44021,#11425); #9731=LINE('',#44025,#11426); #9732=LINE('',#44030,#11427); #9733=LINE('',#44034,#11428); #9734=LINE('',#44039,#11429); #9735=LINE('',#44043,#11430); #9736=LINE('',#44048,#11431); #9737=LINE('',#44052,#11432); #9738=LINE('',#44056,#11433); #9739=LINE('',#44061,#11434); #9740=LINE('',#44065,#11435); #9741=LINE('',#44070,#11436); #9742=LINE('',#44074,#11437); #9743=LINE('',#44079,#11438); #9744=LINE('',#44083,#11439); #9745=LINE('',#44088,#11440); #9746=LINE('',#44092,#11441); #9747=LINE('',#44097,#11442); #9748=LINE('',#44101,#11443); #9749=LINE('',#44106,#11444); #9750=LINE('',#44110,#11445); #9751=LINE('',#44115,#11446); #9752=LINE('',#44119,#11447); #9753=LINE('',#44124,#11448); #9754=LINE('',#44128,#11449); #9755=LINE('',#44133,#11450); #9756=LINE('',#44137,#11451); #9757=LINE('',#44142,#11452); #9758=LINE('',#44146,#11453); #9759=LINE('',#44151,#11454); #9760=LINE('',#44155,#11455); #9761=LINE('',#44159,#11456); #9762=LINE('',#44164,#11457); #9763=LINE('',#44168,#11458); #9764=LINE('',#44173,#11459); #9765=LINE('',#44177,#11460); #9766=LINE('',#44182,#11461); #9767=LINE('',#44186,#11462); #9768=LINE('',#44191,#11463); #9769=LINE('',#44195,#11464); #9770=LINE('',#44200,#11465); #9771=LINE('',#44204,#11466); #9772=LINE('',#44209,#11467); #9773=LINE('',#44213,#11468); #9774=LINE('',#44218,#11469); #9775=LINE('',#44222,#11470); #9776=LINE('',#44227,#11471); #9777=LINE('',#44231,#11472); #9778=LINE('',#44236,#11473); #9779=LINE('',#44240,#11474); #9780=LINE('',#44245,#11475); #9781=LINE('',#44249,#11476); #9782=LINE('',#44254,#11477); #9783=LINE('',#44258,#11478); #9784=LINE('',#44263,#11479); #9785=LINE('',#44267,#11480); #9786=LINE('',#44272,#11481); #9787=LINE('',#44276,#11482); #9788=LINE('',#44281,#11483); #9789=LINE('',#44285,#11484); #9790=LINE('',#44290,#11485); #9791=LINE('',#44294,#11486); #9792=LINE('',#44299,#11487); #9793=LINE('',#44303,#11488); #9794=LINE('',#44308,#11489); #9795=LINE('',#44312,#11490); #9796=LINE('',#44317,#11491); #9797=LINE('',#44321,#11492); #9798=LINE('',#44326,#11493); #9799=LINE('',#44330,#11494); #9800=LINE('',#44335,#11495); #9801=LINE('',#44339,#11496); #9802=LINE('',#44344,#11497); #9803=LINE('',#44348,#11498); #9804=LINE('',#44353,#11499); #9805=LINE('',#44357,#11500); #9806=LINE('',#44362,#11501); #9807=LINE('',#44366,#11502); #9808=LINE('',#44371,#11503); #9809=LINE('',#44375,#11504); #9810=LINE('',#44380,#11505); #9811=LINE('',#44384,#11506); #9812=LINE('',#44389,#11507); #9813=LINE('',#44393,#11508); #9814=LINE('',#44398,#11509); #9815=LINE('',#44402,#11510); #9816=LINE('',#44407,#11511); #9817=LINE('',#44411,#11512); #9818=LINE('',#44416,#11513); #9819=LINE('',#44420,#11514); #9820=LINE('',#44425,#11515); #9821=LINE('',#44429,#11516); #9822=LINE('',#44434,#11517); #9823=LINE('',#44438,#11518); #9824=LINE('',#44443,#11519); #9825=LINE('',#44447,#11520); #9826=LINE('',#44452,#11521); #9827=LINE('',#44456,#11522); #9828=LINE('',#44461,#11523); #9829=LINE('',#44465,#11524); #9830=LINE('',#44470,#11525); #9831=LINE('',#44474,#11526); #9832=LINE('',#44479,#11527); #9833=LINE('',#44483,#11528); #9834=LINE('',#44488,#11529); #9835=LINE('',#44492,#11530); #9836=LINE('',#44497,#11531); #9837=LINE('',#44501,#11532); #9838=LINE('',#44506,#11533); #9839=LINE('',#44510,#11534); #9840=LINE('',#44515,#11535); #9841=LINE('',#44519,#11536); #9842=LINE('',#44524,#11537); #9843=LINE('',#44528,#11538); #9844=LINE('',#44533,#11539); #9845=LINE('',#44537,#11540); #9846=LINE('',#44542,#11541); #9847=LINE('',#44546,#11542); #9848=LINE('',#44551,#11543); #9849=LINE('',#44555,#11544); #9850=LINE('',#44560,#11545); #9851=LINE('',#44564,#11546); #9852=LINE('',#44569,#11547); #9853=LINE('',#44573,#11548); #9854=LINE('',#44578,#11549); #9855=LINE('',#44582,#11550); #9856=LINE('',#44587,#11551); #9857=LINE('',#44591,#11552); #9858=LINE('',#44596,#11553); #9859=LINE('',#44600,#11554); #9860=LINE('',#44605,#11555); #9861=LINE('',#44609,#11556); #9862=LINE('',#44614,#11557); #9863=LINE('',#44618,#11558); #9864=LINE('',#44623,#11559); #9865=LINE('',#44627,#11560); #9866=LINE('',#44632,#11561); #9867=LINE('',#44636,#11562); #9868=LINE('',#44641,#11563); #9869=LINE('',#44645,#11564); #9870=LINE('',#44650,#11565); #9871=LINE('',#44654,#11566); #9872=LINE('',#44659,#11567); #9873=LINE('',#44663,#11568); #9874=LINE('',#44668,#11569); #9875=LINE('',#44672,#11570); #9876=LINE('',#44677,#11571); #9877=LINE('',#44681,#11572); #9878=LINE('',#44686,#11573); #9879=LINE('',#44688,#11574); #9880=LINE('',#44693,#11575); #9881=LINE('',#44695,#11576); #9882=LINE('',#44700,#11577); #9883=LINE('',#44702,#11578); #9884=LINE('',#44707,#11579); #9885=LINE('',#44709,#11580); #9886=LINE('',#44714,#11581); #9887=LINE('',#44716,#11582); #9888=LINE('',#44721,#11583); #9889=LINE('',#44723,#11584); #9890=LINE('',#44728,#11585); #9891=LINE('',#44730,#11586); #9892=LINE('',#44735,#11587); #9893=LINE('',#44737,#11588); #9894=LINE('',#44742,#11589); #9895=LINE('',#44746,#11590); #9896=LINE('',#44751,#11591); #9897=LINE('',#44755,#11592); #9898=LINE('',#44760,#11593); #9899=LINE('',#44764,#11594); #9900=LINE('',#44769,#11595); #9901=LINE('',#44773,#11596); #9902=LINE('',#44778,#11597); #9903=LINE('',#44782,#11598); #9904=LINE('',#44787,#11599); #9905=LINE('',#44791,#11600); #9906=LINE('',#44796,#11601); #9907=LINE('',#44800,#11602); #9908=LINE('',#44805,#11603); #9909=LINE('',#44809,#11604); #9910=LINE('',#44814,#11605); #9911=LINE('',#44818,#11606); #9912=LINE('',#44823,#11607); #9913=LINE('',#44827,#11608); #9914=LINE('',#44832,#11609); #9915=LINE('',#44836,#11610); #9916=LINE('',#44841,#11611); #9917=LINE('',#44845,#11612); #9918=LINE('',#44850,#11613); #9919=LINE('',#44854,#11614); #9920=LINE('',#44859,#11615); #9921=LINE('',#44863,#11616); #9922=LINE('',#44868,#11617); #9923=LINE('',#44872,#11618); #9924=LINE('',#44876,#11619); #9925=LINE('',#44880,#11620); #9926=LINE('',#44881,#11621); #9927=LINE('',#44882,#11622); #9928=LINE('',#44885,#11623); #9929=LINE('',#44887,#11624); #9930=LINE('',#44889,#11625); #9931=LINE('',#44892,#11626); #9932=LINE('',#44897,#11627); #9933=LINE('',#44905,#11628); #9934=LINE('',#44909,#11629); #9935=LINE('',#44913,#11630); #9936=LINE('',#44916,#11631); #9937=LINE('',#44919,#11632); #9938=LINE('',#44920,#11633); #9939=LINE('',#44923,#11634); #9940=LINE('',#44925,#11635); #9941=LINE('',#44927,#11636); #9942=LINE('',#44928,#11637); #9943=LINE('',#44931,#11638); #9944=LINE('',#44932,#11639); #9945=LINE('',#44934,#11640); #9946=LINE('',#44937,#11641); #9947=LINE('',#44940,#11642); #9948=LINE('',#44945,#11643); #9949=LINE('',#44949,#11644); #9950=LINE('',#44953,#11645); #9951=LINE('',#44957,#11646); #9952=LINE('',#44964,#11647); #9953=LINE('',#44966,#11648); #9954=LINE('',#44967,#11649); #9955=LINE('',#44969,#11650); #9956=LINE('',#44971,#11651); #9957=LINE('',#44972,#11652); #9958=LINE('',#44975,#11653); #9959=LINE('',#44977,#11654); #9960=LINE('',#44978,#11655); #9961=LINE('',#44980,#11656); #9962=LINE('',#44981,#11657); #9963=LINE('',#44983,#11658); #9964=LINE('',#44984,#11659); #9965=LINE('',#44988,#11660); #9966=LINE('',#44989,#11661); #9967=LINE('',#44994,#11662); #9968=LINE('',#44999,#11663); #9969=LINE('',#45003,#11664); #9970=LINE('',#45013,#11665); #9971=LINE('',#45019,#11666); #9972=LINE('',#45025,#11667); #9973=LINE('',#45031,#11668); #9974=LINE('',#45037,#11669); #9975=LINE('',#45043,#11670); #9976=LINE('',#45049,#11671); #9977=LINE('',#45055,#11672); #9978=LINE('',#45061,#11673); #9979=LINE('',#45067,#11674); #9980=LINE('',#45073,#11675); #9981=LINE('',#45079,#11676); #9982=LINE('',#45085,#11677); #9983=LINE('',#45091,#11678); #9984=LINE('',#45097,#11679); #9985=LINE('',#45103,#11680); #9986=LINE('',#45109,#11681); #9987=LINE('',#45115,#11682); #9988=LINE('',#45121,#11683); #9989=LINE('',#45127,#11684); #9990=LINE('',#45133,#11685); #9991=LINE('',#45139,#11686); #9992=LINE('',#45145,#11687); #9993=LINE('',#45151,#11688); #9994=LINE('',#45157,#11689); #9995=LINE('',#45163,#11690); #9996=LINE('',#45169,#11691); #9997=LINE('',#45175,#11692); #9998=LINE('',#45181,#11693); #9999=LINE('',#45187,#11694); #10000=LINE('',#45193,#11695); #10001=LINE('',#45199,#11696); #10002=LINE('',#45205,#11697); #10003=LINE('',#45211,#11698); #10004=LINE('',#45217,#11699); #10005=LINE('',#45223,#11700); #10006=LINE('',#45229,#11701); #10007=LINE('',#45235,#11702); #10008=LINE('',#45241,#11703); #10009=LINE('',#45247,#11704); #10010=LINE('',#45253,#11705); #10011=LINE('',#45259,#11706); #10012=LINE('',#45265,#11707); #10013=LINE('',#45271,#11708); #10014=LINE('',#45277,#11709); #10015=LINE('',#45283,#11710); #10016=LINE('',#45289,#11711); #10017=LINE('',#45295,#11712); #10018=LINE('',#45301,#11713); #10019=LINE('',#45307,#11714); #10020=LINE('',#45313,#11715); #10021=LINE('',#45319,#11716); #10022=LINE('',#45325,#11717); #10023=LINE('',#45331,#11718); #10024=LINE('',#45337,#11719); #10025=LINE('',#45343,#11720); #10026=LINE('',#45349,#11721); #10027=LINE('',#45355,#11722); #10028=LINE('',#45361,#11723); #10029=LINE('',#45367,#11724); #10030=LINE('',#45373,#11725); #10031=LINE('',#45379,#11726); #10032=LINE('',#45385,#11727); #10033=LINE('',#45391,#11728); #10034=LINE('',#45397,#11729); #10035=LINE('',#45403,#11730); #10036=LINE('',#45409,#11731); #10037=LINE('',#45415,#11732); #10038=LINE('',#45421,#11733); #10039=LINE('',#45427,#11734); #10040=LINE('',#45433,#11735); #10041=LINE('',#45439,#11736); #10042=LINE('',#45445,#11737); #10043=LINE('',#45451,#11738); #10044=LINE('',#45457,#11739); #10045=LINE('',#45463,#11740); #10046=LINE('',#45469,#11741); #10047=LINE('',#45475,#11742); #10048=LINE('',#45481,#11743); #10049=LINE('',#45487,#11744); #10050=LINE('',#45493,#11745); #10051=LINE('',#45499,#11746); #10052=LINE('',#45505,#11747); #10053=LINE('',#45511,#11748); #10054=LINE('',#45517,#11749); #10055=LINE('',#45523,#11750); #10056=LINE('',#45529,#11751); #10057=LINE('',#45535,#11752); #10058=LINE('',#45541,#11753); #10059=LINE('',#45547,#11754); #10060=LINE('',#45553,#11755); #10061=LINE('',#45559,#11756); #10062=LINE('',#45565,#11757); #10063=LINE('',#45571,#11758); #10064=LINE('',#45577,#11759); #10065=LINE('',#45583,#11760); #10066=LINE('',#45590,#11761); #10067=LINE('',#45593,#11762); #10068=LINE('',#45596,#11763); #10069=LINE('',#45598,#11764); #10070=LINE('',#45599,#11765); #10071=LINE('',#45605,#11766); #10072=LINE('',#45607,#11767); #10073=LINE('',#45608,#11768); #10074=LINE('',#45616,#11769); #10075=LINE('',#45622,#11770); #10076=LINE('',#45628,#11771); #10077=LINE('',#45634,#11772); #10078=LINE('',#45644,#11773); #10079=LINE('',#45647,#11774); #10080=LINE('',#45657,#11775); #10081=LINE('',#45660,#11776); #10082=LINE('',#45666,#11777); #10083=LINE('',#45672,#11778); #10084=LINE('',#45683,#11779); #10085=LINE('',#45686,#11780); #10086=LINE('',#45692,#11781); #10087=LINE('',#45698,#11782); #10088=LINE('',#45709,#11783); #10089=LINE('',#45712,#11784); #10090=LINE('',#45718,#11785); #10091=LINE('',#45724,#11786); #10092=LINE('',#45735,#11787); #10093=LINE('',#45738,#11788); #10094=LINE('',#45744,#11789); #10095=LINE('',#45750,#11790); #10096=LINE('',#45761,#11791); #10097=LINE('',#45764,#11792); #10098=LINE('',#45770,#11793); #10099=LINE('',#45776,#11794); #10100=LINE('',#45787,#11795); #10101=LINE('',#45790,#11796); #10102=LINE('',#45796,#11797); #10103=LINE('',#45802,#11798); #10104=LINE('',#45813,#11799); #10105=LINE('',#45816,#11800); #10106=LINE('',#45822,#11801); #10107=LINE('',#45828,#11802); #10108=LINE('',#45839,#11803); #10109=LINE('',#45842,#11804); #10110=LINE('',#45852,#11805); #10111=LINE('',#45855,#11806); #10112=LINE('',#45865,#11807); #10113=LINE('',#45868,#11808); #10114=LINE('',#45878,#11809); #10115=LINE('',#45881,#11810); #10116=LINE('',#45891,#11811); #10117=LINE('',#45894,#11812); #10118=LINE('',#45904,#11813); #10119=LINE('',#45907,#11814); #10120=LINE('',#45917,#11815); #10121=LINE('',#45920,#11816); #10122=LINE('',#45930,#11817); #10123=LINE('',#45933,#11818); #10124=LINE('',#45943,#11819); #10125=LINE('',#45946,#11820); #10126=LINE('',#45956,#11821); #10127=LINE('',#45959,#11822); #10128=LINE('',#45969,#11823); #10129=LINE('',#45972,#11824); #10130=LINE('',#45982,#11825); #10131=LINE('',#45985,#11826); #10132=LINE('',#45995,#11827); #10133=LINE('',#45998,#11828); #10134=LINE('',#46008,#11829); #10135=LINE('',#46011,#11830); #10136=LINE('',#46021,#11831); #10137=LINE('',#46024,#11832); #10138=LINE('',#46030,#11833); #10139=LINE('',#46036,#11834); #10140=LINE('',#46042,#11835); #10141=LINE('',#46046,#11836); #10142=LINE('',#46051,#11837); #10143=LINE('',#46055,#11838); #10144=LINE('',#46060,#11839); #10145=LINE('',#46064,#11840); #10146=LINE('',#46069,#11841); #10147=LINE('',#46073,#11842); #10148=LINE('',#46078,#11843); #10149=LINE('',#46082,#11844); #10150=LINE('',#46087,#11845); #10151=LINE('',#46091,#11846); #10152=LINE('',#46096,#11847); #10153=LINE('',#46100,#11848); #10154=LINE('',#46105,#11849); #10155=LINE('',#46109,#11850); #10156=LINE('',#46114,#11851); #10157=LINE('',#46118,#11852); #10158=LINE('',#46123,#11853); #10159=LINE('',#46127,#11854); #10160=LINE('',#46132,#11855); #10161=LINE('',#46136,#11856); #10162=LINE('',#46141,#11857); #10163=LINE('',#46145,#11858); #10164=LINE('',#46150,#11859); #10165=LINE('',#46154,#11860); #10166=LINE('',#46159,#11861); #10167=LINE('',#46163,#11862); #10168=LINE('',#46168,#11863); #10169=LINE('',#46172,#11864); #10170=LINE('',#46177,#11865); #10171=LINE('',#46181,#11866); #10172=LINE('',#46186,#11867); #10173=LINE('',#46190,#11868); #10174=LINE('',#46195,#11869); #10175=LINE('',#46199,#11870); #10176=LINE('',#46204,#11871); #10177=LINE('',#46208,#11872); #10178=LINE('',#46213,#11873); #10179=LINE('',#46217,#11874); #10180=LINE('',#46222,#11875); #10181=LINE('',#46226,#11876); #10182=LINE('',#46231,#11877); #10183=LINE('',#46237,#11878); #10184=LINE('',#46243,#11879); #10185=LINE('',#46249,#11880); #10186=LINE('',#46255,#11881); #10187=LINE('',#46261,#11882); #10188=LINE('',#46267,#11883); #10189=LINE('',#46273,#11884); #10190=LINE('',#46279,#11885); #10191=LINE('',#46285,#11886); #10192=LINE('',#46300,#11887); #10193=LINE('',#46301,#11888); #10194=LINE('',#46324,#11889); #10195=LINE('',#46325,#11890); #10196=LINE('',#46337,#11891); #10197=LINE('',#46340,#11892); #10198=LINE('',#46343,#11893); #10199=LINE('',#46347,#11894); #10200=LINE('',#46348,#11895); #10201=LINE('',#46351,#11896); #10202=LINE('',#46358,#11897); #10203=LINE('',#46366,#11898); #10204=LINE('',#46373,#11899); #10205=LINE('',#46376,#11900); #10206=LINE('',#46377,#11901); #10207=LINE('',#46381,#11902); #10208=LINE('',#46388,#11903); #10209=LINE('',#46394,#11904); #10210=LINE('',#46400,#11905); #10211=LINE('',#46406,#11906); #10212=LINE('',#46412,#11907); #10213=LINE('',#46418,#11908); #10214=LINE('',#46424,#11909); #10215=LINE('',#46430,#11910); #10216=LINE('',#46436,#11911); #10217=LINE('',#46442,#11912); #10218=LINE('',#46448,#11913); #10219=LINE('',#46454,#11914); #10220=LINE('',#46460,#11915); #10221=LINE('',#46466,#11916); #10222=LINE('',#46472,#11917); #10223=LINE('',#46478,#11918); #10224=LINE('',#46484,#11919); #10225=LINE('',#46490,#11920); #10226=LINE('',#46496,#11921); #10227=LINE('',#46502,#11922); #10228=LINE('',#46508,#11923); #10229=LINE('',#46514,#11924); #10230=LINE('',#46520,#11925); #10231=LINE('',#46526,#11926); #10232=LINE('',#46532,#11927); #10233=LINE('',#46538,#11928); #10234=LINE('',#46544,#11929); #10235=LINE('',#46550,#11930); #10236=LINE('',#46556,#11931); #10237=LINE('',#46562,#11932); #10238=LINE('',#46568,#11933); #10239=LINE('',#46574,#11934); #10240=LINE('',#46580,#11935); #10241=LINE('',#46586,#11936); #10242=LINE('',#46592,#11937); #10243=LINE('',#46598,#11938); #10244=LINE('',#46604,#11939); #10245=LINE('',#46610,#11940); #10246=LINE('',#46616,#11941); #10247=LINE('',#46622,#11942); #10248=LINE('',#46628,#11943); #10249=LINE('',#46634,#11944); #10250=LINE('',#46640,#11945); #10251=LINE('',#46646,#11946); #10252=LINE('',#46652,#11947); #10253=LINE('',#46658,#11948); #10254=LINE('',#46664,#11949); #10255=LINE('',#46670,#11950); #10256=LINE('',#46676,#11951); #10257=LINE('',#46682,#11952); #10258=LINE('',#46688,#11953); #10259=LINE('',#46694,#11954); #10260=LINE('',#46700,#11955); #10261=LINE('',#46706,#11956); #10262=LINE('',#46712,#11957); #10263=LINE('',#46719,#11958); #10264=LINE('',#46722,#11959); #10265=LINE('',#46728,#11960); #10266=LINE('',#46734,#11961); #10267=LINE('',#46737,#11962); #10268=LINE('',#46739,#11963); #10269=LINE('',#46740,#11964); #10270=LINE('',#46743,#11965); #10271=LINE('',#46746,#11966); #10272=LINE('',#46749,#11967); #10273=LINE('',#46750,#11968); #10274=LINE('',#46754,#11969); #10275=LINE('',#46758,#11970); #10276=LINE('',#46764,#11971); #10277=LINE('',#46768,#11972); #10278=LINE('',#46771,#11973); #10279=LINE('',#46772,#11974); #10280=LINE('',#46776,#11975); #10281=LINE('',#46781,#11976); #10282=LINE('',#46783,#11977); #10283=LINE('',#46784,#11978); #10284=LINE('',#46792,#11979); #10285=LINE('',#46799,#11980); #10286=LINE('',#46803,#11981); #10287=LINE('',#46808,#11982); #10288=LINE('',#46812,#11983); #10289=LINE('',#46817,#11984); #10290=LINE('',#46821,#11985); #10291=LINE('',#46826,#11986); #10292=LINE('',#46830,#11987); #10293=LINE('',#46835,#11988); #10294=LINE('',#46839,#11989); #10295=LINE('',#46844,#11990); #10296=LINE('',#46848,#11991); #10297=LINE('',#46853,#11992); #10298=LINE('',#46857,#11993); #10299=LINE('',#46862,#11994); #10300=LINE('',#46866,#11995); #10301=LINE('',#46871,#11996); #10302=LINE('',#46875,#11997); #10303=LINE('',#46880,#11998); #10304=LINE('',#46884,#11999); #10305=LINE('',#46889,#12000); #10306=LINE('',#46893,#12001); #10307=LINE('',#46898,#12002); #10308=LINE('',#46902,#12003); #10309=LINE('',#46907,#12004); #10310=LINE('',#46911,#12005); #10311=LINE('',#46916,#12006); #10312=LINE('',#46920,#12007); #10313=LINE('',#46925,#12008); #10314=LINE('',#46929,#12009); #10315=LINE('',#46934,#12010); #10316=LINE('',#46938,#12011); #10317=LINE('',#46943,#12012); #10318=LINE('',#46947,#12013); #10319=LINE('',#46952,#12014); #10320=LINE('',#46956,#12015); #10321=LINE('',#46961,#12016); #10322=LINE('',#46965,#12017); #10323=LINE('',#46970,#12018); #10324=LINE('',#46974,#12019); #10325=LINE('',#46979,#12020); #10326=LINE('',#46983,#12021); #10327=LINE('',#46988,#12022); #10328=LINE('',#46992,#12023); #10329=LINE('',#46997,#12024); #10330=LINE('',#47001,#12025); #10331=LINE('',#47006,#12026); #10332=LINE('',#47010,#12027); #10333=LINE('',#47015,#12028); #10334=LINE('',#47019,#12029); #10335=LINE('',#47024,#12030); #10336=LINE('',#47028,#12031); #10337=LINE('',#47033,#12032); #10338=LINE('',#47037,#12033); #10339=LINE('',#47042,#12034); #10340=LINE('',#47046,#12035); #10341=LINE('',#47051,#12036); #10342=LINE('',#47055,#12037); #10343=LINE('',#47060,#12038); #10344=LINE('',#47064,#12039); #10345=LINE('',#47069,#12040); #10346=LINE('',#47073,#12041); #10347=LINE('',#47078,#12042); #10348=LINE('',#47082,#12043); #10349=LINE('',#47087,#12044); #10350=LINE('',#47091,#12045); #10351=LINE('',#47096,#12046); #10352=LINE('',#47100,#12047); #10353=LINE('',#47105,#12048); #10354=LINE('',#47109,#12049); #10355=LINE('',#47113,#12050); #10356=LINE('',#47115,#12051); #10357=LINE('',#47117,#12052); #10358=LINE('',#47118,#12053); #10359=LINE('',#47121,#12054); #10360=LINE('',#47123,#12055); #10361=LINE('',#47125,#12056); #10362=LINE('',#47128,#12057); #10363=LINE('',#47131,#12058); #10364=LINE('',#47132,#12059); #10365=LINE('',#47135,#12060); #10366=LINE('',#47136,#12061); #10367=LINE('',#47139,#12062); #10368=LINE('',#47140,#12063); #10369=LINE('',#47143,#12064); #10370=LINE('',#47146,#12065); #10371=LINE('',#47153,#12066); #10372=LINE('',#47159,#12067); #10373=LINE('',#47165,#12068); #10374=LINE('',#47171,#12069); #10375=LINE('',#47177,#12070); #10376=LINE('',#47181,#12071); #10377=LINE('',#47186,#12072); #10378=LINE('',#47190,#12073); #10379=LINE('',#47195,#12074); #10380=LINE('',#47199,#12075); #10381=LINE('',#47203,#12076); #10382=LINE('',#47205,#12077); #10383=LINE('',#47207,#12078); #10384=LINE('',#47208,#12079); #10385=LINE('',#47221,#12080); #10386=LINE('',#47223,#12081); #10387=LINE('',#47224,#12082); #10388=LINE('',#47227,#12083); #10389=LINE('',#47231,#12084); #10390=LINE('',#47235,#12085); #10391=LINE('',#47239,#12086); #10392=LINE('',#47243,#12087); #10393=LINE('',#47247,#12088); #10394=LINE('',#47248,#12089); #10395=LINE('',#47257,#12090); #10396=LINE('',#47258,#12091); #10397=LINE('',#47263,#12092); #10398=LINE('',#47265,#12093); #10399=LINE('',#47270,#12094); #10400=LINE('',#47272,#12095); #10401=LINE('',#47275,#12096); #10402=LINE('',#47286,#12097); #10403=LINE('',#47288,#12098); #10404=LINE('',#47294,#12099); #10405=LINE('',#47296,#12100); #10406=LINE('',#47298,#12101); #10407=LINE('',#47299,#12102); #10408=LINE('',#47308,#12103); #10409=LINE('',#47311,#12104); #10410=LINE('',#47321,#12105); #10411=LINE('',#47324,#12106); #10412=LINE('',#47334,#12107); #10413=LINE('',#47337,#12108); #10414=LINE('',#47347,#12109); #10415=LINE('',#47350,#12110); #10416=LINE('',#47360,#12111); #10417=LINE('',#47363,#12112); #10418=LINE('',#47373,#12113); #10419=LINE('',#47376,#12114); #10420=LINE('',#47386,#12115); #10421=LINE('',#47389,#12116); #10422=LINE('',#47399,#12117); #10423=LINE('',#47402,#12118); #10424=LINE('',#47412,#12119); #10425=LINE('',#47415,#12120); #10426=LINE('',#47425,#12121); #10427=LINE('',#47428,#12122); #10428=LINE('',#47433,#12123); #10429=LINE('',#47435,#12124); #10430=LINE('',#47437,#12125); #10431=LINE('',#47438,#12126); #10432=LINE('',#47440,#12127); #10433=LINE('',#47441,#12128); #10434=LINE('',#47443,#12129); #10435=LINE('',#47444,#12130); #10436=LINE('',#47452,#12131); #10437=LINE('',#47456,#12132); #10438=LINE('',#47461,#12133); #10439=LINE('',#47465,#12134); #10440=LINE('',#47470,#12135); #10441=LINE('',#47474,#12136); #10442=LINE('',#47479,#12137); #10443=LINE('',#47483,#12138); #10444=LINE('',#47488,#12139); #10445=LINE('',#47492,#12140); #10446=LINE('',#47497,#12141); #10447=LINE('',#47501,#12142); #10448=LINE('',#47506,#12143); #10449=LINE('',#47510,#12144); #10450=LINE('',#47515,#12145); #10451=LINE('',#47519,#12146); #10452=LINE('',#47524,#12147); #10453=LINE('',#47528,#12148); #10454=LINE('',#47533,#12149); #10455=LINE('',#47537,#12150); #10456=LINE('',#47542,#12151); #10457=LINE('',#47546,#12152); #10458=LINE('',#47551,#12153); #10459=LINE('',#47555,#12154); #10460=LINE('',#47560,#12155); #10461=LINE('',#47564,#12156); #10462=LINE('',#47569,#12157); #10463=LINE('',#47573,#12158); #10464=LINE('',#47578,#12159); #10465=LINE('',#47582,#12160); #10466=LINE('',#47587,#12161); #10467=LINE('',#47591,#12162); #10468=LINE('',#47596,#12163); #10469=LINE('',#47600,#12164); #10470=LINE('',#47605,#12165); #10471=LINE('',#47609,#12166); #10472=LINE('',#47614,#12167); #10473=LINE('',#47618,#12168); #10474=LINE('',#47623,#12169); #10475=LINE('',#47627,#12170); #10476=LINE('',#47632,#12171); #10477=LINE('',#47636,#12172); #10478=LINE('',#47641,#12173); #10479=LINE('',#47645,#12174); #10480=LINE('',#47650,#12175); #10481=LINE('',#47654,#12176); #10482=LINE('',#47659,#12177); #10483=LINE('',#47663,#12178); #10484=LINE('',#47668,#12179); #10485=LINE('',#47672,#12180); #10486=LINE('',#47677,#12181); #10487=LINE('',#47681,#12182); #10488=LINE('',#47686,#12183); #10489=LINE('',#47690,#12184); #10490=LINE('',#47695,#12185); #10491=LINE('',#47699,#12186); #10492=LINE('',#47704,#12187); #10493=LINE('',#47708,#12188); #10494=LINE('',#47713,#12189); #10495=LINE('',#47717,#12190); #10496=LINE('',#47722,#12191); #10497=LINE('',#47726,#12192); #10498=LINE('',#47731,#12193); #10499=LINE('',#47735,#12194); #10500=LINE('',#47740,#12195); #10501=LINE('',#47744,#12196); #10502=LINE('',#47749,#12197); #10503=LINE('',#47753,#12198); #10504=LINE('',#47758,#12199); #10505=LINE('',#47762,#12200); #10506=LINE('',#47767,#12201); #10507=LINE('',#47771,#12202); #10508=LINE('',#47775,#12203); #10509=LINE('',#47777,#12204); #10510=LINE('',#47779,#12205); #10511=LINE('',#47780,#12206); #10512=LINE('',#47783,#12207); #10513=LINE('',#47785,#12208); #10514=LINE('',#47787,#12209); #10515=LINE('',#47788,#12210); #10516=LINE('',#47791,#12211); #10517=LINE('',#47792,#12212); #10518=LINE('',#47795,#12213); #10519=LINE('',#47797,#12214); #10520=LINE('',#47799,#12215); #10521=LINE('',#47803,#12216); #10522=LINE('',#47804,#12217); #10523=LINE('',#47807,#12218); #10524=LINE('',#47808,#12219); #10525=LINE('',#47811,#12220); #10526=LINE('',#47813,#12221); #10527=LINE('',#47814,#12222); #10528=LINE('',#47816,#12223); #10529=LINE('',#47819,#12224); #10530=LINE('',#47822,#12225); #10531=LINE('',#47824,#12226); #10532=LINE('',#47827,#12227); #10533=LINE('',#47828,#12228); #10534=LINE('',#47830,#12229); #10535=LINE('',#47837,#12230); #10536=LINE('',#47841,#12231); #10537=LINE('',#47846,#12232); #10538=LINE('',#47850,#12233); #10539=LINE('',#47855,#12234); #10540=LINE('',#47859,#12235); #10541=LINE('',#47864,#12236); #10542=LINE('',#47868,#12237); #10543=LINE('',#47873,#12238); #10544=LINE('',#47877,#12239); #10545=LINE('',#47882,#12240); #10546=LINE('',#47888,#12241); #10547=LINE('',#47894,#12242); #10548=LINE('',#47900,#12243); #10549=LINE('',#47906,#12244); #10550=LINE('',#47912,#12245); #10551=LINE('',#47918,#12246); #10552=LINE('',#47924,#12247); #10553=LINE('',#47930,#12248); #10554=LINE('',#47936,#12249); #10555=LINE('',#47942,#12250); #10556=LINE('',#47948,#12251); #10557=LINE('',#47954,#12252); #10558=LINE('',#47960,#12253); #10559=LINE('',#47966,#12254); #10560=LINE('',#47972,#12255); #10561=LINE('',#47978,#12256); #10562=LINE('',#47983,#12257); #10563=LINE('',#47985,#12258); #10564=LINE('',#47987,#12259); #10565=LINE('',#47991,#12260); #10566=LINE('',#47993,#12261); #10567=LINE('',#47995,#12262); #10568=LINE('',#47997,#12263); #10569=LINE('',#47998,#12264); #10570=LINE('',#48002,#12265); #10571=LINE('',#48012,#12266); #10572=LINE('',#48013,#12267); #10573=LINE('',#48016,#12268); #10574=LINE('',#48023,#12269); #10575=LINE('',#48027,#12270); #10576=LINE('',#48032,#12271); #10577=LINE('',#48036,#12272); #10578=LINE('',#48041,#12273); #10579=LINE('',#48045,#12274); #10580=LINE('',#48050,#12275); #10581=LINE('',#48054,#12276); #10582=LINE('',#48059,#12277); #10583=LINE('',#48063,#12278); #10584=LINE('',#48068,#12279); #10585=LINE('',#48072,#12280); #10586=LINE('',#48077,#12281); #10587=LINE('',#48081,#12282); #10588=LINE('',#48086,#12283); #10589=LINE('',#48092,#12284); #10590=LINE('',#48098,#12285); #10591=LINE('',#48104,#12286); #10592=LINE('',#48110,#12287); #10593=LINE('',#48116,#12288); #10594=LINE('',#48122,#12289); #10595=LINE('',#48128,#12290); #10596=LINE('',#48134,#12291); #10597=LINE('',#48140,#12292); #10598=LINE('',#48146,#12293); #10599=LINE('',#48152,#12294); #10600=LINE('',#48158,#12295); #10601=LINE('',#48164,#12296); #10602=LINE('',#48170,#12297); #10603=LINE('',#48176,#12298); #10604=LINE('',#48181,#12299); #10605=LINE('',#48183,#12300); #10606=LINE('',#48186,#12301); #10607=LINE('',#48189,#12302); #10608=LINE('',#48191,#12303); #10609=LINE('',#48192,#12304); #10610=LINE('',#48197,#12305); #10611=LINE('',#48198,#12306); #10612=LINE('',#48205,#12307); #10613=LINE('',#48215,#12308); #10614=LINE('',#48217,#12309); #10615=LINE('',#48218,#12310); #10616=LINE('',#48220,#12311); #10617=LINE('',#48223,#12312); #10618=LINE('',#48225,#12313); #10619=LINE('',#48227,#12314); #10620=LINE('',#48229,#12315); #10621=LINE('',#48230,#12316); #10622=LINE('',#48232,#12317); #10623=LINE('',#48234,#12318); #10624=LINE('',#48236,#12319); #10625=LINE('',#48238,#12320); #10626=LINE('',#48245,#12321); #10627=LINE('',#48249,#12322); #10628=LINE('',#48254,#12323); #10629=LINE('',#48258,#12324); #10630=LINE('',#48263,#12325); #10631=LINE('',#48267,#12326); #10632=LINE('',#48272,#12327); #10633=LINE('',#48276,#12328); #10634=LINE('',#48281,#12329); #10635=LINE('',#48285,#12330); #10636=LINE('',#48290,#12331); #10637=LINE('',#48296,#12332); #10638=LINE('',#48302,#12333); #10639=LINE('',#48308,#12334); #10640=LINE('',#48314,#12335); #10641=LINE('',#48320,#12336); #10642=LINE('',#48326,#12337); #10643=LINE('',#48332,#12338); #10644=LINE('',#48338,#12339); #10645=LINE('',#48344,#12340); #10646=LINE('',#48350,#12341); #10647=LINE('',#48356,#12342); #10648=LINE('',#48362,#12343); #10649=LINE('',#48368,#12344); #10650=LINE('',#48374,#12345); #10651=LINE('',#48380,#12346); #10652=LINE('',#48386,#12347); #10653=LINE('',#48393,#12348); #10654=LINE('',#48395,#12349); #10655=LINE('',#48396,#12350); #10656=LINE('',#48401,#12351); #10657=LINE('',#48402,#12352); #10658=LINE('',#48408,#12353); #10659=LINE('',#48410,#12354); #10660=LINE('',#48411,#12355); #10661=LINE('',#48414,#12356); #10662=LINE('',#48417,#12357); #10663=LINE('',#48418,#12358); #10664=LINE('',#48424,#12359); #10665=LINE('',#48430,#12360); #10666=LINE('',#48432,#12361); #10667=LINE('',#48434,#12362); #10668=LINE('',#48435,#12363); #10669=LINE('',#48440,#12364); #10670=LINE('',#48447,#12365); #10671=LINE('',#48452,#12366); #10672=LINE('',#48458,#12367); #10673=LINE('',#48462,#12368); #10674=LINE('',#48464,#12369); #10675=LINE('',#48465,#12370); #10676=LINE('',#48468,#12371); #10677=LINE('',#48470,#12372); #10678=LINE('',#48471,#12373); #10679=LINE('',#48482,#12374); #10680=LINE('',#48486,#12375); #10681=LINE('',#48491,#12376); #10682=LINE('',#48495,#12377); #10683=LINE('',#48500,#12378); #10684=LINE('',#48504,#12379); #10685=LINE('',#48509,#12380); #10686=LINE('',#48513,#12381); #10687=LINE('',#48518,#12382); #10688=LINE('',#48522,#12383); #10689=LINE('',#48527,#12384); #10690=LINE('',#48531,#12385); #10691=LINE('',#48536,#12386); #10692=LINE('',#48540,#12387); #10693=LINE('',#48545,#12388); #10694=LINE('',#48549,#12389); #10695=LINE('',#48554,#12390); #10696=LINE('',#48558,#12391); #10697=LINE('',#48563,#12392); #10698=LINE('',#48567,#12393); #10699=LINE('',#48572,#12394); #10700=LINE('',#48576,#12395); #10701=LINE('',#48581,#12396); #10702=LINE('',#48585,#12397); #10703=LINE('',#48590,#12398); #10704=LINE('',#48594,#12399); #10705=LINE('',#48599,#12400); #10706=LINE('',#48603,#12401); #10707=LINE('',#48608,#12402); #10708=LINE('',#48612,#12403); #10709=LINE('',#48617,#12404); #10710=LINE('',#48621,#12405); #10711=LINE('',#48626,#12406); #10712=LINE('',#48630,#12407); #10713=LINE('',#48635,#12408); #10714=LINE('',#48639,#12409); #10715=LINE('',#48644,#12410); #10716=LINE('',#48648,#12411); #10717=LINE('',#48653,#12412); #10718=LINE('',#48657,#12413); #10719=LINE('',#48662,#12414); #10720=LINE('',#48666,#12415); #10721=LINE('',#48671,#12416); #10722=LINE('',#48675,#12417); #10723=LINE('',#48680,#12418); #10724=LINE('',#48684,#12419); #10725=LINE('',#48689,#12420); #10726=LINE('',#48693,#12421); #10727=LINE('',#48698,#12422); #10728=LINE('',#48702,#12423); #10729=LINE('',#48708,#12424); #10730=LINE('',#48711,#12425); #10731=LINE('',#48714,#12426); #10732=LINE('',#48718,#12427); #10733=LINE('',#48720,#12428); #10734=LINE('',#48722,#12429); #10735=LINE('',#48724,#12430); #10736=LINE('',#48726,#12431); #10737=LINE('',#48728,#12432); #10738=LINE('',#48729,#12433); #10739=LINE('',#48774,#12434); #10740=LINE('',#48775,#12435); #10741=LINE('',#48779,#12436); #10742=LINE('',#48782,#12437); #10743=LINE('',#48783,#12438); #10744=LINE('',#48786,#12439); #10745=LINE('',#48788,#12440); #10746=LINE('',#48791,#12441); #10747=LINE('',#48818,#12442); #10748=LINE('',#48820,#12443); #10749=LINE('',#48821,#12444); #10750=LINE('',#48824,#12445); #10751=LINE('',#48825,#12446); #10752=LINE('',#48828,#12447); #10753=LINE('',#48829,#12448); #10754=LINE('',#48831,#12449); #10755=LINE('',#48834,#12450); #10756=LINE('',#48836,#12451); #10757=LINE('',#48838,#12452); #10758=LINE('',#48842,#12453); #10759=LINE('',#48844,#12454); #10760=LINE('',#48845,#12455); #10761=LINE('',#48864,#12456); #10762=LINE('',#48866,#12457); #10763=LINE('',#48867,#12458); #10764=LINE('',#48871,#12459); #10765=LINE('',#48875,#12460); #10766=LINE('',#48879,#12461); #10767=LINE('',#48883,#12462); #10768=LINE('',#48887,#12463); #10769=LINE('',#48891,#12464); #10770=LINE('',#48895,#12465); #10771=LINE('',#48899,#12466); #10772=LINE('',#48903,#12467); #10773=LINE('',#48907,#12468); #10774=LINE('',#48911,#12469); #10775=LINE('',#48915,#12470); #10776=LINE('',#48918,#12471); #10777=LINE('',#48922,#12472); #10778=LINE('',#48924,#12473); #10779=LINE('',#48926,#12474); #10780=LINE('',#48930,#12475); #10781=LINE('',#48931,#12476); #10782=LINE('',#48991,#12477); #10783=LINE('',#48993,#12478); #10784=LINE('',#48995,#12479); #10785=LINE('',#48997,#12480); #10786=LINE('',#48999,#12481); #10787=LINE('',#49001,#12482); #10788=LINE('',#49003,#12483); #10789=LINE('',#49005,#12484); #10790=LINE('',#49007,#12485); #10791=LINE('',#49009,#12486); #10792=LINE('',#49011,#12487); #10793=LINE('',#49013,#12488); #10794=LINE('',#49015,#12489); #10795=LINE('',#49017,#12490); #10796=LINE('',#49019,#12491); #10797=LINE('',#49021,#12492); #10798=LINE('',#49023,#12493); #10799=LINE('',#49025,#12494); #10800=LINE('',#49027,#12495); #10801=LINE('',#49029,#12496); #10802=LINE('',#49031,#12497); #10803=LINE('',#49033,#12498); #10804=LINE('',#49035,#12499); #10805=LINE('',#49037,#12500); #10806=LINE('',#49039,#12501); #10807=LINE('',#49041,#12502); #10808=LINE('',#49043,#12503); #10809=LINE('',#49045,#12504); #10810=LINE('',#49047,#12505); #10811=LINE('',#49049,#12506); #10812=LINE('',#49051,#12507); #10813=LINE('',#49052,#12508); #10814=LINE('',#49054,#12509); #10815=LINE('',#49057,#12510); #10816=LINE('',#49064,#12511); #10817=LINE('',#49070,#12512); #10818=LINE('',#49076,#12513); #10819=LINE('',#49082,#12514); #10820=LINE('',#49088,#12515); #10821=LINE('',#49094,#12516); #10822=LINE('',#49100,#12517); #10823=LINE('',#49106,#12518); #10824=LINE('',#49112,#12519); #10825=LINE('',#49118,#12520); #10826=LINE('',#49124,#12521); #10827=LINE('',#49130,#12522); #10828=LINE('',#49136,#12523); #10829=LINE('',#49142,#12524); #10830=LINE('',#49148,#12525); #10831=LINE('',#49154,#12526); #10832=LINE('',#49160,#12527); #10833=LINE('',#49166,#12528); #10834=LINE('',#49172,#12529); #10835=LINE('',#49178,#12530); #10836=LINE('',#49184,#12531); #10837=LINE('',#49190,#12532); #10838=LINE('',#49196,#12533); #10839=LINE('',#49202,#12534); #10840=LINE('',#49208,#12535); #10841=LINE('',#49214,#12536); #10842=LINE('',#49220,#12537); #10843=LINE('',#49226,#12538); #10844=LINE('',#49232,#12539); #10845=LINE('',#49238,#12540); #10846=LINE('',#49244,#12541); #10847=LINE('',#49250,#12542); #10848=LINE('',#49256,#12543); #10849=LINE('',#49262,#12544); #10850=LINE('',#49268,#12545); #10851=LINE('',#49274,#12546); #10852=LINE('',#49280,#12547); #10853=LINE('',#49286,#12548); #10854=LINE('',#49292,#12549); #10855=LINE('',#49298,#12550); #10856=LINE('',#49304,#12551); #10857=LINE('',#49310,#12552); #10858=LINE('',#49316,#12553); #10859=LINE('',#49322,#12554); #10860=LINE('',#49328,#12555); #10861=LINE('',#49334,#12556); #10862=LINE('',#49340,#12557); #10863=LINE('',#49346,#12558); #10864=LINE('',#49352,#12559); #10865=LINE('',#49358,#12560); #10866=LINE('',#49364,#12561); #10867=LINE('',#49370,#12562); #10868=LINE('',#49376,#12563); #10869=LINE('',#49382,#12564); #10870=LINE('',#49388,#12565); #10871=LINE('',#49394,#12566); #10872=LINE('',#49400,#12567); #10873=LINE('',#49406,#12568); #10874=LINE('',#49412,#12569); #10875=LINE('',#49418,#12570); #10876=LINE('',#49424,#12571); #10877=LINE('',#49430,#12572); #10878=LINE('',#49436,#12573); #10879=LINE('',#49442,#12574); #10880=LINE('',#49448,#12575); #10881=LINE('',#49454,#12576); #10882=LINE('',#49460,#12577); #10883=LINE('',#49466,#12578); #10884=LINE('',#49472,#12579); #10885=LINE('',#49478,#12580); #10886=LINE('',#49484,#12581); #10887=LINE('',#49490,#12582); #10888=LINE('',#49496,#12583); #10889=LINE('',#49502,#12584); #10890=LINE('',#49508,#12585); #10891=LINE('',#49514,#12586); #10892=LINE('',#49520,#12587); #10893=LINE('',#49526,#12588); #10894=LINE('',#49532,#12589); #10895=LINE('',#49538,#12590); #10896=LINE('',#49544,#12591); #10897=LINE('',#49550,#12592); #10898=LINE('',#49556,#12593); #10899=LINE('',#49562,#12594); #10900=LINE('',#49568,#12595); #10901=LINE('',#49574,#12596); #10902=LINE('',#49580,#12597); #10903=LINE('',#49586,#12598); #10904=LINE('',#49592,#12599); #10905=LINE('',#49598,#12600); #10906=LINE('',#49604,#12601); #10907=LINE('',#49610,#12602); #10908=LINE('',#49616,#12603); #10909=LINE('',#49622,#12604); #10910=LINE('',#49628,#12605); #10911=LINE('',#49634,#12606); #10912=LINE('',#49661,#12607); #10913=LINE('',#49662,#12608); #10914=LINE('',#49682,#12609); #10915=LINE('',#49683,#12610); #10916=LINE('',#49686,#12611); #10917=LINE('',#49688,#12612); #10918=LINE('',#49689,#12613); #10919=LINE('',#49692,#12614); #10920=LINE('',#49696,#12615); #10921=LINE('',#49700,#12616); #10922=LINE('',#49707,#12617); #10923=LINE('',#49710,#12618); #10924=LINE('',#49713,#12619); #10925=LINE('',#49714,#12620); #10926=LINE('',#49717,#12621); #10927=LINE('',#49721,#12622); #10928=LINE('',#49727,#12623); #10929=LINE('',#49729,#12624); #10930=LINE('',#49730,#12625); #10931=LINE('',#49734,#12626); #10932=LINE('',#49737,#12627); #10933=LINE('',#49738,#12628); #10934=LINE('',#49747,#12629); #10935=LINE('',#49751,#12630); #10936=LINE('',#49756,#12631); #10937=LINE('',#49760,#12632); #10938=LINE('',#49765,#12633); #10939=LINE('',#49769,#12634); #10940=LINE('',#49774,#12635); #10941=LINE('',#49778,#12636); #10942=LINE('',#49783,#12637); #10943=LINE('',#49787,#12638); #10944=LINE('',#49792,#12639); #10945=LINE('',#49796,#12640); #10946=LINE('',#49801,#12641); #10947=LINE('',#49805,#12642); #10948=LINE('',#49810,#12643); #10949=LINE('',#49814,#12644); #10950=LINE('',#49819,#12645); #10951=LINE('',#49823,#12646); #10952=LINE('',#49828,#12647); #10953=LINE('',#49832,#12648); #10954=LINE('',#49837,#12649); #10955=LINE('',#49841,#12650); #10956=LINE('',#49846,#12651); #10957=LINE('',#49850,#12652); #10958=LINE('',#49855,#12653); #10959=LINE('',#49859,#12654); #10960=LINE('',#49864,#12655); #10961=LINE('',#49868,#12656); #10962=LINE('',#49873,#12657); #10963=LINE('',#49877,#12658); #10964=LINE('',#49882,#12659); #10965=LINE('',#49886,#12660); #10966=LINE('',#49891,#12661); #10967=LINE('',#49895,#12662); #10968=LINE('',#49900,#12663); #10969=LINE('',#49904,#12664); #10970=LINE('',#49909,#12665); #10971=LINE('',#49913,#12666); #10972=LINE('',#49918,#12667); #10973=LINE('',#49922,#12668); #10974=LINE('',#49927,#12669); #10975=LINE('',#49931,#12670); #10976=LINE('',#49936,#12671); #10977=LINE('',#49940,#12672); #10978=LINE('',#49945,#12673); #10979=LINE('',#49949,#12674); #10980=LINE('',#49954,#12675); #10981=LINE('',#49958,#12676); #10982=LINE('',#49963,#12677); #10983=LINE('',#49969,#12678); #10984=LINE('',#49975,#12679); #10985=LINE('',#49981,#12680); #10986=LINE('',#49987,#12681); #10987=LINE('',#49993,#12682); #10988=LINE('',#49999,#12683); #10989=LINE('',#50005,#12684); #10990=LINE('',#50011,#12685); #10991=LINE('',#50017,#12686); #10992=LINE('',#50023,#12687); #10993=LINE('',#50029,#12688); #10994=LINE('',#50035,#12689); #10995=LINE('',#50041,#12690); #10996=LINE('',#50047,#12691); #10997=LINE('',#50053,#12692); #10998=LINE('',#50059,#12693); #10999=LINE('',#50065,#12694); #11000=LINE('',#50070,#12695); #11001=LINE('',#50072,#12696); #11002=LINE('',#50074,#12697); #11003=LINE('',#50075,#12698); #11004=LINE('',#50078,#12699); #11005=LINE('',#50080,#12700); #11006=LINE('',#50081,#12701); #11007=LINE('',#50084,#12702); #11008=LINE('',#50085,#12703); #11009=LINE('',#50088,#12704); #11010=LINE('',#50089,#12705); #11011=LINE('',#50091,#12706); #11012=LINE('',#50098,#12707); #11013=LINE('',#50104,#12708); #11014=LINE('',#50110,#12709); #11015=LINE('',#50116,#12710); #11016=LINE('',#50122,#12711); #11017=LINE('',#50128,#12712); #11018=LINE('',#50134,#12713); #11019=LINE('',#50140,#12714); #11020=LINE('',#50146,#12715); #11021=LINE('',#50152,#12716); #11022=LINE('',#50158,#12717); #11023=LINE('',#50164,#12718); #11024=LINE('',#50170,#12719); #11025=LINE('',#50176,#12720); #11026=LINE('',#50182,#12721); #11027=LINE('',#50188,#12722); #11028=LINE('',#50194,#12723); #11029=LINE('',#50200,#12724); #11030=LINE('',#50206,#12725); #11031=LINE('',#50212,#12726); #11032=LINE('',#50218,#12727); #11033=LINE('',#50224,#12728); #11034=LINE('',#50230,#12729); #11035=LINE('',#50236,#12730); #11036=LINE('',#50242,#12731); #11037=LINE('',#50248,#12732); #11038=LINE('',#50254,#12733); #11039=LINE('',#50260,#12734); #11040=LINE('',#50266,#12735); #11041=LINE('',#50272,#12736); #11042=LINE('',#50278,#12737); #11043=LINE('',#50284,#12738); #11044=LINE('',#50289,#12739); #11045=LINE('',#50291,#12740); #11046=LINE('',#50293,#12741); #11047=LINE('',#50294,#12742); #11048=LINE('',#50298,#12743); #11049=LINE('',#50300,#12744); #11050=LINE('',#50302,#12745); #11051=LINE('',#50303,#12746); #11052=LINE('',#50305,#12747); #11053=LINE('',#50306,#12748); #11054=LINE('',#50308,#12749); #11055=LINE('',#50310,#12750); #11056=VECTOR('',#33031,0.393700787401575); #11057=VECTOR('',#33034,0.393700787401575); #11058=VECTOR('',#33065,0.393700787401575); #11059=VECTOR('',#33066,0.393700787401575); #11060=VECTOR('',#33079,0.393700787401575); #11061=VECTOR('',#33080,0.393700787401575); #11062=VECTOR('',#33093,0.393700787401575); #11063=VECTOR('',#33094,0.393700787401575); #11064=VECTOR('',#33107,0.393700787401575); #11065=VECTOR('',#33108,0.393700787401575); #11066=VECTOR('',#33119,0.393700787401575); #11067=VECTOR('',#33120,0.393700787401575); #11068=VECTOR('',#33123,0.393700787401575); #11069=VECTOR('',#33124,0.393700787401575); #11070=VECTOR('',#33125,0.393700787401575); #11071=VECTOR('',#33128,0.393700787401575); #11072=VECTOR('',#33133,0.393700787401575); #11073=VECTOR('',#33134,0.393700787401575); #11074=VECTOR('',#33137,0.393700787401575); #11075=VECTOR('',#33142,0.393700787401575); #11076=VECTOR('',#33147,0.393700787401575); #11077=VECTOR('',#33152,0.393700787401575); #11078=VECTOR('',#33153,0.393700787401575); #11079=VECTOR('',#33160,0.06675); #11080=VECTOR('',#33165,0.1335); #11081=VECTOR('',#33170,0.06675); #11082=VECTOR('',#33175,0.1335); #11083=VECTOR('',#33180,0.06675); #11084=VECTOR('',#33185,0.1335); #11085=VECTOR('',#33190,0.06675); #11086=VECTOR('',#33195,0.1335); #11087=VECTOR('',#33200,0.06675); #11088=VECTOR('',#33205,0.1335); #11089=VECTOR('',#33210,0.06675); #11090=VECTOR('',#33215,0.1335); #11091=VECTOR('',#33220,0.06675); #11092=VECTOR('',#33225,0.1335); #11093=VECTOR('',#33230,0.06675); #11094=VECTOR('',#33235,0.1335); #11095=VECTOR('',#33240,0.06675); #11096=VECTOR('',#33245,0.1335); #11097=VECTOR('',#33250,0.06675); #11098=VECTOR('',#33255,0.1335); #11099=VECTOR('',#33260,0.06675); #11100=VECTOR('',#33265,0.1335); #11101=VECTOR('',#33270,0.06675); #11102=VECTOR('',#33275,0.1335); #11103=VECTOR('',#33280,0.1335); #11104=VECTOR('',#33285,0.06675); #11105=VECTOR('',#33294,0.06675); #11106=VECTOR('',#33299,0.1335); #11107=VECTOR('',#33304,0.06675); #11108=VECTOR('',#33309,0.1335); #11109=VECTOR('',#33314,0.06675); #11110=VECTOR('',#33319,0.1335); #11111=VECTOR('',#33324,0.06675); #11112=VECTOR('',#33329,0.1335); #11113=VECTOR('',#33334,0.06675); #11114=VECTOR('',#33339,0.1335); #11115=VECTOR('',#33344,0.06675); #11116=VECTOR('',#33349,0.1335); #11117=VECTOR('',#33354,0.06675); #11118=VECTOR('',#33359,0.1335); #11119=VECTOR('',#33364,0.06675); #11120=VECTOR('',#33369,0.1335); #11121=VECTOR('',#33374,0.06675); #11122=VECTOR('',#33379,0.1335); #11123=VECTOR('',#33384,0.06675); #11124=VECTOR('',#33389,0.1335); #11125=VECTOR('',#33394,0.06675); #11126=VECTOR('',#33399,0.1335); #11127=VECTOR('',#33404,0.06675); #11128=VECTOR('',#33409,0.1335); #11129=VECTOR('',#33414,0.06675); #11130=VECTOR('',#33419,0.1335); #11131=VECTOR('',#33424,0.06675); #11132=VECTOR('',#33429,0.1335); #11133=VECTOR('',#33434,0.06675); #11134=VECTOR('',#33439,0.1335); #11135=VECTOR('',#33444,0.06675); #11136=VECTOR('',#33449,0.1335); #11137=VECTOR('',#33454,0.06675); #11138=VECTOR('',#33459,0.1335); #11139=VECTOR('',#33464,0.06675); #11140=VECTOR('',#33469,0.1335); #11141=VECTOR('',#33474,0.06675); #11142=VECTOR('',#33479,0.1335); #11143=VECTOR('',#33484,0.06675); #11144=VECTOR('',#33489,0.1335); #11145=VECTOR('',#33494,0.06675); #11146=VECTOR('',#33499,0.1335); #11147=VECTOR('',#33504,0.06675); #11148=VECTOR('',#33509,0.1335); #11149=VECTOR('',#33514,0.06675); #11150=VECTOR('',#33519,0.1335); #11151=VECTOR('',#33524,0.06675); #11152=VECTOR('',#33529,0.1335); #11153=VECTOR('',#33534,0.06675); #11154=VECTOR('',#33539,0.1335); #11155=VECTOR('',#33544,0.06675); #11156=VECTOR('',#33549,0.1335); #11157=VECTOR('',#33554,0.06675); #11158=VECTOR('',#33559,0.1335); #11159=VECTOR('',#33564,0.06675); #11160=VECTOR('',#33569,0.1335); #11161=VECTOR('',#33574,0.06675); #11162=VECTOR('',#33579,0.1335); #11163=VECTOR('',#33584,0.06675); #11164=VECTOR('',#33589,0.1335); #11165=VECTOR('',#33594,0.1335); #11166=VECTOR('',#33597,0.393700787401575); #11167=VECTOR('',#33598,0.393700787401575); #11168=VECTOR('',#33599,0.393700787401575); #11169=VECTOR('',#33600,0.393700787401575); #11170=VECTOR('',#33603,0.393700787401575); #11171=VECTOR('',#33604,0.393700787401575); #11172=VECTOR('',#33605,0.393700787401575); #11173=VECTOR('',#33606,0.393700787401575); #11174=VECTOR('',#33609,0.393700787401575); #11175=VECTOR('',#33610,0.393700787401575); #11176=VECTOR('',#33613,0.393700787401575); #11177=VECTOR('',#33614,0.393700787401575); #11178=VECTOR('',#33615,0.393700787401575); #11179=VECTOR('',#33618,0.393700787401575); #11180=VECTOR('',#33619,0.393700787401575); #11181=VECTOR('',#33620,0.393700787401575); #11182=VECTOR('',#33623,0.393700787401575); #11183=VECTOR('',#33624,0.393700787401575); #11184=VECTOR('',#33627,0.393700787401575); #11185=VECTOR('',#33630,0.393700787401575); #11186=VECTOR('',#33631,0.393700787401575); #11187=VECTOR('',#33632,0.393700787401575); #11188=VECTOR('',#33635,0.393700787401575); #11189=VECTOR('',#33638,0.393700787401575); #11190=VECTOR('',#33641,0.393700787401575); #11191=VECTOR('',#33644,0.393700787401575); #11192=VECTOR('',#33647,0.393700787401575); #11193=VECTOR('',#33652,0.393700787401575); #11194=VECTOR('',#33657,0.393700787401575); #11195=VECTOR('',#33660,0.393700787401575); #11196=VECTOR('',#33661,0.393700787401575); #11197=VECTOR('',#33664,0.393700787401575); #11198=VECTOR('',#33667,0.393700787401575); #11199=VECTOR('',#33678,0.049); #11200=VECTOR('',#33683,0.098); #11201=VECTOR('',#33688,0.049); #11202=VECTOR('',#33693,0.098); #11203=VECTOR('',#33698,0.049); #11204=VECTOR('',#33703,0.098); #11205=VECTOR('',#33708,0.049); #11206=VECTOR('',#33713,0.098); #11207=VECTOR('',#33718,0.049); #11208=VECTOR('',#33723,0.098); #11209=VECTOR('',#33728,0.049); #11210=VECTOR('',#33733,0.098); #11211=VECTOR('',#33738,0.049); #11212=VECTOR('',#33743,0.098); #11213=VECTOR('',#33748,0.049); #11214=VECTOR('',#33753,0.098); #11215=VECTOR('',#33758,0.049); #11216=VECTOR('',#33763,0.098); #11217=VECTOR('',#33768,0.049); #11218=VECTOR('',#33773,0.098); #11219=VECTOR('',#33778,0.049); #11220=VECTOR('',#33783,0.098); #11221=VECTOR('',#33788,0.049); #11222=VECTOR('',#33793,0.098); #11223=VECTOR('',#33798,0.1335); #11224=VECTOR('',#33807,0.06675); #11225=VECTOR('',#33812,0.1335); #11226=VECTOR('',#33817,0.06675); #11227=VECTOR('',#33822,0.1335); #11228=VECTOR('',#33827,0.06675); #11229=VECTOR('',#33832,0.1335); #11230=VECTOR('',#33837,0.06675); #11231=VECTOR('',#33842,0.1335); #11232=VECTOR('',#33845,0.393700787401575); #11233=VECTOR('',#33848,0.393700787401575); #11234=VECTOR('',#33879,0.393700787401575); #11235=VECTOR('',#33880,0.393700787401575); #11236=VECTOR('',#33893,0.393700787401575); #11237=VECTOR('',#33894,0.393700787401575); #11238=VECTOR('',#33907,0.393700787401575); #11239=VECTOR('',#33908,0.393700787401575); #11240=VECTOR('',#33921,0.393700787401575); #11241=VECTOR('',#33922,0.393700787401575); #11242=VECTOR('',#33933,0.393700787401575); #11243=VECTOR('',#33934,0.393700787401575); #11244=VECTOR('',#33937,0.393700787401575); #11245=VECTOR('',#33940,0.393700787401575); #11246=VECTOR('',#33943,0.393700787401575); #11247=VECTOR('',#33944,0.393700787401575); #11248=VECTOR('',#33947,0.393700787401575); #11249=VECTOR('',#33952,0.393700787401575); #11250=VECTOR('',#33953,0.393700787401575); #11251=VECTOR('',#33956,0.393700787401575); #11252=VECTOR('',#33961,0.393700787401575); #11253=VECTOR('',#33962,0.393700787401575); #11254=VECTOR('',#33965,0.393700787401575); #11255=VECTOR('',#33966,0.393700787401575); #11256=VECTOR('',#33973,0.06675); #11257=VECTOR('',#33978,0.1335); #11258=VECTOR('',#33983,0.06675); #11259=VECTOR('',#33988,0.1335); #11260=VECTOR('',#33993,0.06675); #11261=VECTOR('',#33998,0.1335); #11262=VECTOR('',#34003,0.06675); #11263=VECTOR('',#34008,0.1335); #11264=VECTOR('',#34011,0.06675); #11265=VECTOR('',#34016,0.06675); #11266=VECTOR('',#34021,0.1335); #11267=VECTOR('',#34026,0.06675); #11268=VECTOR('',#34031,0.1335); #11269=VECTOR('',#34036,0.06675); #11270=VECTOR('',#34041,0.1335); #11271=VECTOR('',#34046,0.06675); #11272=VECTOR('',#34051,0.1335); #11273=VECTOR('',#34056,0.06675); #11274=VECTOR('',#34061,0.1335); #11275=VECTOR('',#34066,0.06675); #11276=VECTOR('',#34071,0.1335); #11277=VECTOR('',#34076,0.06675); #11278=VECTOR('',#34081,0.1335); #11279=VECTOR('',#34086,0.06675); #11280=VECTOR('',#34091,0.1335); #11281=VECTOR('',#34096,0.06675); #11282=VECTOR('',#34101,0.1335); #11283=VECTOR('',#34106,0.06675); #11284=VECTOR('',#34111,0.1335); #11285=VECTOR('',#34116,0.06675); #11286=VECTOR('',#34121,0.1335); #11287=VECTOR('',#34126,0.06675); #11288=VECTOR('',#34131,0.1335); #11289=VECTOR('',#34136,0.06675); #11290=VECTOR('',#34141,0.1335); #11291=VECTOR('',#34146,0.06675); #11292=VECTOR('',#34151,0.1335); #11293=VECTOR('',#34156,0.06675); #11294=VECTOR('',#34161,0.1335); #11295=VECTOR('',#34166,0.06675); #11296=VECTOR('',#34171,0.1335); #11297=VECTOR('',#34176,0.06675); #11298=VECTOR('',#34181,0.1335); #11299=VECTOR('',#34186,0.06675); #11300=VECTOR('',#34191,0.1335); #11301=VECTOR('',#34196,0.06675); #11302=VECTOR('',#34201,0.1335); #11303=VECTOR('',#34206,0.06675); #11304=VECTOR('',#34211,0.1335); #11305=VECTOR('',#34216,0.06675); #11306=VECTOR('',#34221,0.1335); #11307=VECTOR('',#34226,0.06675); #11308=VECTOR('',#34231,0.1335); #11309=VECTOR('',#34236,0.06675); #11310=VECTOR('',#34241,0.1335); #11311=VECTOR('',#34246,0.06675); #11312=VECTOR('',#34251,0.1335); #11313=VECTOR('',#34256,0.06675); #11314=VECTOR('',#34261,0.1335); #11315=VECTOR('',#34266,0.06675); #11316=VECTOR('',#34271,0.1335); #11317=VECTOR('',#34276,0.06675); #11318=VECTOR('',#34281,0.1335); #11319=VECTOR('',#34286,0.06675); #11320=VECTOR('',#34291,0.1335); #11321=VECTOR('',#34296,0.06675); #11322=VECTOR('',#34301,0.1335); #11323=VECTOR('',#34306,0.06675); #11324=VECTOR('',#34311,0.1335); #11325=VECTOR('',#34316,0.06675); #11326=VECTOR('',#34321,0.1335); #11327=VECTOR('',#34326,0.06675); #11328=VECTOR('',#34331,0.1335); #11329=VECTOR('',#34336,0.06675); #11330=VECTOR('',#34341,0.1335); #11331=VECTOR('',#34346,0.06675); #11332=VECTOR('',#34351,0.1335); #11333=VECTOR('',#34356,0.06675); #11334=VECTOR('',#34361,0.1335); #11335=VECTOR('',#34366,0.06675); #11336=VECTOR('',#34371,0.1335); #11337=VECTOR('',#34376,0.06675); #11338=VECTOR('',#34381,0.1335); #11339=VECTOR('',#34386,0.06675); #11340=VECTOR('',#34391,0.1335); #11341=VECTOR('',#34396,0.06675); #11342=VECTOR('',#34401,0.1335); #11343=VECTOR('',#34406,0.06675); #11344=VECTOR('',#34411,0.1335); #11345=VECTOR('',#34416,0.06675); #11346=VECTOR('',#34421,0.1335); #11347=VECTOR('',#34426,0.06675); #11348=VECTOR('',#34431,0.1335); #11349=VECTOR('',#34436,0.06675); #11350=VECTOR('',#34441,0.1335); #11351=VECTOR('',#34446,0.06675); #11352=VECTOR('',#34451,0.1335); #11353=VECTOR('',#34456,0.06675); #11354=VECTOR('',#34461,0.1335); #11355=VECTOR('',#34466,0.06675); #11356=VECTOR('',#34471,0.1335); #11357=VECTOR('',#34476,0.06675); #11358=VECTOR('',#34481,0.1335); #11359=VECTOR('',#34484,0.393700787401575); #11360=VECTOR('',#34485,0.393700787401575); #11361=VECTOR('',#34486,0.393700787401575); #11362=VECTOR('',#34487,0.393700787401575); #11363=VECTOR('',#34490,0.393700787401575); #11364=VECTOR('',#34491,0.393700787401575); #11365=VECTOR('',#34492,0.393700787401575); #11366=VECTOR('',#34493,0.393700787401575); #11367=VECTOR('',#34496,0.393700787401575); #11368=VECTOR('',#34499,0.393700787401575); #11369=VECTOR('',#34504,0.393700787401575); #11370=VECTOR('',#34509,0.393700787401575); #11371=VECTOR('',#34514,0.393700787401575); #11372=VECTOR('',#34515,0.393700787401575); #11373=VECTOR('',#34516,0.393700787401575); #11374=VECTOR('',#34519,0.393700787401575); #11375=VECTOR('',#34520,0.393700787401575); #11376=VECTOR('',#34521,0.393700787401575); #11377=VECTOR('',#34524,0.393700787401575); #11378=VECTOR('',#34525,0.393700787401575); #11379=VECTOR('',#34526,0.393700787401575); #11380=VECTOR('',#34529,0.393700787401575); #11381=VECTOR('',#34532,0.393700787401575); #11382=VECTOR('',#34533,0.393700787401575); #11383=VECTOR('',#34540,0.393700787401575); #11384=VECTOR('',#34547,0.393700787401575); #11385=VECTOR('',#34548,0.393700787401575); #11386=VECTOR('',#34551,0.393700787401575); #11387=VECTOR('',#34554,0.393700787401575); #11388=VECTOR('',#34557,0.393700787401575); #11389=VECTOR('',#34566,0.393700787401575); #11390=VECTOR('',#34567,0.393700787401575); #11391=VECTOR('',#34568,0.393700787401575); #11392=VECTOR('',#34569,0.393700787401575); #11393=VECTOR('',#34572,0.393700787401575); #11394=VECTOR('',#34573,0.393700787401575); #11395=VECTOR('',#34574,0.393700787401575); #11396=VECTOR('',#34575,0.393700787401575); #11397=VECTOR('',#34596,0.06675); #11398=VECTOR('',#34601,0.1335); #11399=VECTOR('',#34606,0.06675); #11400=VECTOR('',#34611,0.1335); #11401=VECTOR('',#34616,0.06675); #11402=VECTOR('',#34621,0.1335); #11403=VECTOR('',#34626,0.06675); #11404=VECTOR('',#34631,0.1335); #11405=VECTOR('',#34636,0.06675); #11406=VECTOR('',#34641,0.1335); #11407=VECTOR('',#34646,0.06675); #11408=VECTOR('',#34651,0.1335); #11409=VECTOR('',#34656,0.06675); #11410=VECTOR('',#34661,0.1335); #11411=VECTOR('',#34666,0.06675); #11412=VECTOR('',#34671,0.1335); #11413=VECTOR('',#34676,0.1335); #11414=VECTOR('',#34681,0.06675); #11415=VECTOR('',#34690,0.06675); #11416=VECTOR('',#34695,0.1335); #11417=VECTOR('',#34700,0.06675); #11418=VECTOR('',#34705,0.1335); #11419=VECTOR('',#34710,0.06675); #11420=VECTOR('',#34715,0.1335); #11421=VECTOR('',#34720,0.06675); #11422=VECTOR('',#34725,0.1335); #11423=VECTOR('',#34730,0.06675); #11424=VECTOR('',#34737,0.1335); #11425=VECTOR('',#34742,0.06675); #11426=VECTOR('',#34747,0.1335); #11427=VECTOR('',#34752,0.06675); #11428=VECTOR('',#34757,0.1335); #11429=VECTOR('',#34762,0.06675); #11430=VECTOR('',#34767,0.1335); #11431=VECTOR('',#34772,0.06675); #11432=VECTOR('',#34777,0.1335); #11433=VECTOR('',#34782,0.049); #11434=VECTOR('',#34787,0.049); #11435=VECTOR('',#34792,0.098); #11436=VECTOR('',#34797,0.049); #11437=VECTOR('',#34802,0.098); #11438=VECTOR('',#34807,0.049); #11439=VECTOR('',#34812,0.098); #11440=VECTOR('',#34817,0.049); #11441=VECTOR('',#34822,0.098); #11442=VECTOR('',#34827,0.049); #11443=VECTOR('',#34832,0.098); #11444=VECTOR('',#34837,0.049); #11445=VECTOR('',#34842,0.098); #11446=VECTOR('',#34847,0.049); #11447=VECTOR('',#34852,0.098); #11448=VECTOR('',#34857,0.049); #11449=VECTOR('',#34862,0.098); #11450=VECTOR('',#34867,0.049); #11451=VECTOR('',#34872,0.098); #11452=VECTOR('',#34877,0.049); #11453=VECTOR('',#34882,0.098); #11454=VECTOR('',#34887,0.049); #11455=VECTOR('',#34892,0.098); #11456=VECTOR('',#34897,0.098); #11457=VECTOR('',#34902,0.06675); #11458=VECTOR('',#34907,0.1335); #11459=VECTOR('',#34912,0.06675); #11460=VECTOR('',#34917,0.1335); #11461=VECTOR('',#34922,0.06675); #11462=VECTOR('',#34927,0.1335); #11463=VECTOR('',#34932,0.06675); #11464=VECTOR('',#34937,0.1335); #11465=VECTOR('',#34942,0.06675); #11466=VECTOR('',#34947,0.1335); #11467=VECTOR('',#34952,0.06675); #11468=VECTOR('',#34957,0.1335); #11469=VECTOR('',#34962,0.06675); #11470=VECTOR('',#34967,0.1335); #11471=VECTOR('',#34972,0.06675); #11472=VECTOR('',#34977,0.1335); #11473=VECTOR('',#34982,0.06675); #11474=VECTOR('',#34987,0.1335); #11475=VECTOR('',#34992,0.06675); #11476=VECTOR('',#34997,0.1335); #11477=VECTOR('',#35002,0.06675); #11478=VECTOR('',#35007,0.1335); #11479=VECTOR('',#35012,0.06675); #11480=VECTOR('',#35017,0.1335); #11481=VECTOR('',#35022,0.06675); #11482=VECTOR('',#35027,0.1335); #11483=VECTOR('',#35032,0.06675); #11484=VECTOR('',#35037,0.1335); #11485=VECTOR('',#35042,0.06675); #11486=VECTOR('',#35047,0.1335); #11487=VECTOR('',#35052,0.06675); #11488=VECTOR('',#35057,0.1335); #11489=VECTOR('',#35062,0.06675); #11490=VECTOR('',#35067,0.1335); #11491=VECTOR('',#35072,0.06675); #11492=VECTOR('',#35077,0.1335); #11493=VECTOR('',#35082,0.06675); #11494=VECTOR('',#35087,0.1335); #11495=VECTOR('',#35092,0.06675); #11496=VECTOR('',#35097,0.1335); #11497=VECTOR('',#35102,0.06675); #11498=VECTOR('',#35107,0.1335); #11499=VECTOR('',#35112,0.06675); #11500=VECTOR('',#35117,0.1335); #11501=VECTOR('',#35122,0.06675); #11502=VECTOR('',#35127,0.1335); #11503=VECTOR('',#35132,0.06675); #11504=VECTOR('',#35137,0.1335); #11505=VECTOR('',#35142,0.06675); #11506=VECTOR('',#35147,0.1335); #11507=VECTOR('',#35152,0.06675); #11508=VECTOR('',#35157,0.1335); #11509=VECTOR('',#35162,0.06675); #11510=VECTOR('',#35167,0.1335); #11511=VECTOR('',#35172,0.06675); #11512=VECTOR('',#35177,0.1335); #11513=VECTOR('',#35182,0.06675); #11514=VECTOR('',#35187,0.1335); #11515=VECTOR('',#35192,0.06675); #11516=VECTOR('',#35197,0.1335); #11517=VECTOR('',#35202,0.06675); #11518=VECTOR('',#35207,0.1335); #11519=VECTOR('',#35212,0.06675); #11520=VECTOR('',#35217,0.1335); #11521=VECTOR('',#35222,0.06675); #11522=VECTOR('',#35227,0.1335); #11523=VECTOR('',#35232,0.06675); #11524=VECTOR('',#35237,0.1335); #11525=VECTOR('',#35242,0.06675); #11526=VECTOR('',#35247,0.1335); #11527=VECTOR('',#35252,0.06675); #11528=VECTOR('',#35257,0.1335); #11529=VECTOR('',#35262,0.06675); #11530=VECTOR('',#35267,0.1335); #11531=VECTOR('',#35272,0.06675); #11532=VECTOR('',#35277,0.1335); #11533=VECTOR('',#35282,0.06675); #11534=VECTOR('',#35287,0.1335); #11535=VECTOR('',#35292,0.06675); #11536=VECTOR('',#35297,0.1335); #11537=VECTOR('',#35302,0.06675); #11538=VECTOR('',#35307,0.1335); #11539=VECTOR('',#35312,0.06675); #11540=VECTOR('',#35317,0.1335); #11541=VECTOR('',#35322,0.06675); #11542=VECTOR('',#35327,0.1335); #11543=VECTOR('',#35332,0.06675); #11544=VECTOR('',#35337,0.1335); #11545=VECTOR('',#35342,0.06675); #11546=VECTOR('',#35347,0.1335); #11547=VECTOR('',#35352,0.06675); #11548=VECTOR('',#35357,0.1335); #11549=VECTOR('',#35362,0.06675); #11550=VECTOR('',#35367,0.1335); #11551=VECTOR('',#35372,0.06675); #11552=VECTOR('',#35377,0.1335); #11553=VECTOR('',#35382,0.06675); #11554=VECTOR('',#35387,0.1335); #11555=VECTOR('',#35392,0.06675); #11556=VECTOR('',#35397,0.1335); #11557=VECTOR('',#35402,0.06675); #11558=VECTOR('',#35407,0.1335); #11559=VECTOR('',#35412,0.06675); #11560=VECTOR('',#35417,0.1335); #11561=VECTOR('',#35422,0.06675); #11562=VECTOR('',#35427,0.1335); #11563=VECTOR('',#35432,0.06675); #11564=VECTOR('',#35437,0.1335); #11565=VECTOR('',#35442,0.06675); #11566=VECTOR('',#35447,0.1335); #11567=VECTOR('',#35452,0.06675); #11568=VECTOR('',#35457,0.1335); #11569=VECTOR('',#35462,0.06675); #11570=VECTOR('',#35467,0.1335); #11571=VECTOR('',#35472,0.06675); #11572=VECTOR('',#35477,0.1335); #11573=VECTOR('',#35482,0.06675); #11574=VECTOR('',#35485,0.1335); #11575=VECTOR('',#35490,0.06675); #11576=VECTOR('',#35493,0.1335); #11577=VECTOR('',#35498,0.06675); #11578=VECTOR('',#35501,0.1335); #11579=VECTOR('',#35506,0.06675); #11580=VECTOR('',#35509,0.1335); #11581=VECTOR('',#35514,0.06675); #11582=VECTOR('',#35517,0.1335); #11583=VECTOR('',#35522,0.06675); #11584=VECTOR('',#35525,0.1335); #11585=VECTOR('',#35530,0.06675); #11586=VECTOR('',#35533,0.1335); #11587=VECTOR('',#35538,0.06675); #11588=VECTOR('',#35541,0.1335); #11589=VECTOR('',#35546,0.06675); #11590=VECTOR('',#35551,0.1335); #11591=VECTOR('',#35556,0.06675); #11592=VECTOR('',#35561,0.1335); #11593=VECTOR('',#35566,0.06675); #11594=VECTOR('',#35571,0.1335); #11595=VECTOR('',#35576,0.06675); #11596=VECTOR('',#35581,0.1335); #11597=VECTOR('',#35586,0.06675); #11598=VECTOR('',#35591,0.1335); #11599=VECTOR('',#35596,0.06675); #11600=VECTOR('',#35601,0.1335); #11601=VECTOR('',#35606,0.06675); #11602=VECTOR('',#35611,0.1335); #11603=VECTOR('',#35616,0.06675); #11604=VECTOR('',#35621,0.1335); #11605=VECTOR('',#35626,0.06675); #11606=VECTOR('',#35631,0.1335); #11607=VECTOR('',#35636,0.06675); #11608=VECTOR('',#35641,0.1335); #11609=VECTOR('',#35646,0.06675); #11610=VECTOR('',#35651,0.1335); #11611=VECTOR('',#35656,0.06675); #11612=VECTOR('',#35661,0.1335); #11613=VECTOR('',#35666,0.06675); #11614=VECTOR('',#35671,0.1335); #11615=VECTOR('',#35676,0.06675); #11616=VECTOR('',#35681,0.1335); #11617=VECTOR('',#35686,0.06675); #11618=VECTOR('',#35691,0.1335); #11619=VECTOR('',#35696,0.1335); #11620=VECTOR('',#35699,0.393700787401575); #11621=VECTOR('',#35700,0.393700787401575); #11622=VECTOR('',#35701,0.393700787401575); #11623=VECTOR('',#35704,0.393700787401575); #11624=VECTOR('',#35705,0.393700787401575); #11625=VECTOR('',#35706,0.393700787401575); #11626=VECTOR('',#35709,0.393700787401575); #11627=VECTOR('',#35712,0.393700787401575); #11628=VECTOR('',#35719,0.393700787401575); #11629=VECTOR('',#35722,0.393700787401575); #11630=VECTOR('',#35725,0.393700787401575); #11631=VECTOR('',#35728,0.393700787401575); #11632=VECTOR('',#35731,0.393700787401575); #11633=VECTOR('',#35732,0.393700787401575); #11634=VECTOR('',#35735,0.393700787401575); #11635=VECTOR('',#35736,0.393700787401575); #11636=VECTOR('',#35737,0.393700787401575); #11637=VECTOR('',#35738,0.393700787401575); #11638=VECTOR('',#35741,0.393700787401575); #11639=VECTOR('',#35742,0.393700787401575); #11640=VECTOR('',#35745,0.393700787401575); #11641=VECTOR('',#35748,0.393700787401575); #11642=VECTOR('',#35751,0.393700787401575); #11643=VECTOR('',#35754,0.393700787401575); #11644=VECTOR('',#35757,0.393700787401575); #11645=VECTOR('',#35760,0.393700787401575); #11646=VECTOR('',#35763,0.393700787401575); #11647=VECTOR('',#35770,0.393700787401575); #11648=VECTOR('',#35773,0.393700787401575); #11649=VECTOR('',#35774,0.393700787401575); #11650=VECTOR('',#35777,0.393700787401575); #11651=VECTOR('',#35780,0.393700787401575); #11652=VECTOR('',#35781,0.393700787401575); #11653=VECTOR('',#35786,0.393700787401575); #11654=VECTOR('',#35789,0.393700787401575); #11655=VECTOR('',#35790,0.393700787401575); #11656=VECTOR('',#35793,0.393700787401575); #11657=VECTOR('',#35794,0.393700787401575); #11658=VECTOR('',#35797,0.393700787401575); #11659=VECTOR('',#35798,0.393700787401575); #11660=VECTOR('',#35803,0.393700787401575); #11661=VECTOR('',#35804,0.393700787401575); #11662=VECTOR('',#35809,0.393700787401575); #11663=VECTOR('',#35816,0.393700787401575); #11664=VECTOR('',#35823,0.393700787401575); #11665=VECTOR('',#35838,0.166); #11666=VECTOR('',#35845,0.166); #11667=VECTOR('',#35852,0.166); #11668=VECTOR('',#35859,0.166); #11669=VECTOR('',#35866,0.166); #11670=VECTOR('',#35873,0.166); #11671=VECTOR('',#35880,0.166); #11672=VECTOR('',#35887,0.166); #11673=VECTOR('',#35894,0.166); #11674=VECTOR('',#35901,0.166); #11675=VECTOR('',#35908,0.166); #11676=VECTOR('',#35915,0.166); #11677=VECTOR('',#35922,0.166); #11678=VECTOR('',#35929,0.166); #11679=VECTOR('',#35936,0.166); #11680=VECTOR('',#35943,0.166); #11681=VECTOR('',#35950,0.166); #11682=VECTOR('',#35957,0.166); #11683=VECTOR('',#35964,0.166); #11684=VECTOR('',#35971,0.166); #11685=VECTOR('',#35978,0.166); #11686=VECTOR('',#35985,0.166); #11687=VECTOR('',#35992,0.166); #11688=VECTOR('',#35999,0.166); #11689=VECTOR('',#36006,0.166); #11690=VECTOR('',#36013,0.166); #11691=VECTOR('',#36020,0.166); #11692=VECTOR('',#36027,0.166); #11693=VECTOR('',#36034,0.166); #11694=VECTOR('',#36041,0.166); #11695=VECTOR('',#36048,0.166); #11696=VECTOR('',#36055,0.166); #11697=VECTOR('',#36062,0.166); #11698=VECTOR('',#36069,0.166); #11699=VECTOR('',#36076,0.166); #11700=VECTOR('',#36083,0.166); #11701=VECTOR('',#36090,0.166); #11702=VECTOR('',#36097,0.166); #11703=VECTOR('',#36104,0.166); #11704=VECTOR('',#36111,0.166); #11705=VECTOR('',#36118,0.166); #11706=VECTOR('',#36125,0.166); #11707=VECTOR('',#36132,0.166); #11708=VECTOR('',#36139,0.166); #11709=VECTOR('',#36146,0.166); #11710=VECTOR('',#36153,0.166); #11711=VECTOR('',#36160,0.166); #11712=VECTOR('',#36167,0.166); #11713=VECTOR('',#36174,0.166); #11714=VECTOR('',#36181,0.166); #11715=VECTOR('',#36188,0.166); #11716=VECTOR('',#36195,0.166); #11717=VECTOR('',#36202,0.166); #11718=VECTOR('',#36209,0.166); #11719=VECTOR('',#36216,0.166); #11720=VECTOR('',#36223,0.166); #11721=VECTOR('',#36230,0.166); #11722=VECTOR('',#36237,0.166); #11723=VECTOR('',#36244,0.166); #11724=VECTOR('',#36251,0.166); #11725=VECTOR('',#36258,0.166); #11726=VECTOR('',#36265,0.166); #11727=VECTOR('',#36272,0.166); #11728=VECTOR('',#36279,0.166); #11729=VECTOR('',#36286,0.166); #11730=VECTOR('',#36293,0.166); #11731=VECTOR('',#36300,0.166); #11732=VECTOR('',#36307,0.166); #11733=VECTOR('',#36314,0.166); #11734=VECTOR('',#36321,0.166); #11735=VECTOR('',#36328,0.166); #11736=VECTOR('',#36335,0.166); #11737=VECTOR('',#36342,0.166); #11738=VECTOR('',#36349,0.166); #11739=VECTOR('',#36356,0.166); #11740=VECTOR('',#36363,0.166); #11741=VECTOR('',#36370,0.166); #11742=VECTOR('',#36377,0.166); #11743=VECTOR('',#36384,0.166); #11744=VECTOR('',#36391,0.166); #11745=VECTOR('',#36398,0.166); #11746=VECTOR('',#36405,0.166); #11747=VECTOR('',#36412,0.166); #11748=VECTOR('',#36419,0.166); #11749=VECTOR('',#36426,0.166); #11750=VECTOR('',#36433,0.166); #11751=VECTOR('',#36440,0.166); #11752=VECTOR('',#36447,0.166); #11753=VECTOR('',#36454,0.166); #11754=VECTOR('',#36461,0.166); #11755=VECTOR('',#36468,0.166); #11756=VECTOR('',#36475,0.166); #11757=VECTOR('',#36482,0.166); #11758=VECTOR('',#36489,0.166); #11759=VECTOR('',#36496,0.166); #11760=VECTOR('',#36503,0.166); #11761=VECTOR('',#36510,0.393700787401575); #11762=VECTOR('',#36513,0.393700787401575); #11763=VECTOR('',#36516,0.393700787401575); #11764=VECTOR('',#36517,0.393700787401575); #11765=VECTOR('',#36518,0.393700787401575); #11766=VECTOR('',#36525,0.393700787401575); #11767=VECTOR('',#36528,0.393700787401575); #11768=VECTOR('',#36529,0.393700787401575); #11769=VECTOR('',#36540,0.166); #11770=VECTOR('',#36547,0.166); #11771=VECTOR('',#36554,0.166); #11772=VECTOR('',#36561,0.166); #11773=VECTOR('',#36574,0.219); #11774=VECTOR('',#36577,0.133); #11775=VECTOR('',#36590,0.219); #11776=VECTOR('',#36593,0.133); #11777=VECTOR('',#36600,0.133); #11778=VECTOR('',#36607,0.219); #11779=VECTOR('',#36622,0.219); #11780=VECTOR('',#36625,0.133); #11781=VECTOR('',#36632,0.133); #11782=VECTOR('',#36639,0.219); #11783=VECTOR('',#36654,0.219); #11784=VECTOR('',#36657,0.133); #11785=VECTOR('',#36664,0.133); #11786=VECTOR('',#36671,0.219); #11787=VECTOR('',#36686,0.219); #11788=VECTOR('',#36689,0.133); #11789=VECTOR('',#36696,0.133); #11790=VECTOR('',#36703,0.219); #11791=VECTOR('',#36718,0.219); #11792=VECTOR('',#36721,0.133); #11793=VECTOR('',#36728,0.133); #11794=VECTOR('',#36735,0.219); #11795=VECTOR('',#36750,0.219); #11796=VECTOR('',#36753,0.133); #11797=VECTOR('',#36760,0.133); #11798=VECTOR('',#36767,0.219); #11799=VECTOR('',#36782,0.219); #11800=VECTOR('',#36785,0.133); #11801=VECTOR('',#36792,0.133); #11802=VECTOR('',#36799,0.219); #11803=VECTOR('',#36814,0.219); #11804=VECTOR('',#36817,0.133); #11805=VECTOR('',#36830,0.219); #11806=VECTOR('',#36833,0.133); #11807=VECTOR('',#36846,0.219); #11808=VECTOR('',#36849,0.133); #11809=VECTOR('',#36862,0.219); #11810=VECTOR('',#36865,0.133); #11811=VECTOR('',#36878,0.219); #11812=VECTOR('',#36881,0.133); #11813=VECTOR('',#36894,0.219); #11814=VECTOR('',#36897,0.133); #11815=VECTOR('',#36910,0.219); #11816=VECTOR('',#36913,0.133); #11817=VECTOR('',#36926,0.219); #11818=VECTOR('',#36929,0.133); #11819=VECTOR('',#36942,0.219); #11820=VECTOR('',#36945,0.133); #11821=VECTOR('',#36958,0.219); #11822=VECTOR('',#36961,0.133); #11823=VECTOR('',#36974,0.219); #11824=VECTOR('',#36977,0.133); #11825=VECTOR('',#36990,0.219); #11826=VECTOR('',#36993,0.133); #11827=VECTOR('',#37006,0.219); #11828=VECTOR('',#37009,0.133); #11829=VECTOR('',#37022,0.219); #11830=VECTOR('',#37025,0.133); #11831=VECTOR('',#37038,0.219); #11832=VECTOR('',#37041,0.133); #11833=VECTOR('',#37048,0.166); #11834=VECTOR('',#37055,0.166); #11835=VECTOR('',#37062,0.06675); #11836=VECTOR('',#37067,0.1335); #11837=VECTOR('',#37072,0.06675); #11838=VECTOR('',#37077,0.1335); #11839=VECTOR('',#37082,0.06675); #11840=VECTOR('',#37087,0.1335); #11841=VECTOR('',#37092,0.06675); #11842=VECTOR('',#37097,0.1335); #11843=VECTOR('',#37102,0.06675); #11844=VECTOR('',#37107,0.1335); #11845=VECTOR('',#37112,0.06675); #11846=VECTOR('',#37117,0.1335); #11847=VECTOR('',#37122,0.06675); #11848=VECTOR('',#37127,0.1335); #11849=VECTOR('',#37132,0.06675); #11850=VECTOR('',#37137,0.1335); #11851=VECTOR('',#37142,0.06675); #11852=VECTOR('',#37147,0.1335); #11853=VECTOR('',#37152,0.06675); #11854=VECTOR('',#37157,0.1335); #11855=VECTOR('',#37162,0.06675); #11856=VECTOR('',#37167,0.1335); #11857=VECTOR('',#37172,0.06675); #11858=VECTOR('',#37177,0.1335); #11859=VECTOR('',#37182,0.06675); #11860=VECTOR('',#37187,0.1335); #11861=VECTOR('',#37192,0.06675); #11862=VECTOR('',#37197,0.1335); #11863=VECTOR('',#37202,0.06675); #11864=VECTOR('',#37207,0.1335); #11865=VECTOR('',#37212,0.06675); #11866=VECTOR('',#37217,0.1335); #11867=VECTOR('',#37222,0.06675); #11868=VECTOR('',#37227,0.1335); #11869=VECTOR('',#37232,0.06675); #11870=VECTOR('',#37237,0.1335); #11871=VECTOR('',#37242,0.06675); #11872=VECTOR('',#37247,0.1335); #11873=VECTOR('',#37252,0.06675); #11874=VECTOR('',#37257,0.1335); #11875=VECTOR('',#37262,0.06675); #11876=VECTOR('',#37267,0.1335); #11877=VECTOR('',#37272,0.166); #11878=VECTOR('',#37279,0.166); #11879=VECTOR('',#37286,0.166); #11880=VECTOR('',#37293,0.166); #11881=VECTOR('',#37300,0.166); #11882=VECTOR('',#37307,0.166); #11883=VECTOR('',#37314,0.166); #11884=VECTOR('',#37321,0.166); #11885=VECTOR('',#37328,0.166); #11886=VECTOR('',#37335,0.166); #11887=VECTOR('',#37352,0.393700787401575); #11888=VECTOR('',#37353,0.393700787401575); #11889=VECTOR('',#37382,0.393700787401575); #11890=VECTOR('',#37383,0.393700787401575); #11891=VECTOR('',#37400,0.393700787401575); #11892=VECTOR('',#37403,0.393700787401575); #11893=VECTOR('',#37406,0.393700787401575); #11894=VECTOR('',#37411,0.393700787401575); #11895=VECTOR('',#37412,0.393700787401575); #11896=VECTOR('',#37415,0.393700787401575); #11897=VECTOR('',#37422,0.393700787401575); #11898=VECTOR('',#37429,0.393700787401575); #11899=VECTOR('',#37438,0.393700787401575); #11900=VECTOR('',#37441,0.393700787401575); #11901=VECTOR('',#37442,0.393700787401575); #11902=VECTOR('',#37447,0.393700787401575); #11903=VECTOR('',#37456,0.166); #11904=VECTOR('',#37463,0.166); #11905=VECTOR('',#37470,0.166); #11906=VECTOR('',#37477,0.166); #11907=VECTOR('',#37484,0.166); #11908=VECTOR('',#37491,0.166); #11909=VECTOR('',#37498,0.166); #11910=VECTOR('',#37505,0.166); #11911=VECTOR('',#37512,0.166); #11912=VECTOR('',#37519,0.166); #11913=VECTOR('',#37526,0.166); #11914=VECTOR('',#37533,0.166); #11915=VECTOR('',#37540,0.166); #11916=VECTOR('',#37547,0.166); #11917=VECTOR('',#37554,0.166); #11918=VECTOR('',#37561,0.166); #11919=VECTOR('',#37568,0.166); #11920=VECTOR('',#37575,0.166); #11921=VECTOR('',#37582,0.166); #11922=VECTOR('',#37589,0.166); #11923=VECTOR('',#37596,0.166); #11924=VECTOR('',#37603,0.166); #11925=VECTOR('',#37610,0.166); #11926=VECTOR('',#37617,0.166); #11927=VECTOR('',#37624,0.166); #11928=VECTOR('',#37631,0.166); #11929=VECTOR('',#37638,0.166); #11930=VECTOR('',#37645,0.166); #11931=VECTOR('',#37652,0.166); #11932=VECTOR('',#37659,0.166); #11933=VECTOR('',#37666,0.166); #11934=VECTOR('',#37673,0.166); #11935=VECTOR('',#37680,0.166); #11936=VECTOR('',#37687,0.166); #11937=VECTOR('',#37694,0.166); #11938=VECTOR('',#37701,0.166); #11939=VECTOR('',#37708,0.166); #11940=VECTOR('',#37715,0.166); #11941=VECTOR('',#37722,0.166); #11942=VECTOR('',#37729,0.166); #11943=VECTOR('',#37736,0.166); #11944=VECTOR('',#37743,0.166); #11945=VECTOR('',#37750,0.166); #11946=VECTOR('',#37757,0.166); #11947=VECTOR('',#37764,0.166); #11948=VECTOR('',#37771,0.166); #11949=VECTOR('',#37778,0.166); #11950=VECTOR('',#37785,0.166); #11951=VECTOR('',#37792,0.166); #11952=VECTOR('',#37799,0.166); #11953=VECTOR('',#37806,0.166); #11954=VECTOR('',#37813,0.166); #11955=VECTOR('',#37820,0.166); #11956=VECTOR('',#37827,0.166); #11957=VECTOR('',#37834,0.166); #11958=VECTOR('',#37841,0.393700787401575); #11959=VECTOR('',#37844,0.393700787401575); #11960=VECTOR('',#37851,0.393700787401575); #11961=VECTOR('',#37858,0.393700787401575); #11962=VECTOR('',#37861,0.393700787401575); #11963=VECTOR('',#37862,0.393700787401575); #11964=VECTOR('',#37863,0.393700787401575); #11965=VECTOR('',#37866,0.393700787401575); #11966=VECTOR('',#37869,0.393700787401575); #11967=VECTOR('',#37872,0.393700787401575); #11968=VECTOR('',#37873,0.393700787401575); #11969=VECTOR('',#37878,0.393700787401575); #11970=VECTOR('',#37883,0.393700787401575); #11971=VECTOR('',#37890,0.393700787401575); #11972=VECTOR('',#37895,0.393700787401575); #11973=VECTOR('',#37898,0.393700787401575); #11974=VECTOR('',#37899,0.393700787401575); #11975=VECTOR('',#37904,0.393700787401575); #11976=VECTOR('',#37911,0.393700787401575); #11977=VECTOR('',#37912,0.393700787401575); #11978=VECTOR('',#37913,0.393700787401575); #11979=VECTOR('',#37924,0.393700787401575); #11980=VECTOR('',#37933,0.063); #11981=VECTOR('',#37938,0.126); #11982=VECTOR('',#37943,0.063); #11983=VECTOR('',#37948,0.126); #11984=VECTOR('',#37953,0.063); #11985=VECTOR('',#37958,0.126); #11986=VECTOR('',#37963,0.063); #11987=VECTOR('',#37968,0.126); #11988=VECTOR('',#37973,0.063); #11989=VECTOR('',#37978,0.126); #11990=VECTOR('',#37983,0.063); #11991=VECTOR('',#37988,0.126); #11992=VECTOR('',#37993,0.063); #11993=VECTOR('',#37998,0.126); #11994=VECTOR('',#38003,0.063); #11995=VECTOR('',#38008,0.126); #11996=VECTOR('',#38013,0.063); #11997=VECTOR('',#38018,0.126); #11998=VECTOR('',#38023,0.063); #11999=VECTOR('',#38028,0.126); #12000=VECTOR('',#38033,0.063); #12001=VECTOR('',#38038,0.126); #12002=VECTOR('',#38043,0.063); #12003=VECTOR('',#38048,0.126); #12004=VECTOR('',#38053,0.063); #12005=VECTOR('',#38058,0.126); #12006=VECTOR('',#38063,0.063); #12007=VECTOR('',#38068,0.126); #12008=VECTOR('',#38073,0.063); #12009=VECTOR('',#38078,0.126); #12010=VECTOR('',#38083,0.063); #12011=VECTOR('',#38088,0.126); #12012=VECTOR('',#38093,0.063); #12013=VECTOR('',#38098,0.126); #12014=VECTOR('',#38103,0.063); #12015=VECTOR('',#38108,0.126); #12016=VECTOR('',#38113,0.063); #12017=VECTOR('',#38118,0.126); #12018=VECTOR('',#38123,0.063); #12019=VECTOR('',#38128,0.126); #12020=VECTOR('',#38133,0.063); #12021=VECTOR('',#38138,0.126); #12022=VECTOR('',#38143,0.063); #12023=VECTOR('',#38148,0.126); #12024=VECTOR('',#38153,0.063); #12025=VECTOR('',#38158,0.126); #12026=VECTOR('',#38163,0.063); #12027=VECTOR('',#38168,0.126); #12028=VECTOR('',#38173,0.063); #12029=VECTOR('',#38178,0.126); #12030=VECTOR('',#38183,0.063); #12031=VECTOR('',#38188,0.126); #12032=VECTOR('',#38193,0.063); #12033=VECTOR('',#38198,0.126); #12034=VECTOR('',#38203,0.063); #12035=VECTOR('',#38208,0.126); #12036=VECTOR('',#38213,0.063); #12037=VECTOR('',#38218,0.126); #12038=VECTOR('',#38223,0.063); #12039=VECTOR('',#38228,0.126); #12040=VECTOR('',#38233,0.063); #12041=VECTOR('',#38238,0.126); #12042=VECTOR('',#38243,0.063); #12043=VECTOR('',#38248,0.126); #12044=VECTOR('',#38253,0.063); #12045=VECTOR('',#38258,0.126); #12046=VECTOR('',#38263,0.063); #12047=VECTOR('',#38268,0.126); #12048=VECTOR('',#38273,0.063); #12049=VECTOR('',#38278,0.126); #12050=VECTOR('',#38281,0.393700787401575); #12051=VECTOR('',#38282,0.393700787401575); #12052=VECTOR('',#38283,0.393700787401575); #12053=VECTOR('',#38284,0.393700787401575); #12054=VECTOR('',#38287,0.393700787401575); #12055=VECTOR('',#38288,0.393700787401575); #12056=VECTOR('',#38289,0.393700787401575); #12057=VECTOR('',#38292,0.393700787401575); #12058=VECTOR('',#38295,0.393700787401575); #12059=VECTOR('',#38296,0.393700787401575); #12060=VECTOR('',#38299,0.393700787401575); #12061=VECTOR('',#38300,0.393700787401575); #12062=VECTOR('',#38303,0.393700787401575); #12063=VECTOR('',#38304,0.393700787401575); #12064=VECTOR('',#38307,0.393700787401575); #12065=VECTOR('',#38312,0.393700787401575); #12066=VECTOR('',#38321,1.); #12067=VECTOR('',#38328,1.); #12068=VECTOR('',#38335,1.); #12069=VECTOR('',#38342,1.); #12070=VECTOR('',#38349,0.06675); #12071=VECTOR('',#38354,0.1335); #12072=VECTOR('',#38359,0.06675); #12073=VECTOR('',#38364,0.1335); #12074=VECTOR('',#38369,0.06675); #12075=VECTOR('',#38374,0.1335); #12076=VECTOR('',#38377,0.393700787401575); #12077=VECTOR('',#38378,0.393700787401575); #12078=VECTOR('',#38379,0.393700787401575); #12079=VECTOR('',#38380,0.393700787401575); #12080=VECTOR('',#38393,0.393700787401575); #12081=VECTOR('',#38394,0.393700787401575); #12082=VECTOR('',#38395,0.393700787401575); #12083=VECTOR('',#38398,0.1985); #12084=VECTOR('',#38403,0.1985); #12085=VECTOR('',#38408,0.1985); #12086=VECTOR('',#38413,0.1985); #12087=VECTOR('',#38418,0.1985); #12088=VECTOR('',#38423,0.393700787401575); #12089=VECTOR('',#38424,0.393700787401575); #12090=VECTOR('',#38433,0.393700787401575); #12091=VECTOR('',#38434,0.393700787401575); #12092=VECTOR('',#38439,0.07675); #12093=VECTOR('',#38442,0.1535); #12094=VECTOR('',#38447,0.07675); #12095=VECTOR('',#38450,0.1535); #12096=VECTOR('',#38453,0.1535); #12097=VECTOR('',#38462,0.07675); #12098=VECTOR('',#38465,0.393700787401575); #12099=VECTOR('',#38472,0.393700787401575); #12100=VECTOR('',#38473,0.393700787401575); #12101=VECTOR('',#38474,0.393700787401575); #12102=VECTOR('',#38475,0.393700787401575); #12103=VECTOR('',#38486,0.3125); #12104=VECTOR('',#38489,0.1985); #12105=VECTOR('',#38502,0.3125); #12106=VECTOR('',#38505,0.1985); #12107=VECTOR('',#38518,0.3125); #12108=VECTOR('',#38521,0.1985); #12109=VECTOR('',#38534,0.3125); #12110=VECTOR('',#38537,0.1985); #12111=VECTOR('',#38550,0.3125); #12112=VECTOR('',#38553,0.1985); #12113=VECTOR('',#38566,0.3125); #12114=VECTOR('',#38569,0.1985); #12115=VECTOR('',#38582,0.3125); #12116=VECTOR('',#38585,0.1985); #12117=VECTOR('',#38598,0.3125); #12118=VECTOR('',#38601,0.1985); #12119=VECTOR('',#38614,0.3125); #12120=VECTOR('',#38617,0.1985); #12121=VECTOR('',#38630,0.3125); #12122=VECTOR('',#38633,0.1985); #12123=VECTOR('',#38638,0.393700787401575); #12124=VECTOR('',#38639,0.393700787401575); #12125=VECTOR('',#38640,0.393700787401575); #12126=VECTOR('',#38641,0.393700787401575); #12127=VECTOR('',#38644,0.393700787401575); #12128=VECTOR('',#38645,0.393700787401575); #12129=VECTOR('',#38648,0.393700787401575); #12130=VECTOR('',#38649,0.393700787401575); #12131=VECTOR('',#38660,0.06675); #12132=VECTOR('',#38665,0.1335); #12133=VECTOR('',#38670,0.06675); #12134=VECTOR('',#38675,0.1335); #12135=VECTOR('',#38680,0.06675); #12136=VECTOR('',#38685,0.1335); #12137=VECTOR('',#38690,0.06675); #12138=VECTOR('',#38695,0.1335); #12139=VECTOR('',#38700,0.06675); #12140=VECTOR('',#38705,0.1335); #12141=VECTOR('',#38710,0.06675); #12142=VECTOR('',#38715,0.1335); #12143=VECTOR('',#38720,0.06675); #12144=VECTOR('',#38725,0.1335); #12145=VECTOR('',#38730,0.06675); #12146=VECTOR('',#38735,0.1335); #12147=VECTOR('',#38740,0.06675); #12148=VECTOR('',#38745,0.1335); #12149=VECTOR('',#38750,0.06675); #12150=VECTOR('',#38755,0.1335); #12151=VECTOR('',#38760,0.06675); #12152=VECTOR('',#38765,0.1335); #12153=VECTOR('',#38770,0.06675); #12154=VECTOR('',#38775,0.1335); #12155=VECTOR('',#38780,0.06675); #12156=VECTOR('',#38785,0.1335); #12157=VECTOR('',#38790,0.06675); #12158=VECTOR('',#38795,0.1335); #12159=VECTOR('',#38800,0.06675); #12160=VECTOR('',#38805,0.1335); #12161=VECTOR('',#38810,0.06675); #12162=VECTOR('',#38815,0.1335); #12163=VECTOR('',#38820,0.06675); #12164=VECTOR('',#38825,0.1335); #12165=VECTOR('',#38830,0.06675); #12166=VECTOR('',#38835,0.1335); #12167=VECTOR('',#38840,0.06675); #12168=VECTOR('',#38845,0.1335); #12169=VECTOR('',#38850,0.06675); #12170=VECTOR('',#38855,0.1335); #12171=VECTOR('',#38860,0.06675); #12172=VECTOR('',#38865,0.1335); #12173=VECTOR('',#38870,0.06675); #12174=VECTOR('',#38875,0.1335); #12175=VECTOR('',#38880,0.06675); #12176=VECTOR('',#38885,0.1335); #12177=VECTOR('',#38890,0.06675); #12178=VECTOR('',#38895,0.1335); #12179=VECTOR('',#38900,0.06675); #12180=VECTOR('',#38905,0.1335); #12181=VECTOR('',#38910,0.06675); #12182=VECTOR('',#38915,0.1335); #12183=VECTOR('',#38920,0.06675); #12184=VECTOR('',#38925,0.1335); #12185=VECTOR('',#38930,0.06675); #12186=VECTOR('',#38935,0.1335); #12187=VECTOR('',#38940,0.06675); #12188=VECTOR('',#38945,0.1335); #12189=VECTOR('',#38950,0.06675); #12190=VECTOR('',#38955,0.1335); #12191=VECTOR('',#38960,0.06675); #12192=VECTOR('',#38965,0.1335); #12193=VECTOR('',#38970,0.06675); #12194=VECTOR('',#38975,0.1335); #12195=VECTOR('',#38980,0.06675); #12196=VECTOR('',#38985,0.1335); #12197=VECTOR('',#38990,0.06675); #12198=VECTOR('',#38995,0.1335); #12199=VECTOR('',#39000,0.06675); #12200=VECTOR('',#39005,0.1335); #12201=VECTOR('',#39010,0.06675); #12202=VECTOR('',#39015,0.1335); #12203=VECTOR('',#39018,0.393700787401575); #12204=VECTOR('',#39019,0.393700787401575); #12205=VECTOR('',#39020,0.393700787401575); #12206=VECTOR('',#39021,0.393700787401575); #12207=VECTOR('',#39024,0.393700787401575); #12208=VECTOR('',#39025,0.393700787401575); #12209=VECTOR('',#39026,0.393700787401575); #12210=VECTOR('',#39027,0.393700787401575); #12211=VECTOR('',#39030,0.393700787401575); #12212=VECTOR('',#39031,0.393700787401575); #12213=VECTOR('',#39034,0.393700787401575); #12214=VECTOR('',#39035,0.393700787401575); #12215=VECTOR('',#39036,0.393700787401575); #12216=VECTOR('',#39039,0.393700787401575); #12217=VECTOR('',#39040,0.393700787401575); #12218=VECTOR('',#39043,0.393700787401575); #12219=VECTOR('',#39044,0.393700787401575); #12220=VECTOR('',#39047,0.393700787401575); #12221=VECTOR('',#39048,0.393700787401575); #12222=VECTOR('',#39049,0.393700787401575); #12223=VECTOR('',#39052,0.393700787401575); #12224=VECTOR('',#39055,0.393700787401575); #12225=VECTOR('',#39058,0.393700787401575); #12226=VECTOR('',#39061,0.393700787401575); #12227=VECTOR('',#39064,0.393700787401575); #12228=VECTOR('',#39065,0.393700787401575); #12229=VECTOR('',#39068,0.393700787401575); #12230=VECTOR('',#39077,0.049); #12231=VECTOR('',#39082,0.098); #12232=VECTOR('',#39087,0.049); #12233=VECTOR('',#39092,0.098); #12234=VECTOR('',#39097,0.06675); #12235=VECTOR('',#39102,0.1335); #12236=VECTOR('',#39107,0.06675); #12237=VECTOR('',#39112,0.1335); #12238=VECTOR('',#39117,0.06675); #12239=VECTOR('',#39122,0.1335); #12240=VECTOR('',#39127,0.166); #12241=VECTOR('',#39134,0.166); #12242=VECTOR('',#39141,0.166); #12243=VECTOR('',#39148,0.166); #12244=VECTOR('',#39155,0.166); #12245=VECTOR('',#39162,0.166); #12246=VECTOR('',#39169,0.166); #12247=VECTOR('',#39176,0.166); #12248=VECTOR('',#39183,0.166); #12249=VECTOR('',#39190,0.166); #12250=VECTOR('',#39197,0.166); #12251=VECTOR('',#39204,0.166); #12252=VECTOR('',#39211,0.166); #12253=VECTOR('',#39218,0.166); #12254=VECTOR('',#39225,0.166); #12255=VECTOR('',#39232,0.166); #12256=VECTOR('',#39239,0.166); #12257=VECTOR('',#39244,0.393700787401575); #12258=VECTOR('',#39245,0.393700787401575); #12259=VECTOR('',#39246,0.393700787401575); #12260=VECTOR('',#39251,0.393700787401575); #12261=VECTOR('',#39252,0.393700787401575); #12262=VECTOR('',#39253,0.393700787401575); #12263=VECTOR('',#39254,0.393700787401575); #12264=VECTOR('',#39255,0.393700787401575); #12265=VECTOR('',#39260,0.393700787401575); #12266=VECTOR('',#39273,0.393700787401575); #12267=VECTOR('',#39274,0.393700787401575); #12268=VECTOR('',#39279,0.393700787401575); #12269=VECTOR('',#39288,0.049); #12270=VECTOR('',#39293,0.098); #12271=VECTOR('',#39298,0.049); #12272=VECTOR('',#39303,0.098); #12273=VECTOR('',#39308,0.06675); #12274=VECTOR('',#39313,0.1335); #12275=VECTOR('',#39318,0.06675); #12276=VECTOR('',#39323,0.1335); #12277=VECTOR('',#39328,0.06675); #12278=VECTOR('',#39333,0.1335); #12279=VECTOR('',#39338,0.06675); #12280=VECTOR('',#39343,0.1335); #12281=VECTOR('',#39348,0.06675); #12282=VECTOR('',#39353,0.1335); #12283=VECTOR('',#39358,0.1335); #12284=VECTOR('',#39365,0.1335); #12285=VECTOR('',#39372,0.1335); #12286=VECTOR('',#39379,0.1335); #12287=VECTOR('',#39386,0.1335); #12288=VECTOR('',#39393,0.1335); #12289=VECTOR('',#39400,0.1335); #12290=VECTOR('',#39407,0.1335); #12291=VECTOR('',#39414,0.1335); #12292=VECTOR('',#39421,0.1335); #12293=VECTOR('',#39428,0.1335); #12294=VECTOR('',#39435,0.1335); #12295=VECTOR('',#39442,0.1335); #12296=VECTOR('',#39449,0.1335); #12297=VECTOR('',#39456,0.1335); #12298=VECTOR('',#39463,0.1335); #12299=VECTOR('',#39468,0.393700787401575); #12300=VECTOR('',#39469,0.393700787401575); #12301=VECTOR('',#39472,0.393700787401575); #12302=VECTOR('',#39475,0.393700787401575); #12303=VECTOR('',#39476,0.393700787401575); #12304=VECTOR('',#39477,0.393700787401575); #12305=VECTOR('',#39482,0.393700787401575); #12306=VECTOR('',#39483,0.393700787401575); #12307=VECTOR('',#39490,0.393700787401575); #12308=VECTOR('',#39501,0.393700787401575); #12309=VECTOR('',#39502,0.393700787401575); #12310=VECTOR('',#39503,0.393700787401575); #12311=VECTOR('',#39506,0.393700787401575); #12312=VECTOR('',#39509,0.393700787401575); #12313=VECTOR('',#39510,0.393700787401575); #12314=VECTOR('',#39511,0.393700787401575); #12315=VECTOR('',#39512,0.393700787401575); #12316=VECTOR('',#39513,0.393700787401575); #12317=VECTOR('',#39516,0.393700787401575); #12318=VECTOR('',#39519,0.393700787401575); #12319=VECTOR('',#39522,0.393700787401575); #12320=VECTOR('',#39525,0.393700787401575); #12321=VECTOR('',#39534,0.049); #12322=VECTOR('',#39539,0.098); #12323=VECTOR('',#39544,0.049); #12324=VECTOR('',#39549,0.098); #12325=VECTOR('',#39554,0.06675); #12326=VECTOR('',#39559,0.1335); #12327=VECTOR('',#39564,0.06675); #12328=VECTOR('',#39569,0.1335); #12329=VECTOR('',#39574,0.06675); #12330=VECTOR('',#39579,0.1335); #12331=VECTOR('',#39584,0.166); #12332=VECTOR('',#39591,0.166); #12333=VECTOR('',#39598,0.166); #12334=VECTOR('',#39605,0.166); #12335=VECTOR('',#39612,0.166); #12336=VECTOR('',#39619,0.166); #12337=VECTOR('',#39626,0.166); #12338=VECTOR('',#39633,0.166); #12339=VECTOR('',#39640,0.166); #12340=VECTOR('',#39647,0.166); #12341=VECTOR('',#39654,0.166); #12342=VECTOR('',#39661,0.166); #12343=VECTOR('',#39668,0.166); #12344=VECTOR('',#39675,0.166); #12345=VECTOR('',#39682,0.166); #12346=VECTOR('',#39689,0.166); #12347=VECTOR('',#39696,0.166); #12348=VECTOR('',#39703,0.393700787401575); #12349=VECTOR('',#39704,0.393700787401575); #12350=VECTOR('',#39705,0.393700787401575); #12351=VECTOR('',#39710,0.393700787401575); #12352=VECTOR('',#39711,0.393700787401575); #12353=VECTOR('',#39716,0.393700787401575); #12354=VECTOR('',#39717,0.393700787401575); #12355=VECTOR('',#39718,0.393700787401575); #12356=VECTOR('',#39723,0.393700787401575); #12357=VECTOR('',#39726,0.393700787401575); #12358=VECTOR('',#39727,0.393700787401575); #12359=VECTOR('',#39736,0.393700787401575); #12360=VECTOR('',#39743,0.393700787401575); #12361=VECTOR('',#39744,0.393700787401575); #12362=VECTOR('',#39745,0.393700787401575); #12363=VECTOR('',#39746,0.393700787401575); #12364=VECTOR('',#39751,0.393700787401575); #12365=VECTOR('',#39760,0.393700787401575); #12366=VECTOR('',#39765,0.166); #12367=VECTOR('',#39772,0.166); #12368=VECTOR('',#39777,0.393700787401575); #12369=VECTOR('',#39778,0.393700787401575); #12370=VECTOR('',#39779,0.393700787401575); #12371=VECTOR('',#39782,0.393700787401575); #12372=VECTOR('',#39783,0.393700787401575); #12373=VECTOR('',#39784,0.393700787401575); #12374=VECTOR('',#39801,0.06675); #12375=VECTOR('',#39806,0.1335); #12376=VECTOR('',#39811,0.06675); #12377=VECTOR('',#39816,0.1335); #12378=VECTOR('',#39821,0.06675); #12379=VECTOR('',#39826,0.1335); #12380=VECTOR('',#39831,0.06675); #12381=VECTOR('',#39836,0.1335); #12382=VECTOR('',#39841,0.06675); #12383=VECTOR('',#39846,0.1335); #12384=VECTOR('',#39851,0.06675); #12385=VECTOR('',#39856,0.1335); #12386=VECTOR('',#39861,0.06675); #12387=VECTOR('',#39866,0.1335); #12388=VECTOR('',#39871,0.06675); #12389=VECTOR('',#39876,0.1335); #12390=VECTOR('',#39881,0.06675); #12391=VECTOR('',#39886,0.1335); #12392=VECTOR('',#39891,0.06675); #12393=VECTOR('',#39896,0.1335); #12394=VECTOR('',#39901,0.06675); #12395=VECTOR('',#39906,0.1335); #12396=VECTOR('',#39911,0.06675); #12397=VECTOR('',#39916,0.1335); #12398=VECTOR('',#39921,0.06675); #12399=VECTOR('',#39926,0.1335); #12400=VECTOR('',#39931,0.06675); #12401=VECTOR('',#39936,0.1335); #12402=VECTOR('',#39941,0.06675); #12403=VECTOR('',#39946,0.1335); #12404=VECTOR('',#39951,0.06675); #12405=VECTOR('',#39956,0.1335); #12406=VECTOR('',#39961,0.06675); #12407=VECTOR('',#39966,0.1335); #12408=VECTOR('',#39971,0.06675); #12409=VECTOR('',#39976,0.1335); #12410=VECTOR('',#39981,0.06675); #12411=VECTOR('',#39986,0.1335); #12412=VECTOR('',#39991,0.06675); #12413=VECTOR('',#39996,0.1335); #12414=VECTOR('',#40001,0.06675); #12415=VECTOR('',#40006,0.1335); #12416=VECTOR('',#40011,0.06675); #12417=VECTOR('',#40016,0.1335); #12418=VECTOR('',#40021,0.06675); #12419=VECTOR('',#40026,0.1335); #12420=VECTOR('',#40031,0.06675); #12421=VECTOR('',#40036,0.1335); #12422=VECTOR('',#40041,0.06675); #12423=VECTOR('',#40046,0.1335); #12424=VECTOR('',#40051,0.393700787401575); #12425=VECTOR('',#40054,0.393700787401575); #12426=VECTOR('',#40057,0.393700787401575); #12427=VECTOR('',#40060,0.393700787401575); #12428=VECTOR('',#40061,0.393700787401575); #12429=VECTOR('',#40062,0.393700787401575); #12430=VECTOR('',#40063,0.393700787401575); #12431=VECTOR('',#40064,0.393700787401575); #12432=VECTOR('',#40065,0.393700787401575); #12433=VECTOR('',#40066,0.393700787401575); #12434=VECTOR('',#40111,0.393700787401575); #12435=VECTOR('',#40112,0.393700787401575); #12436=VECTOR('',#40117,0.393700787401575); #12437=VECTOR('',#40120,0.393700787401575); #12438=VECTOR('',#40121,0.393700787401575); #12439=VECTOR('',#40124,0.393700787401575); #12440=VECTOR('',#40125,0.393700787401575); #12441=VECTOR('',#40128,0.393700787401575); #12442=VECTOR('',#40155,0.393700787401575); #12443=VECTOR('',#40156,0.393700787401575); #12444=VECTOR('',#40157,0.393700787401575); #12445=VECTOR('',#40160,0.393700787401575); #12446=VECTOR('',#40161,0.393700787401575); #12447=VECTOR('',#40164,0.393700787401575); #12448=VECTOR('',#40165,0.393700787401575); #12449=VECTOR('',#40168,0.393700787401575); #12450=VECTOR('',#40171,0.393700787401575); #12451=VECTOR('',#40172,0.393700787401575); #12452=VECTOR('',#40173,0.393700787401575); #12453=VECTOR('',#40176,0.393700787401575); #12454=VECTOR('',#40177,0.393700787401575); #12455=VECTOR('',#40178,0.393700787401575); #12456=VECTOR('',#40197,0.393700787401575); #12457=VECTOR('',#40198,0.393700787401575); #12458=VECTOR('',#40199,0.393700787401575); #12459=VECTOR('',#40204,0.166); #12460=VECTOR('',#40209,0.166); #12461=VECTOR('',#40214,0.166); #12462=VECTOR('',#40219,0.166); #12463=VECTOR('',#40224,0.166); #12464=VECTOR('',#40229,0.166); #12465=VECTOR('',#40234,0.166); #12466=VECTOR('',#40239,0.166); #12467=VECTOR('',#40244,0.166); #12468=VECTOR('',#40249,0.166); #12469=VECTOR('',#40254,0.166); #12470=VECTOR('',#40259,0.166); #12471=VECTOR('',#40262,0.393700787401575); #12472=VECTOR('',#40267,0.393700787401575); #12473=VECTOR('',#40268,0.393700787401575); #12474=VECTOR('',#40269,0.393700787401575); #12475=VECTOR('',#40272,0.393700787401575); #12476=VECTOR('',#40273,0.393700787401575); #12477=VECTOR('',#40334,0.166); #12478=VECTOR('',#40337,0.166); #12479=VECTOR('',#40340,0.166); #12480=VECTOR('',#40343,0.166); #12481=VECTOR('',#40346,0.166); #12482=VECTOR('',#40349,0.166); #12483=VECTOR('',#40352,0.166); #12484=VECTOR('',#40355,0.166); #12485=VECTOR('',#40358,0.166); #12486=VECTOR('',#40361,0.166); #12487=VECTOR('',#40364,0.166); #12488=VECTOR('',#40367,0.166); #12489=VECTOR('',#40370,0.166); #12490=VECTOR('',#40373,0.166); #12491=VECTOR('',#40376,0.166); #12492=VECTOR('',#40379,0.166); #12493=VECTOR('',#40382,0.166); #12494=VECTOR('',#40385,0.166); #12495=VECTOR('',#40388,0.166); #12496=VECTOR('',#40391,0.166); #12497=VECTOR('',#40394,0.166); #12498=VECTOR('',#40397,0.166); #12499=VECTOR('',#40400,0.166); #12500=VECTOR('',#40403,0.166); #12501=VECTOR('',#40406,0.166); #12502=VECTOR('',#40409,0.166); #12503=VECTOR('',#40412,0.166); #12504=VECTOR('',#40415,0.166); #12505=VECTOR('',#40418,0.166); #12506=VECTOR('',#40421,0.393700787401575); #12507=VECTOR('',#40424,0.393700787401575); #12508=VECTOR('',#40425,0.393700787401575); #12509=VECTOR('',#40428,0.393700787401575); #12510=VECTOR('',#40433,0.393700787401575); #12511=VECTOR('',#40442,0.166); #12512=VECTOR('',#40449,0.166); #12513=VECTOR('',#40456,0.166); #12514=VECTOR('',#40463,0.166); #12515=VECTOR('',#40470,0.166); #12516=VECTOR('',#40477,0.166); #12517=VECTOR('',#40484,0.166); #12518=VECTOR('',#40491,0.166); #12519=VECTOR('',#40498,0.166); #12520=VECTOR('',#40505,0.166); #12521=VECTOR('',#40512,0.166); #12522=VECTOR('',#40519,0.166); #12523=VECTOR('',#40526,0.166); #12524=VECTOR('',#40533,0.166); #12525=VECTOR('',#40540,0.166); #12526=VECTOR('',#40547,0.166); #12527=VECTOR('',#40554,0.166); #12528=VECTOR('',#40561,0.166); #12529=VECTOR('',#40568,0.166); #12530=VECTOR('',#40575,0.166); #12531=VECTOR('',#40582,0.166); #12532=VECTOR('',#40589,0.166); #12533=VECTOR('',#40596,0.166); #12534=VECTOR('',#40603,0.166); #12535=VECTOR('',#40610,0.166); #12536=VECTOR('',#40617,0.166); #12537=VECTOR('',#40624,0.166); #12538=VECTOR('',#40631,0.166); #12539=VECTOR('',#40638,0.166); #12540=VECTOR('',#40645,0.166); #12541=VECTOR('',#40652,0.166); #12542=VECTOR('',#40659,0.166); #12543=VECTOR('',#40666,0.166); #12544=VECTOR('',#40673,0.166); #12545=VECTOR('',#40680,0.166); #12546=VECTOR('',#40687,0.166); #12547=VECTOR('',#40694,0.166); #12548=VECTOR('',#40701,0.166); #12549=VECTOR('',#40708,0.166); #12550=VECTOR('',#40715,0.166); #12551=VECTOR('',#40722,0.166); #12552=VECTOR('',#40729,0.166); #12553=VECTOR('',#40736,0.166); #12554=VECTOR('',#40743,0.166); #12555=VECTOR('',#40750,0.166); #12556=VECTOR('',#40757,0.166); #12557=VECTOR('',#40764,0.166); #12558=VECTOR('',#40771,0.166); #12559=VECTOR('',#40778,0.166); #12560=VECTOR('',#40785,0.166); #12561=VECTOR('',#40792,0.166); #12562=VECTOR('',#40799,0.166); #12563=VECTOR('',#40806,0.166); #12564=VECTOR('',#40813,0.166); #12565=VECTOR('',#40820,0.166); #12566=VECTOR('',#40827,0.166); #12567=VECTOR('',#40834,0.166); #12568=VECTOR('',#40841,0.166); #12569=VECTOR('',#40848,0.166); #12570=VECTOR('',#40855,0.166); #12571=VECTOR('',#40862,0.166); #12572=VECTOR('',#40869,0.166); #12573=VECTOR('',#40876,0.166); #12574=VECTOR('',#40883,0.166); #12575=VECTOR('',#40890,0.166); #12576=VECTOR('',#40897,0.166); #12577=VECTOR('',#40904,0.166); #12578=VECTOR('',#40911,0.166); #12579=VECTOR('',#40918,0.166); #12580=VECTOR('',#40925,0.166); #12581=VECTOR('',#40932,0.166); #12582=VECTOR('',#40939,0.166); #12583=VECTOR('',#40946,0.166); #12584=VECTOR('',#40953,0.166); #12585=VECTOR('',#40960,0.166); #12586=VECTOR('',#40967,0.166); #12587=VECTOR('',#40974,0.166); #12588=VECTOR('',#40981,0.166); #12589=VECTOR('',#40988,0.166); #12590=VECTOR('',#40995,0.166); #12591=VECTOR('',#41002,0.166); #12592=VECTOR('',#41009,0.166); #12593=VECTOR('',#41016,0.166); #12594=VECTOR('',#41023,0.166); #12595=VECTOR('',#41030,0.166); #12596=VECTOR('',#41037,0.166); #12597=VECTOR('',#41044,0.166); #12598=VECTOR('',#41051,0.166); #12599=VECTOR('',#41058,0.166); #12600=VECTOR('',#41065,0.166); #12601=VECTOR('',#41072,0.166); #12602=VECTOR('',#41079,0.166); #12603=VECTOR('',#41086,0.166); #12604=VECTOR('',#41093,0.166); #12605=VECTOR('',#41100,0.166); #12606=VECTOR('',#41107,0.166); #12607=VECTOR('',#41140,0.393700787401575); #12608=VECTOR('',#41141,0.393700787401575); #12609=VECTOR('',#41168,0.393700787401575); #12610=VECTOR('',#41169,0.393700787401575); #12611=VECTOR('',#41172,0.393700787401575); #12612=VECTOR('',#41173,0.393700787401575); #12613=VECTOR('',#41174,0.393700787401575); #12614=VECTOR('',#41177,0.393700787401575); #12615=VECTOR('',#41182,0.393700787401575); #12616=VECTOR('',#41187,0.393700787401575); #12617=VECTOR('',#41194,0.393700787401575); #12618=VECTOR('',#41197,0.393700787401575); #12619=VECTOR('',#41200,0.393700787401575); #12620=VECTOR('',#41201,0.393700787401575); #12621=VECTOR('',#41204,0.393700787401575); #12622=VECTOR('',#41209,0.393700787401575); #12623=VECTOR('',#41218,0.393700787401575); #12624=VECTOR('',#41219,0.393700787401575); #12625=VECTOR('',#41220,0.393700787401575); #12626=VECTOR('',#41225,0.393700787401575); #12627=VECTOR('',#41228,0.393700787401575); #12628=VECTOR('',#41229,0.393700787401575); #12629=VECTOR('',#41242,0.07675); #12630=VECTOR('',#41247,0.1535); #12631=VECTOR('',#41252,0.07675); #12632=VECTOR('',#41257,0.1535); #12633=VECTOR('',#41262,0.07675); #12634=VECTOR('',#41267,0.1535); #12635=VECTOR('',#41272,0.07675); #12636=VECTOR('',#41277,0.1535); #12637=VECTOR('',#41282,0.07675); #12638=VECTOR('',#41287,0.1535); #12639=VECTOR('',#41292,0.07675); #12640=VECTOR('',#41297,0.1535); #12641=VECTOR('',#41302,0.07675); #12642=VECTOR('',#41307,0.1535); #12643=VECTOR('',#41312,0.07675); #12644=VECTOR('',#41317,0.1535); #12645=VECTOR('',#41322,0.07675); #12646=VECTOR('',#41327,0.1535); #12647=VECTOR('',#41332,0.07675); #12648=VECTOR('',#41337,0.1535); #12649=VECTOR('',#41342,0.06675); #12650=VECTOR('',#41347,0.1335); #12651=VECTOR('',#41352,0.06675); #12652=VECTOR('',#41357,0.1335); #12653=VECTOR('',#41362,0.06675); #12654=VECTOR('',#41367,0.1335); #12655=VECTOR('',#41372,0.06675); #12656=VECTOR('',#41377,0.1335); #12657=VECTOR('',#41382,0.06675); #12658=VECTOR('',#41387,0.1335); #12659=VECTOR('',#41392,0.06675); #12660=VECTOR('',#41397,0.1335); #12661=VECTOR('',#41402,0.06675); #12662=VECTOR('',#41407,0.1335); #12663=VECTOR('',#41412,0.06675); #12664=VECTOR('',#41417,0.1335); #12665=VECTOR('',#41422,0.06675); #12666=VECTOR('',#41427,0.1335); #12667=VECTOR('',#41432,0.06675); #12668=VECTOR('',#41437,0.1335); #12669=VECTOR('',#41442,0.06675); #12670=VECTOR('',#41447,0.1335); #12671=VECTOR('',#41452,0.06675); #12672=VECTOR('',#41457,0.1335); #12673=VECTOR('',#41462,0.06675); #12674=VECTOR('',#41467,0.1335); #12675=VECTOR('',#41472,0.06675); #12676=VECTOR('',#41477,0.1335); #12677=VECTOR('',#41482,0.166); #12678=VECTOR('',#41489,0.166); #12679=VECTOR('',#41496,0.166); #12680=VECTOR('',#41503,0.166); #12681=VECTOR('',#41510,0.166); #12682=VECTOR('',#41517,0.166); #12683=VECTOR('',#41524,0.166); #12684=VECTOR('',#41531,0.166); #12685=VECTOR('',#41538,0.166); #12686=VECTOR('',#41545,0.166); #12687=VECTOR('',#41552,0.166); #12688=VECTOR('',#41559,0.166); #12689=VECTOR('',#41566,0.166); #12690=VECTOR('',#41573,0.166); #12691=VECTOR('',#41580,0.166); #12692=VECTOR('',#41587,0.166); #12693=VECTOR('',#41594,0.166); #12694=VECTOR('',#41601,0.166); #12695=VECTOR('',#41606,0.393700787401575); #12696=VECTOR('',#41607,0.393700787401575); #12697=VECTOR('',#41608,0.393700787401575); #12698=VECTOR('',#41609,0.393700787401575); #12699=VECTOR('',#41612,0.393700787401575); #12700=VECTOR('',#41613,0.393700787401575); #12701=VECTOR('',#41614,0.393700787401575); #12702=VECTOR('',#41617,0.393700787401575); #12703=VECTOR('',#41618,0.393700787401575); #12704=VECTOR('',#41621,0.393700787401575); #12705=VECTOR('',#41622,0.393700787401575); #12706=VECTOR('',#41625,0.393700787401575); #12707=VECTOR('',#41634,0.166); #12708=VECTOR('',#41641,0.166); #12709=VECTOR('',#41648,0.166); #12710=VECTOR('',#41655,0.166); #12711=VECTOR('',#41662,0.166); #12712=VECTOR('',#41669,0.166); #12713=VECTOR('',#41676,0.166); #12714=VECTOR('',#41683,0.166); #12715=VECTOR('',#41690,0.166); #12716=VECTOR('',#41697,0.166); #12717=VECTOR('',#41704,0.166); #12718=VECTOR('',#41711,0.166); #12719=VECTOR('',#41718,0.166); #12720=VECTOR('',#41725,0.166); #12721=VECTOR('',#41732,0.166); #12722=VECTOR('',#41739,0.166); #12723=VECTOR('',#41746,0.166); #12724=VECTOR('',#41753,0.166); #12725=VECTOR('',#41760,0.166); #12726=VECTOR('',#41767,0.166); #12727=VECTOR('',#41774,0.166); #12728=VECTOR('',#41781,0.166); #12729=VECTOR('',#41788,0.166); #12730=VECTOR('',#41795,0.166); #12731=VECTOR('',#41802,0.166); #12732=VECTOR('',#41809,0.166); #12733=VECTOR('',#41816,0.166); #12734=VECTOR('',#41823,0.166); #12735=VECTOR('',#41830,0.166); #12736=VECTOR('',#41837,0.166); #12737=VECTOR('',#41844,0.166); #12738=VECTOR('',#41851,0.166); #12739=VECTOR('',#41856,0.393700787401575); #12740=VECTOR('',#41857,0.393700787401575); #12741=VECTOR('',#41858,0.393700787401575); #12742=VECTOR('',#41859,0.393700787401575); #12743=VECTOR('',#41862,0.393700787401575); #12744=VECTOR('',#41863,0.393700787401575); #12745=VECTOR('',#41864,0.393700787401575); #12746=VECTOR('',#41865,0.393700787401575); #12747=VECTOR('',#41868,0.393700787401575); #12748=VECTOR('',#41869,0.393700787401575); #12749=VECTOR('',#41872,0.393700787401575); #12750=VECTOR('',#41875,0.393700787401575); #12751=VERTEX_POINT('',#42287); #12752=VERTEX_POINT('',#42288); #12753=VERTEX_POINT('',#42290); #12754=VERTEX_POINT('',#42292); #12755=VERTEX_POINT('',#42296); #12756=VERTEX_POINT('',#42297); #12757=VERTEX_POINT('',#42302); #12758=VERTEX_POINT('',#42303); #12759=VERTEX_POINT('',#42308); #12760=VERTEX_POINT('',#42309); #12761=VERTEX_POINT('',#42314); #12762=VERTEX_POINT('',#42315); #12763=VERTEX_POINT('',#42320); #12764=VERTEX_POINT('',#42321); #12765=VERTEX_POINT('',#42326); #12766=VERTEX_POINT('',#42327); #12767=VERTEX_POINT('',#42332); #12768=VERTEX_POINT('',#42333); #12769=VERTEX_POINT('',#42338); #12770=VERTEX_POINT('',#42339); #12771=VERTEX_POINT('',#42344); #12772=VERTEX_POINT('',#42345); #12773=VERTEX_POINT('',#42350); #12774=VERTEX_POINT('',#42351); #12775=VERTEX_POINT('',#42356); #12776=VERTEX_POINT('',#42357); #12777=VERTEX_POINT('',#42365); #12778=VERTEX_POINT('',#42367); #12779=VERTEX_POINT('',#42371); #12780=VERTEX_POINT('',#42375); #12781=VERTEX_POINT('',#42379); #12782=VERTEX_POINT('',#42383); #12783=VERTEX_POINT('',#42387); #12784=VERTEX_POINT('',#42391); #12785=VERTEX_POINT('',#42396); #12786=VERTEX_POINT('',#42398); #12787=VERTEX_POINT('',#42401); #12788=VERTEX_POINT('',#42405); #12789=VERTEX_POINT('',#42407); #12790=VERTEX_POINT('',#42410); #12791=VERTEX_POINT('',#42414); #12792=VERTEX_POINT('',#42416); #12793=VERTEX_POINT('',#42419); #12794=VERTEX_POINT('',#42423); #12795=VERTEX_POINT('',#42425); #12796=VERTEX_POINT('',#42428); #12797=VERTEX_POINT('',#42432); #12798=VERTEX_POINT('',#42434); #12799=VERTEX_POINT('',#42437); #12800=VERTEX_POINT('',#42441); #12801=VERTEX_POINT('',#42443); #12802=VERTEX_POINT('',#42446); #12803=VERTEX_POINT('',#42450); #12804=VERTEX_POINT('',#42452); #12805=VERTEX_POINT('',#42455); #12806=VERTEX_POINT('',#42459); #12807=VERTEX_POINT('',#42461); #12808=VERTEX_POINT('',#42464); #12809=VERTEX_POINT('',#42468); #12810=VERTEX_POINT('',#42470); #12811=VERTEX_POINT('',#42473); #12812=VERTEX_POINT('',#42477); #12813=VERTEX_POINT('',#42479); #12814=VERTEX_POINT('',#42482); #12815=VERTEX_POINT('',#42486); #12816=VERTEX_POINT('',#42488); #12817=VERTEX_POINT('',#42491); #12818=VERTEX_POINT('',#42495); #12819=VERTEX_POINT('',#42497); #12820=VERTEX_POINT('',#42500); #12821=VERTEX_POINT('',#42504); #12822=VERTEX_POINT('',#42506); #12823=VERTEX_POINT('',#42509); #12824=VERTEX_POINT('',#42510); #12825=VERTEX_POINT('',#42526); #12826=VERTEX_POINT('',#42561); #12827=VERTEX_POINT('',#42566); #12828=VERTEX_POINT('',#42568); #12829=VERTEX_POINT('',#42571); #12830=VERTEX_POINT('',#42575); #12831=VERTEX_POINT('',#42577); #12832=VERTEX_POINT('',#42580); #12833=VERTEX_POINT('',#42584); #12834=VERTEX_POINT('',#42586); #12835=VERTEX_POINT('',#42589); #12836=VERTEX_POINT('',#42593); #12837=VERTEX_POINT('',#42595); #12838=VERTEX_POINT('',#42598); #12839=VERTEX_POINT('',#42602); #12840=VERTEX_POINT('',#42604); #12841=VERTEX_POINT('',#42607); #12842=VERTEX_POINT('',#42611); #12843=VERTEX_POINT('',#42613); #12844=VERTEX_POINT('',#42616); #12845=VERTEX_POINT('',#42620); #12846=VERTEX_POINT('',#42622); #12847=VERTEX_POINT('',#42625); #12848=VERTEX_POINT('',#42629); #12849=VERTEX_POINT('',#42631); #12850=VERTEX_POINT('',#42634); #12851=VERTEX_POINT('',#42638); #12852=VERTEX_POINT('',#42640); #12853=VERTEX_POINT('',#42643); #12854=VERTEX_POINT('',#42647); #12855=VERTEX_POINT('',#42649); #12856=VERTEX_POINT('',#42652); #12857=VERTEX_POINT('',#42656); #12858=VERTEX_POINT('',#42658); #12859=VERTEX_POINT('',#42661); #12860=VERTEX_POINT('',#42665); #12861=VERTEX_POINT('',#42667); #12862=VERTEX_POINT('',#42670); #12863=VERTEX_POINT('',#42674); #12864=VERTEX_POINT('',#42676); #12865=VERTEX_POINT('',#42679); #12866=VERTEX_POINT('',#42683); #12867=VERTEX_POINT('',#42685); #12868=VERTEX_POINT('',#42688); #12869=VERTEX_POINT('',#42692); #12870=VERTEX_POINT('',#42694); #12871=VERTEX_POINT('',#42697); #12872=VERTEX_POINT('',#42701); #12873=VERTEX_POINT('',#42703); #12874=VERTEX_POINT('',#42706); #12875=VERTEX_POINT('',#42710); #12876=VERTEX_POINT('',#42712); #12877=VERTEX_POINT('',#42715); #12878=VERTEX_POINT('',#42719); #12879=VERTEX_POINT('',#42721); #12880=VERTEX_POINT('',#42724); #12881=VERTEX_POINT('',#42728); #12882=VERTEX_POINT('',#42730); #12883=VERTEX_POINT('',#42733); #12884=VERTEX_POINT('',#42737); #12885=VERTEX_POINT('',#42739); #12886=VERTEX_POINT('',#42742); #12887=VERTEX_POINT('',#42746); #12888=VERTEX_POINT('',#42748); #12889=VERTEX_POINT('',#42751); #12890=VERTEX_POINT('',#42755); #12891=VERTEX_POINT('',#42757); #12892=VERTEX_POINT('',#42760); #12893=VERTEX_POINT('',#42764); #12894=VERTEX_POINT('',#42766); #12895=VERTEX_POINT('',#42769); #12896=VERTEX_POINT('',#42773); #12897=VERTEX_POINT('',#42775); #12898=VERTEX_POINT('',#42778); #12899=VERTEX_POINT('',#42782); #12900=VERTEX_POINT('',#42784); #12901=VERTEX_POINT('',#42787); #12902=VERTEX_POINT('',#42791); #12903=VERTEX_POINT('',#42793); #12904=VERTEX_POINT('',#42796); #12905=VERTEX_POINT('',#42800); #12906=VERTEX_POINT('',#42802); #12907=VERTEX_POINT('',#42805); #12908=VERTEX_POINT('',#42809); #12909=VERTEX_POINT('',#42811); #12910=VERTEX_POINT('',#42814); #12911=VERTEX_POINT('',#42818); #12912=VERTEX_POINT('',#42820); #12913=VERTEX_POINT('',#42823); #12914=VERTEX_POINT('',#42827); #12915=VERTEX_POINT('',#42829); #12916=VERTEX_POINT('',#42832); #12917=VERTEX_POINT('',#42836); #12918=VERTEX_POINT('',#42840); #12919=VERTEX_POINT('',#42841); #12920=VERTEX_POINT('',#42843); #12921=VERTEX_POINT('',#42845); #12922=VERTEX_POINT('',#42849); #12923=VERTEX_POINT('',#42851); #12924=VERTEX_POINT('',#42853); #12925=VERTEX_POINT('',#42857); #12926=VERTEX_POINT('',#42861); #12927=VERTEX_POINT('',#42863); #12928=VERTEX_POINT('',#42867); #12929=VERTEX_POINT('',#42869); #12930=VERTEX_POINT('',#42873); #12931=VERTEX_POINT('',#42875); #12932=VERTEX_POINT('',#42877); #12933=VERTEX_POINT('',#42881); #12934=VERTEX_POINT('',#42883); #12935=VERTEX_POINT('',#42889); #12936=VERTEX_POINT('',#42892); #12937=VERTEX_POINT('',#42894); #12938=VERTEX_POINT('',#42896); #12939=VERTEX_POINT('',#42898); #12940=VERTEX_POINT('',#42900); #12941=VERTEX_POINT('',#42919); #12942=VERTEX_POINT('',#42921); #12943=VERTEX_POINT('',#42924); #12944=VERTEX_POINT('',#42928); #12945=VERTEX_POINT('',#42930); #12946=VERTEX_POINT('',#42933); #12947=VERTEX_POINT('',#42937); #12948=VERTEX_POINT('',#42939); #12949=VERTEX_POINT('',#42942); #12950=VERTEX_POINT('',#42946); #12951=VERTEX_POINT('',#42948); #12952=VERTEX_POINT('',#42951); #12953=VERTEX_POINT('',#42955); #12954=VERTEX_POINT('',#42957); #12955=VERTEX_POINT('',#42960); #12956=VERTEX_POINT('',#42964); #12957=VERTEX_POINT('',#42966); #12958=VERTEX_POINT('',#42969); #12959=VERTEX_POINT('',#42973); #12960=VERTEX_POINT('',#42975); #12961=VERTEX_POINT('',#42978); #12962=VERTEX_POINT('',#42982); #12963=VERTEX_POINT('',#42984); #12964=VERTEX_POINT('',#42987); #12965=VERTEX_POINT('',#42991); #12966=VERTEX_POINT('',#42993); #12967=VERTEX_POINT('',#42996); #12968=VERTEX_POINT('',#43000); #12969=VERTEX_POINT('',#43002); #12970=VERTEX_POINT('',#43005); #12971=VERTEX_POINT('',#43009); #12972=VERTEX_POINT('',#43011); #12973=VERTEX_POINT('',#43014); #12974=VERTEX_POINT('',#43018); #12975=VERTEX_POINT('',#43020); #12976=VERTEX_POINT('',#43022); #12977=VERTEX_POINT('',#43029); #12978=VERTEX_POINT('',#43066); #12979=VERTEX_POINT('',#43070); #12980=VERTEX_POINT('',#43072); #12981=VERTEX_POINT('',#43077); #12982=VERTEX_POINT('',#43079); #12983=VERTEX_POINT('',#43082); #12984=VERTEX_POINT('',#43086); #12985=VERTEX_POINT('',#43088); #12986=VERTEX_POINT('',#43091); #12987=VERTEX_POINT('',#43095); #12988=VERTEX_POINT('',#43097); #12989=VERTEX_POINT('',#43100); #12990=VERTEX_POINT('',#43104); #12991=VERTEX_POINT('',#43106); #12992=VERTEX_POINT('',#43109); #12993=VERTEX_POINT('',#43113); #12994=VERTEX_POINT('',#43114); #12995=VERTEX_POINT('',#43116); #12996=VERTEX_POINT('',#43118); #12997=VERTEX_POINT('',#43122); #12998=VERTEX_POINT('',#43123); #12999=VERTEX_POINT('',#43128); #13000=VERTEX_POINT('',#43129); #13001=VERTEX_POINT('',#43134); #13002=VERTEX_POINT('',#43135); #13003=VERTEX_POINT('',#43140); #13004=VERTEX_POINT('',#43141); #13005=VERTEX_POINT('',#43146); #13006=VERTEX_POINT('',#43147); #13007=VERTEX_POINT('',#43152); #13008=VERTEX_POINT('',#43153); #13009=VERTEX_POINT('',#43158); #13010=VERTEX_POINT('',#43159); #13011=VERTEX_POINT('',#43164); #13012=VERTEX_POINT('',#43165); #13013=VERTEX_POINT('',#43170); #13014=VERTEX_POINT('',#43171); #13015=VERTEX_POINT('',#43176); #13016=VERTEX_POINT('',#43177); #13017=VERTEX_POINT('',#43182); #13018=VERTEX_POINT('',#43183); #13019=VERTEX_POINT('',#43191); #13020=VERTEX_POINT('',#43193); #13021=VERTEX_POINT('',#43197); #13022=VERTEX_POINT('',#43201); #13023=VERTEX_POINT('',#43205); #13024=VERTEX_POINT('',#43209); #13025=VERTEX_POINT('',#43213); #13026=VERTEX_POINT('',#43217); #13027=VERTEX_POINT('',#43222); #13028=VERTEX_POINT('',#43224); #13029=VERTEX_POINT('',#43227); #13030=VERTEX_POINT('',#43231); #13031=VERTEX_POINT('',#43233); #13032=VERTEX_POINT('',#43236); #13033=VERTEX_POINT('',#43240); #13034=VERTEX_POINT('',#43242); #13035=VERTEX_POINT('',#43245); #13036=VERTEX_POINT('',#43249); #13037=VERTEX_POINT('',#43251); #13038=VERTEX_POINT('',#43254); #13039=VERTEX_POINT('',#43258); #13040=VERTEX_POINT('',#43261); #13041=VERTEX_POINT('',#43263); #13042=VERTEX_POINT('',#43266); #13043=VERTEX_POINT('',#43270); #13044=VERTEX_POINT('',#43272); #13045=VERTEX_POINT('',#43275); #13046=VERTEX_POINT('',#43279); #13047=VERTEX_POINT('',#43281); #13048=VERTEX_POINT('',#43284); #13049=VERTEX_POINT('',#43288); #13050=VERTEX_POINT('',#43290); #13051=VERTEX_POINT('',#43293); #13052=VERTEX_POINT('',#43297); #13053=VERTEX_POINT('',#43299); #13054=VERTEX_POINT('',#43302); #13055=VERTEX_POINT('',#43306); #13056=VERTEX_POINT('',#43308); #13057=VERTEX_POINT('',#43311); #13058=VERTEX_POINT('',#43315); #13059=VERTEX_POINT('',#43317); #13060=VERTEX_POINT('',#43320); #13061=VERTEX_POINT('',#43324); #13062=VERTEX_POINT('',#43326); #13063=VERTEX_POINT('',#43329); #13064=VERTEX_POINT('',#43333); #13065=VERTEX_POINT('',#43335); #13066=VERTEX_POINT('',#43338); #13067=VERTEX_POINT('',#43342); #13068=VERTEX_POINT('',#43344); #13069=VERTEX_POINT('',#43347); #13070=VERTEX_POINT('',#43351); #13071=VERTEX_POINT('',#43353); #13072=VERTEX_POINT('',#43356); #13073=VERTEX_POINT('',#43360); #13074=VERTEX_POINT('',#43362); #13075=VERTEX_POINT('',#43365); #13076=VERTEX_POINT('',#43369); #13077=VERTEX_POINT('',#43371); #13078=VERTEX_POINT('',#43374); #13079=VERTEX_POINT('',#43378); #13080=VERTEX_POINT('',#43380); #13081=VERTEX_POINT('',#43383); #13082=VERTEX_POINT('',#43387); #13083=VERTEX_POINT('',#43389); #13084=VERTEX_POINT('',#43392); #13085=VERTEX_POINT('',#43396); #13086=VERTEX_POINT('',#43398); #13087=VERTEX_POINT('',#43401); #13088=VERTEX_POINT('',#43405); #13089=VERTEX_POINT('',#43407); #13090=VERTEX_POINT('',#43410); #13091=VERTEX_POINT('',#43414); #13092=VERTEX_POINT('',#43416); #13093=VERTEX_POINT('',#43419); #13094=VERTEX_POINT('',#43423); #13095=VERTEX_POINT('',#43425); #13096=VERTEX_POINT('',#43428); #13097=VERTEX_POINT('',#43432); #13098=VERTEX_POINT('',#43434); #13099=VERTEX_POINT('',#43437); #13100=VERTEX_POINT('',#43441); #13101=VERTEX_POINT('',#43443); #13102=VERTEX_POINT('',#43446); #13103=VERTEX_POINT('',#43450); #13104=VERTEX_POINT('',#43452); #13105=VERTEX_POINT('',#43455); #13106=VERTEX_POINT('',#43459); #13107=VERTEX_POINT('',#43461); #13108=VERTEX_POINT('',#43464); #13109=VERTEX_POINT('',#43468); #13110=VERTEX_POINT('',#43470); #13111=VERTEX_POINT('',#43473); #13112=VERTEX_POINT('',#43477); #13113=VERTEX_POINT('',#43479); #13114=VERTEX_POINT('',#43482); #13115=VERTEX_POINT('',#43486); #13116=VERTEX_POINT('',#43488); #13117=VERTEX_POINT('',#43491); #13118=VERTEX_POINT('',#43495); #13119=VERTEX_POINT('',#43497); #13120=VERTEX_POINT('',#43500); #13121=VERTEX_POINT('',#43504); #13122=VERTEX_POINT('',#43506); #13123=VERTEX_POINT('',#43509); #13124=VERTEX_POINT('',#43513); #13125=VERTEX_POINT('',#43515); #13126=VERTEX_POINT('',#43518); #13127=VERTEX_POINT('',#43522); #13128=VERTEX_POINT('',#43524); #13129=VERTEX_POINT('',#43527); #13130=VERTEX_POINT('',#43531); #13131=VERTEX_POINT('',#43533); #13132=VERTEX_POINT('',#43536); #13133=VERTEX_POINT('',#43540); #13134=VERTEX_POINT('',#43542); #13135=VERTEX_POINT('',#43545); #13136=VERTEX_POINT('',#43549); #13137=VERTEX_POINT('',#43551); #13138=VERTEX_POINT('',#43554); #13139=VERTEX_POINT('',#43558); #13140=VERTEX_POINT('',#43560); #13141=VERTEX_POINT('',#43563); #13142=VERTEX_POINT('',#43567); #13143=VERTEX_POINT('',#43569); #13144=VERTEX_POINT('',#43572); #13145=VERTEX_POINT('',#43576); #13146=VERTEX_POINT('',#43578); #13147=VERTEX_POINT('',#43581); #13148=VERTEX_POINT('',#43585); #13149=VERTEX_POINT('',#43587); #13150=VERTEX_POINT('',#43590); #13151=VERTEX_POINT('',#43594); #13152=VERTEX_POINT('',#43596); #13153=VERTEX_POINT('',#43599); #13154=VERTEX_POINT('',#43603); #13155=VERTEX_POINT('',#43605); #13156=VERTEX_POINT('',#43608); #13157=VERTEX_POINT('',#43612); #13158=VERTEX_POINT('',#43614); #13159=VERTEX_POINT('',#43617); #13160=VERTEX_POINT('',#43621); #13161=VERTEX_POINT('',#43623); #13162=VERTEX_POINT('',#43626); #13163=VERTEX_POINT('',#43630); #13164=VERTEX_POINT('',#43632); #13165=VERTEX_POINT('',#43635); #13166=VERTEX_POINT('',#43639); #13167=VERTEX_POINT('',#43641); #13168=VERTEX_POINT('',#43644); #13169=VERTEX_POINT('',#43648); #13170=VERTEX_POINT('',#43650); #13171=VERTEX_POINT('',#43653); #13172=VERTEX_POINT('',#43657); #13173=VERTEX_POINT('',#43659); #13174=VERTEX_POINT('',#43662); #13175=VERTEX_POINT('',#43666); #13176=VERTEX_POINT('',#43668); #13177=VERTEX_POINT('',#43671); #13178=VERTEX_POINT('',#43675); #13179=VERTEX_POINT('',#43677); #13180=VERTEX_POINT('',#43680); #13181=VERTEX_POINT('',#43684); #13182=VERTEX_POINT('',#43685); #13183=VERTEX_POINT('',#43687); #13184=VERTEX_POINT('',#43689); #13185=VERTEX_POINT('',#43693); #13186=VERTEX_POINT('',#43695); #13187=VERTEX_POINT('',#43697); #13188=VERTEX_POINT('',#43701); #13189=VERTEX_POINT('',#43703); #13190=VERTEX_POINT('',#43707); #13191=VERTEX_POINT('',#43711); #13192=VERTEX_POINT('',#43713); #13193=VERTEX_POINT('',#43717); #13194=VERTEX_POINT('',#43719); #13195=VERTEX_POINT('',#43723); #13196=VERTEX_POINT('',#43725); #13197=VERTEX_POINT('',#43729); #13198=VERTEX_POINT('',#43731); #13199=VERTEX_POINT('',#43738); #13200=VERTEX_POINT('',#43740); #13201=VERTEX_POINT('',#43742); #13202=VERTEX_POINT('',#43744); #13203=VERTEX_POINT('',#43746); #13204=VERTEX_POINT('',#43763); #13205=VERTEX_POINT('',#43764); #13206=VERTEX_POINT('',#43766); #13207=VERTEX_POINT('',#43768); #13208=VERTEX_POINT('',#43772); #13209=VERTEX_POINT('',#43774); #13210=VERTEX_POINT('',#43776); #13211=VERTEX_POINT('',#43779); #13212=VERTEX_POINT('',#43781); #13213=VERTEX_POINT('',#43783); #13214=VERTEX_POINT('',#43785); #13215=VERTEX_POINT('',#43787); #13216=VERTEX_POINT('',#43789); #13217=VERTEX_POINT('',#43791); #13218=VERTEX_POINT('',#43793); #13219=VERTEX_POINT('',#43796); #13220=VERTEX_POINT('',#43798); #13221=VERTEX_POINT('',#43801); #13222=VERTEX_POINT('',#43805); #13223=VERTEX_POINT('',#43807); #13224=VERTEX_POINT('',#43810); #13225=VERTEX_POINT('',#43814); #13226=VERTEX_POINT('',#43816); #13227=VERTEX_POINT('',#43819); #13228=VERTEX_POINT('',#43823); #13229=VERTEX_POINT('',#43825); #13230=VERTEX_POINT('',#43828); #13231=VERTEX_POINT('',#43832); #13232=VERTEX_POINT('',#43834); #13233=VERTEX_POINT('',#43837); #13234=VERTEX_POINT('',#43841); #13235=VERTEX_POINT('',#43843); #13236=VERTEX_POINT('',#43846); #13237=VERTEX_POINT('',#43850); #13238=VERTEX_POINT('',#43852); #13239=VERTEX_POINT('',#43855); #13240=VERTEX_POINT('',#43859); #13241=VERTEX_POINT('',#43861); #13242=VERTEX_POINT('',#43864); #13243=VERTEX_POINT('',#43868); #13244=VERTEX_POINT('',#43870); #13245=VERTEX_POINT('',#43873); #13246=VERTEX_POINT('',#43874); #13247=VERTEX_POINT('',#43889); #13248=VERTEX_POINT('',#43923); #13249=VERTEX_POINT('',#43928); #13250=VERTEX_POINT('',#43930); #13251=VERTEX_POINT('',#43933); #13252=VERTEX_POINT('',#43937); #13253=VERTEX_POINT('',#43939); #13254=VERTEX_POINT('',#43942); #13255=VERTEX_POINT('',#43946); #13256=VERTEX_POINT('',#43948); #13257=VERTEX_POINT('',#43951); #13258=VERTEX_POINT('',#43955); #13259=VERTEX_POINT('',#43957); #13260=VERTEX_POINT('',#43960); #13261=VERTEX_POINT('',#43964); #13262=VERTEX_POINT('',#43965); #13263=VERTEX_POINT('',#43972); #13264=VERTEX_POINT('',#43979); #13265=VERTEX_POINT('',#43981); #13266=VERTEX_POINT('',#43985); #13267=VERTEX_POINT('',#44018); #13268=VERTEX_POINT('',#44020); #13269=VERTEX_POINT('',#44023); #13270=VERTEX_POINT('',#44027); #13271=VERTEX_POINT('',#44029); #13272=VERTEX_POINT('',#44032); #13273=VERTEX_POINT('',#44036); #13274=VERTEX_POINT('',#44038); #13275=VERTEX_POINT('',#44041); #13276=VERTEX_POINT('',#44045); #13277=VERTEX_POINT('',#44047); #13278=VERTEX_POINT('',#44050); #13279=VERTEX_POINT('',#44054); #13280=VERTEX_POINT('',#44058); #13281=VERTEX_POINT('',#44060); #13282=VERTEX_POINT('',#44063); #13283=VERTEX_POINT('',#44067); #13284=VERTEX_POINT('',#44069); #13285=VERTEX_POINT('',#44072); #13286=VERTEX_POINT('',#44076); #13287=VERTEX_POINT('',#44078); #13288=VERTEX_POINT('',#44081); #13289=VERTEX_POINT('',#44085); #13290=VERTEX_POINT('',#44087); #13291=VERTEX_POINT('',#44090); #13292=VERTEX_POINT('',#44094); #13293=VERTEX_POINT('',#44096); #13294=VERTEX_POINT('',#44099); #13295=VERTEX_POINT('',#44103); #13296=VERTEX_POINT('',#44105); #13297=VERTEX_POINT('',#44108); #13298=VERTEX_POINT('',#44112); #13299=VERTEX_POINT('',#44114); #13300=VERTEX_POINT('',#44117); #13301=VERTEX_POINT('',#44121); #13302=VERTEX_POINT('',#44123); #13303=VERTEX_POINT('',#44126); #13304=VERTEX_POINT('',#44130); #13305=VERTEX_POINT('',#44132); #13306=VERTEX_POINT('',#44135); #13307=VERTEX_POINT('',#44139); #13308=VERTEX_POINT('',#44141); #13309=VERTEX_POINT('',#44144); #13310=VERTEX_POINT('',#44148); #13311=VERTEX_POINT('',#44150); #13312=VERTEX_POINT('',#44153); #13313=VERTEX_POINT('',#44157); #13314=VERTEX_POINT('',#44161); #13315=VERTEX_POINT('',#44163); #13316=VERTEX_POINT('',#44166); #13317=VERTEX_POINT('',#44170); #13318=VERTEX_POINT('',#44172); #13319=VERTEX_POINT('',#44175); #13320=VERTEX_POINT('',#44179); #13321=VERTEX_POINT('',#44181); #13322=VERTEX_POINT('',#44184); #13323=VERTEX_POINT('',#44188); #13324=VERTEX_POINT('',#44190); #13325=VERTEX_POINT('',#44193); #13326=VERTEX_POINT('',#44197); #13327=VERTEX_POINT('',#44199); #13328=VERTEX_POINT('',#44202); #13329=VERTEX_POINT('',#44206); #13330=VERTEX_POINT('',#44208); #13331=VERTEX_POINT('',#44211); #13332=VERTEX_POINT('',#44215); #13333=VERTEX_POINT('',#44217); #13334=VERTEX_POINT('',#44220); #13335=VERTEX_POINT('',#44224); #13336=VERTEX_POINT('',#44226); #13337=VERTEX_POINT('',#44229); #13338=VERTEX_POINT('',#44233); #13339=VERTEX_POINT('',#44235); #13340=VERTEX_POINT('',#44238); #13341=VERTEX_POINT('',#44242); #13342=VERTEX_POINT('',#44244); #13343=VERTEX_POINT('',#44247); #13344=VERTEX_POINT('',#44251); #13345=VERTEX_POINT('',#44253); #13346=VERTEX_POINT('',#44256); #13347=VERTEX_POINT('',#44260); #13348=VERTEX_POINT('',#44262); #13349=VERTEX_POINT('',#44265); #13350=VERTEX_POINT('',#44269); #13351=VERTEX_POINT('',#44271); #13352=VERTEX_POINT('',#44274); #13353=VERTEX_POINT('',#44278); #13354=VERTEX_POINT('',#44280); #13355=VERTEX_POINT('',#44283); #13356=VERTEX_POINT('',#44287); #13357=VERTEX_POINT('',#44289); #13358=VERTEX_POINT('',#44292); #13359=VERTEX_POINT('',#44296); #13360=VERTEX_POINT('',#44298); #13361=VERTEX_POINT('',#44301); #13362=VERTEX_POINT('',#44305); #13363=VERTEX_POINT('',#44307); #13364=VERTEX_POINT('',#44310); #13365=VERTEX_POINT('',#44314); #13366=VERTEX_POINT('',#44316); #13367=VERTEX_POINT('',#44319); #13368=VERTEX_POINT('',#44323); #13369=VERTEX_POINT('',#44325); #13370=VERTEX_POINT('',#44328); #13371=VERTEX_POINT('',#44332); #13372=VERTEX_POINT('',#44334); #13373=VERTEX_POINT('',#44337); #13374=VERTEX_POINT('',#44341); #13375=VERTEX_POINT('',#44343); #13376=VERTEX_POINT('',#44346); #13377=VERTEX_POINT('',#44350); #13378=VERTEX_POINT('',#44352); #13379=VERTEX_POINT('',#44355); #13380=VERTEX_POINT('',#44359); #13381=VERTEX_POINT('',#44361); #13382=VERTEX_POINT('',#44364); #13383=VERTEX_POINT('',#44368); #13384=VERTEX_POINT('',#44370); #13385=VERTEX_POINT('',#44373); #13386=VERTEX_POINT('',#44377); #13387=VERTEX_POINT('',#44379); #13388=VERTEX_POINT('',#44382); #13389=VERTEX_POINT('',#44386); #13390=VERTEX_POINT('',#44388); #13391=VERTEX_POINT('',#44391); #13392=VERTEX_POINT('',#44395); #13393=VERTEX_POINT('',#44397); #13394=VERTEX_POINT('',#44400); #13395=VERTEX_POINT('',#44404); #13396=VERTEX_POINT('',#44406); #13397=VERTEX_POINT('',#44409); #13398=VERTEX_POINT('',#44413); #13399=VERTEX_POINT('',#44415); #13400=VERTEX_POINT('',#44418); #13401=VERTEX_POINT('',#44422); #13402=VERTEX_POINT('',#44424); #13403=VERTEX_POINT('',#44427); #13404=VERTEX_POINT('',#44431); #13405=VERTEX_POINT('',#44433); #13406=VERTEX_POINT('',#44436); #13407=VERTEX_POINT('',#44440); #13408=VERTEX_POINT('',#44442); #13409=VERTEX_POINT('',#44445); #13410=VERTEX_POINT('',#44449); #13411=VERTEX_POINT('',#44451); #13412=VERTEX_POINT('',#44454); #13413=VERTEX_POINT('',#44458); #13414=VERTEX_POINT('',#44460); #13415=VERTEX_POINT('',#44463); #13416=VERTEX_POINT('',#44467); #13417=VERTEX_POINT('',#44469); #13418=VERTEX_POINT('',#44472); #13419=VERTEX_POINT('',#44476); #13420=VERTEX_POINT('',#44478); #13421=VERTEX_POINT('',#44481); #13422=VERTEX_POINT('',#44485); #13423=VERTEX_POINT('',#44487); #13424=VERTEX_POINT('',#44490); #13425=VERTEX_POINT('',#44494); #13426=VERTEX_POINT('',#44496); #13427=VERTEX_POINT('',#44499); #13428=VERTEX_POINT('',#44503); #13429=VERTEX_POINT('',#44505); #13430=VERTEX_POINT('',#44508); #13431=VERTEX_POINT('',#44512); #13432=VERTEX_POINT('',#44514); #13433=VERTEX_POINT('',#44517); #13434=VERTEX_POINT('',#44521); #13435=VERTEX_POINT('',#44523); #13436=VERTEX_POINT('',#44526); #13437=VERTEX_POINT('',#44530); #13438=VERTEX_POINT('',#44532); #13439=VERTEX_POINT('',#44535); #13440=VERTEX_POINT('',#44539); #13441=VERTEX_POINT('',#44541); #13442=VERTEX_POINT('',#44544); #13443=VERTEX_POINT('',#44548); #13444=VERTEX_POINT('',#44550); #13445=VERTEX_POINT('',#44553); #13446=VERTEX_POINT('',#44557); #13447=VERTEX_POINT('',#44559); #13448=VERTEX_POINT('',#44562); #13449=VERTEX_POINT('',#44566); #13450=VERTEX_POINT('',#44568); #13451=VERTEX_POINT('',#44571); #13452=VERTEX_POINT('',#44575); #13453=VERTEX_POINT('',#44577); #13454=VERTEX_POINT('',#44580); #13455=VERTEX_POINT('',#44584); #13456=VERTEX_POINT('',#44586); #13457=VERTEX_POINT('',#44589); #13458=VERTEX_POINT('',#44593); #13459=VERTEX_POINT('',#44595); #13460=VERTEX_POINT('',#44598); #13461=VERTEX_POINT('',#44602); #13462=VERTEX_POINT('',#44604); #13463=VERTEX_POINT('',#44607); #13464=VERTEX_POINT('',#44611); #13465=VERTEX_POINT('',#44613); #13466=VERTEX_POINT('',#44616); #13467=VERTEX_POINT('',#44620); #13468=VERTEX_POINT('',#44622); #13469=VERTEX_POINT('',#44625); #13470=VERTEX_POINT('',#44629); #13471=VERTEX_POINT('',#44631); #13472=VERTEX_POINT('',#44634); #13473=VERTEX_POINT('',#44638); #13474=VERTEX_POINT('',#44640); #13475=VERTEX_POINT('',#44643); #13476=VERTEX_POINT('',#44647); #13477=VERTEX_POINT('',#44649); #13478=VERTEX_POINT('',#44652); #13479=VERTEX_POINT('',#44656); #13480=VERTEX_POINT('',#44658); #13481=VERTEX_POINT('',#44661); #13482=VERTEX_POINT('',#44665); #13483=VERTEX_POINT('',#44667); #13484=VERTEX_POINT('',#44670); #13485=VERTEX_POINT('',#44674); #13486=VERTEX_POINT('',#44676); #13487=VERTEX_POINT('',#44679); #13488=VERTEX_POINT('',#44683); #13489=VERTEX_POINT('',#44685); #13490=VERTEX_POINT('',#44690); #13491=VERTEX_POINT('',#44692); #13492=VERTEX_POINT('',#44697); #13493=VERTEX_POINT('',#44699); #13494=VERTEX_POINT('',#44704); #13495=VERTEX_POINT('',#44706); #13496=VERTEX_POINT('',#44711); #13497=VERTEX_POINT('',#44713); #13498=VERTEX_POINT('',#44718); #13499=VERTEX_POINT('',#44720); #13500=VERTEX_POINT('',#44725); #13501=VERTEX_POINT('',#44727); #13502=VERTEX_POINT('',#44732); #13503=VERTEX_POINT('',#44734); #13504=VERTEX_POINT('',#44739); #13505=VERTEX_POINT('',#44741); #13506=VERTEX_POINT('',#44744); #13507=VERTEX_POINT('',#44748); #13508=VERTEX_POINT('',#44750); #13509=VERTEX_POINT('',#44753); #13510=VERTEX_POINT('',#44757); #13511=VERTEX_POINT('',#44759); #13512=VERTEX_POINT('',#44762); #13513=VERTEX_POINT('',#44766); #13514=VERTEX_POINT('',#44768); #13515=VERTEX_POINT('',#44771); #13516=VERTEX_POINT('',#44775); #13517=VERTEX_POINT('',#44777); #13518=VERTEX_POINT('',#44780); #13519=VERTEX_POINT('',#44784); #13520=VERTEX_POINT('',#44786); #13521=VERTEX_POINT('',#44789); #13522=VERTEX_POINT('',#44793); #13523=VERTEX_POINT('',#44795); #13524=VERTEX_POINT('',#44798); #13525=VERTEX_POINT('',#44802); #13526=VERTEX_POINT('',#44804); #13527=VERTEX_POINT('',#44807); #13528=VERTEX_POINT('',#44811); #13529=VERTEX_POINT('',#44813); #13530=VERTEX_POINT('',#44816); #13531=VERTEX_POINT('',#44820); #13532=VERTEX_POINT('',#44822); #13533=VERTEX_POINT('',#44825); #13534=VERTEX_POINT('',#44829); #13535=VERTEX_POINT('',#44831); #13536=VERTEX_POINT('',#44834); #13537=VERTEX_POINT('',#44838); #13538=VERTEX_POINT('',#44840); #13539=VERTEX_POINT('',#44843); #13540=VERTEX_POINT('',#44847); #13541=VERTEX_POINT('',#44849); #13542=VERTEX_POINT('',#44852); #13543=VERTEX_POINT('',#44856); #13544=VERTEX_POINT('',#44858); #13545=VERTEX_POINT('',#44861); #13546=VERTEX_POINT('',#44865); #13547=VERTEX_POINT('',#44867); #13548=VERTEX_POINT('',#44870); #13549=VERTEX_POINT('',#44874); #13550=VERTEX_POINT('',#44878); #13551=VERTEX_POINT('',#44879); #13552=VERTEX_POINT('',#44884); #13553=VERTEX_POINT('',#44886); #13554=VERTEX_POINT('',#44888); #13555=VERTEX_POINT('',#44890); #13556=VERTEX_POINT('',#44893); #13557=VERTEX_POINT('',#44894); #13558=VERTEX_POINT('',#44896); #13559=VERTEX_POINT('',#44898); #13560=VERTEX_POINT('',#44900); #13561=VERTEX_POINT('',#44902); #13562=VERTEX_POINT('',#44904); #13563=VERTEX_POINT('',#44906); #13564=VERTEX_POINT('',#44908); #13565=VERTEX_POINT('',#44910); #13566=VERTEX_POINT('',#44912); #13567=VERTEX_POINT('',#44914); #13568=VERTEX_POINT('',#44918); #13569=VERTEX_POINT('',#44922); #13570=VERTEX_POINT('',#44924); #13571=VERTEX_POINT('',#44926); #13572=VERTEX_POINT('',#44930); #13573=VERTEX_POINT('',#44936); #13574=VERTEX_POINT('',#44938); #13575=VERTEX_POINT('',#44941); #13576=VERTEX_POINT('',#44942); #13577=VERTEX_POINT('',#44944); #13578=VERTEX_POINT('',#44946); #13579=VERTEX_POINT('',#44948); #13580=VERTEX_POINT('',#44950); #13581=VERTEX_POINT('',#44952); #13582=VERTEX_POINT('',#44954); #13583=VERTEX_POINT('',#44956); #13584=VERTEX_POINT('',#44958); #13585=VERTEX_POINT('',#44960); #13586=VERTEX_POINT('',#44962); #13587=VERTEX_POINT('',#44987); #13588=VERTEX_POINT('',#44991); #13589=VERTEX_POINT('',#44993); #13590=VERTEX_POINT('',#44997); #13591=VERTEX_POINT('',#45010); #13592=VERTEX_POINT('',#45012); #13593=VERTEX_POINT('',#45016); #13594=VERTEX_POINT('',#45018); #13595=VERTEX_POINT('',#45022); #13596=VERTEX_POINT('',#45024); #13597=VERTEX_POINT('',#45028); #13598=VERTEX_POINT('',#45030); #13599=VERTEX_POINT('',#45034); #13600=VERTEX_POINT('',#45036); #13601=VERTEX_POINT('',#45040); #13602=VERTEX_POINT('',#45042); #13603=VERTEX_POINT('',#45046); #13604=VERTEX_POINT('',#45048); #13605=VERTEX_POINT('',#45052); #13606=VERTEX_POINT('',#45054); #13607=VERTEX_POINT('',#45058); #13608=VERTEX_POINT('',#45060); #13609=VERTEX_POINT('',#45064); #13610=VERTEX_POINT('',#45066); #13611=VERTEX_POINT('',#45070); #13612=VERTEX_POINT('',#45072); #13613=VERTEX_POINT('',#45076); #13614=VERTEX_POINT('',#45078); #13615=VERTEX_POINT('',#45082); #13616=VERTEX_POINT('',#45084); #13617=VERTEX_POINT('',#45088); #13618=VERTEX_POINT('',#45090); #13619=VERTEX_POINT('',#45094); #13620=VERTEX_POINT('',#45096); #13621=VERTEX_POINT('',#45100); #13622=VERTEX_POINT('',#45102); #13623=VERTEX_POINT('',#45106); #13624=VERTEX_POINT('',#45108); #13625=VERTEX_POINT('',#45112); #13626=VERTEX_POINT('',#45114); #13627=VERTEX_POINT('',#45118); #13628=VERTEX_POINT('',#45120); #13629=VERTEX_POINT('',#45124); #13630=VERTEX_POINT('',#45126); #13631=VERTEX_POINT('',#45130); #13632=VERTEX_POINT('',#45132); #13633=VERTEX_POINT('',#45136); #13634=VERTEX_POINT('',#45138); #13635=VERTEX_POINT('',#45142); #13636=VERTEX_POINT('',#45144); #13637=VERTEX_POINT('',#45148); #13638=VERTEX_POINT('',#45150); #13639=VERTEX_POINT('',#45154); #13640=VERTEX_POINT('',#45156); #13641=VERTEX_POINT('',#45160); #13642=VERTEX_POINT('',#45162); #13643=VERTEX_POINT('',#45166); #13644=VERTEX_POINT('',#45168); #13645=VERTEX_POINT('',#45172); #13646=VERTEX_POINT('',#45174); #13647=VERTEX_POINT('',#45178); #13648=VERTEX_POINT('',#45180); #13649=VERTEX_POINT('',#45184); #13650=VERTEX_POINT('',#45186); #13651=VERTEX_POINT('',#45190); #13652=VERTEX_POINT('',#45192); #13653=VERTEX_POINT('',#45196); #13654=VERTEX_POINT('',#45198); #13655=VERTEX_POINT('',#45202); #13656=VERTEX_POINT('',#45204); #13657=VERTEX_POINT('',#45208); #13658=VERTEX_POINT('',#45210); #13659=VERTEX_POINT('',#45214); #13660=VERTEX_POINT('',#45216); #13661=VERTEX_POINT('',#45220); #13662=VERTEX_POINT('',#45222); #13663=VERTEX_POINT('',#45226); #13664=VERTEX_POINT('',#45228); #13665=VERTEX_POINT('',#45232); #13666=VERTEX_POINT('',#45234); #13667=VERTEX_POINT('',#45238); #13668=VERTEX_POINT('',#45240); #13669=VERTEX_POINT('',#45244); #13670=VERTEX_POINT('',#45246); #13671=VERTEX_POINT('',#45250); #13672=VERTEX_POINT('',#45252); #13673=VERTEX_POINT('',#45256); #13674=VERTEX_POINT('',#45258); #13675=VERTEX_POINT('',#45262); #13676=VERTEX_POINT('',#45264); #13677=VERTEX_POINT('',#45268); #13678=VERTEX_POINT('',#45270); #13679=VERTEX_POINT('',#45274); #13680=VERTEX_POINT('',#45276); #13681=VERTEX_POINT('',#45280); #13682=VERTEX_POINT('',#45282); #13683=VERTEX_POINT('',#45286); #13684=VERTEX_POINT('',#45288); #13685=VERTEX_POINT('',#45292); #13686=VERTEX_POINT('',#45294); #13687=VERTEX_POINT('',#45298); #13688=VERTEX_POINT('',#45300); #13689=VERTEX_POINT('',#45304); #13690=VERTEX_POINT('',#45306); #13691=VERTEX_POINT('',#45310); #13692=VERTEX_POINT('',#45312); #13693=VERTEX_POINT('',#45316); #13694=VERTEX_POINT('',#45318); #13695=VERTEX_POINT('',#45322); #13696=VERTEX_POINT('',#45324); #13697=VERTEX_POINT('',#45328); #13698=VERTEX_POINT('',#45330); #13699=VERTEX_POINT('',#45334); #13700=VERTEX_POINT('',#45336); #13701=VERTEX_POINT('',#45340); #13702=VERTEX_POINT('',#45342); #13703=VERTEX_POINT('',#45346); #13704=VERTEX_POINT('',#45348); #13705=VERTEX_POINT('',#45352); #13706=VERTEX_POINT('',#45354); #13707=VERTEX_POINT('',#45358); #13708=VERTEX_POINT('',#45360); #13709=VERTEX_POINT('',#45364); #13710=VERTEX_POINT('',#45366); #13711=VERTEX_POINT('',#45370); #13712=VERTEX_POINT('',#45372); #13713=VERTEX_POINT('',#45376); #13714=VERTEX_POINT('',#45378); #13715=VERTEX_POINT('',#45382); #13716=VERTEX_POINT('',#45384); #13717=VERTEX_POINT('',#45388); #13718=VERTEX_POINT('',#45390); #13719=VERTEX_POINT('',#45394); #13720=VERTEX_POINT('',#45396); #13721=VERTEX_POINT('',#45400); #13722=VERTEX_POINT('',#45402); #13723=VERTEX_POINT('',#45406); #13724=VERTEX_POINT('',#45408); #13725=VERTEX_POINT('',#45412); #13726=VERTEX_POINT('',#45414); #13727=VERTEX_POINT('',#45418); #13728=VERTEX_POINT('',#45420); #13729=VERTEX_POINT('',#45424); #13730=VERTEX_POINT('',#45426); #13731=VERTEX_POINT('',#45430); #13732=VERTEX_POINT('',#45432); #13733=VERTEX_POINT('',#45436); #13734=VERTEX_POINT('',#45438); #13735=VERTEX_POINT('',#45442); #13736=VERTEX_POINT('',#45444); #13737=VERTEX_POINT('',#45448); #13738=VERTEX_POINT('',#45450); #13739=VERTEX_POINT('',#45454); #13740=VERTEX_POINT('',#45456); #13741=VERTEX_POINT('',#45460); #13742=VERTEX_POINT('',#45462); #13743=VERTEX_POINT('',#45466); #13744=VERTEX_POINT('',#45468); #13745=VERTEX_POINT('',#45472); #13746=VERTEX_POINT('',#45474); #13747=VERTEX_POINT('',#45478); #13748=VERTEX_POINT('',#45480); #13749=VERTEX_POINT('',#45484); #13750=VERTEX_POINT('',#45486); #13751=VERTEX_POINT('',#45490); #13752=VERTEX_POINT('',#45492); #13753=VERTEX_POINT('',#45496); #13754=VERTEX_POINT('',#45498); #13755=VERTEX_POINT('',#45502); #13756=VERTEX_POINT('',#45504); #13757=VERTEX_POINT('',#45508); #13758=VERTEX_POINT('',#45510); #13759=VERTEX_POINT('',#45514); #13760=VERTEX_POINT('',#45516); #13761=VERTEX_POINT('',#45520); #13762=VERTEX_POINT('',#45522); #13763=VERTEX_POINT('',#45526); #13764=VERTEX_POINT('',#45528); #13765=VERTEX_POINT('',#45532); #13766=VERTEX_POINT('',#45534); #13767=VERTEX_POINT('',#45538); #13768=VERTEX_POINT('',#45540); #13769=VERTEX_POINT('',#45544); #13770=VERTEX_POINT('',#45546); #13771=VERTEX_POINT('',#45550); #13772=VERTEX_POINT('',#45552); #13773=VERTEX_POINT('',#45556); #13774=VERTEX_POINT('',#45558); #13775=VERTEX_POINT('',#45562); #13776=VERTEX_POINT('',#45564); #13777=VERTEX_POINT('',#45568); #13778=VERTEX_POINT('',#45570); #13779=VERTEX_POINT('',#45574); #13780=VERTEX_POINT('',#45576); #13781=VERTEX_POINT('',#45580); #13782=VERTEX_POINT('',#45582); #13783=VERTEX_POINT('',#45586); #13784=VERTEX_POINT('',#45587); #13785=VERTEX_POINT('',#45589); #13786=VERTEX_POINT('',#45591); #13787=VERTEX_POINT('',#45595); #13788=VERTEX_POINT('',#45597); #13789=VERTEX_POINT('',#45601); #13790=VERTEX_POINT('',#45603); #13791=VERTEX_POINT('',#45613); #13792=VERTEX_POINT('',#45615); #13793=VERTEX_POINT('',#45619); #13794=VERTEX_POINT('',#45621); #13795=VERTEX_POINT('',#45625); #13796=VERTEX_POINT('',#45627); #13797=VERTEX_POINT('',#45631); #13798=VERTEX_POINT('',#45633); #13799=VERTEX_POINT('',#45637); #13800=VERTEX_POINT('',#45639); #13801=VERTEX_POINT('',#45642); #13802=VERTEX_POINT('',#45646); #13803=VERTEX_POINT('',#45650); #13804=VERTEX_POINT('',#45652); #13805=VERTEX_POINT('',#45655); #13806=VERTEX_POINT('',#45659); #13807=VERTEX_POINT('',#45663); #13808=VERTEX_POINT('',#45665); #13809=VERTEX_POINT('',#45669); #13810=VERTEX_POINT('',#45671); #13811=VERTEX_POINT('',#45676); #13812=VERTEX_POINT('',#45678); #13813=VERTEX_POINT('',#45681); #13814=VERTEX_POINT('',#45685); #13815=VERTEX_POINT('',#45689); #13816=VERTEX_POINT('',#45691); #13817=VERTEX_POINT('',#45695); #13818=VERTEX_POINT('',#45697); #13819=VERTEX_POINT('',#45702); #13820=VERTEX_POINT('',#45704); #13821=VERTEX_POINT('',#45707); #13822=VERTEX_POINT('',#45711); #13823=VERTEX_POINT('',#45715); #13824=VERTEX_POINT('',#45717); #13825=VERTEX_POINT('',#45721); #13826=VERTEX_POINT('',#45723); #13827=VERTEX_POINT('',#45728); #13828=VERTEX_POINT('',#45730); #13829=VERTEX_POINT('',#45733); #13830=VERTEX_POINT('',#45737); #13831=VERTEX_POINT('',#45741); #13832=VERTEX_POINT('',#45743); #13833=VERTEX_POINT('',#45747); #13834=VERTEX_POINT('',#45749); #13835=VERTEX_POINT('',#45754); #13836=VERTEX_POINT('',#45756); #13837=VERTEX_POINT('',#45759); #13838=VERTEX_POINT('',#45763); #13839=VERTEX_POINT('',#45767); #13840=VERTEX_POINT('',#45769); #13841=VERTEX_POINT('',#45773); #13842=VERTEX_POINT('',#45775); #13843=VERTEX_POINT('',#45780); #13844=VERTEX_POINT('',#45782); #13845=VERTEX_POINT('',#45785); #13846=VERTEX_POINT('',#45789); #13847=VERTEX_POINT('',#45793); #13848=VERTEX_POINT('',#45795); #13849=VERTEX_POINT('',#45799); #13850=VERTEX_POINT('',#45801); #13851=VERTEX_POINT('',#45806); #13852=VERTEX_POINT('',#45808); #13853=VERTEX_POINT('',#45811); #13854=VERTEX_POINT('',#45815); #13855=VERTEX_POINT('',#45819); #13856=VERTEX_POINT('',#45821); #13857=VERTEX_POINT('',#45825); #13858=VERTEX_POINT('',#45827); #13859=VERTEX_POINT('',#45832); #13860=VERTEX_POINT('',#45834); #13861=VERTEX_POINT('',#45837); #13862=VERTEX_POINT('',#45841); #13863=VERTEX_POINT('',#45845); #13864=VERTEX_POINT('',#45847); #13865=VERTEX_POINT('',#45850); #13866=VERTEX_POINT('',#45854); #13867=VERTEX_POINT('',#45858); #13868=VERTEX_POINT('',#45860); #13869=VERTEX_POINT('',#45863); #13870=VERTEX_POINT('',#45867); #13871=VERTEX_POINT('',#45871); #13872=VERTEX_POINT('',#45873); #13873=VERTEX_POINT('',#45876); #13874=VERTEX_POINT('',#45880); #13875=VERTEX_POINT('',#45884); #13876=VERTEX_POINT('',#45886); #13877=VERTEX_POINT('',#45889); #13878=VERTEX_POINT('',#45893); #13879=VERTEX_POINT('',#45897); #13880=VERTEX_POINT('',#45899); #13881=VERTEX_POINT('',#45902); #13882=VERTEX_POINT('',#45906); #13883=VERTEX_POINT('',#45910); #13884=VERTEX_POINT('',#45912); #13885=VERTEX_POINT('',#45915); #13886=VERTEX_POINT('',#45919); #13887=VERTEX_POINT('',#45923); #13888=VERTEX_POINT('',#45925); #13889=VERTEX_POINT('',#45928); #13890=VERTEX_POINT('',#45932); #13891=VERTEX_POINT('',#45936); #13892=VERTEX_POINT('',#45938); #13893=VERTEX_POINT('',#45941); #13894=VERTEX_POINT('',#45945); #13895=VERTEX_POINT('',#45949); #13896=VERTEX_POINT('',#45951); #13897=VERTEX_POINT('',#45954); #13898=VERTEX_POINT('',#45958); #13899=VERTEX_POINT('',#45962); #13900=VERTEX_POINT('',#45964); #13901=VERTEX_POINT('',#45967); #13902=VERTEX_POINT('',#45971); #13903=VERTEX_POINT('',#45975); #13904=VERTEX_POINT('',#45977); #13905=VERTEX_POINT('',#45980); #13906=VERTEX_POINT('',#45984); #13907=VERTEX_POINT('',#45988); #13908=VERTEX_POINT('',#45990); #13909=VERTEX_POINT('',#45993); #13910=VERTEX_POINT('',#45997); #13911=VERTEX_POINT('',#46001); #13912=VERTEX_POINT('',#46003); #13913=VERTEX_POINT('',#46006); #13914=VERTEX_POINT('',#46010); #13915=VERTEX_POINT('',#46014); #13916=VERTEX_POINT('',#46016); #13917=VERTEX_POINT('',#46019); #13918=VERTEX_POINT('',#46023); #13919=VERTEX_POINT('',#46027); #13920=VERTEX_POINT('',#46029); #13921=VERTEX_POINT('',#46033); #13922=VERTEX_POINT('',#46035); #13923=VERTEX_POINT('',#46039); #13924=VERTEX_POINT('',#46041); #13925=VERTEX_POINT('',#46044); #13926=VERTEX_POINT('',#46048); #13927=VERTEX_POINT('',#46050); #13928=VERTEX_POINT('',#46053); #13929=VERTEX_POINT('',#46057); #13930=VERTEX_POINT('',#46059); #13931=VERTEX_POINT('',#46062); #13932=VERTEX_POINT('',#46066); #13933=VERTEX_POINT('',#46068); #13934=VERTEX_POINT('',#46071); #13935=VERTEX_POINT('',#46075); #13936=VERTEX_POINT('',#46077); #13937=VERTEX_POINT('',#46080); #13938=VERTEX_POINT('',#46084); #13939=VERTEX_POINT('',#46086); #13940=VERTEX_POINT('',#46089); #13941=VERTEX_POINT('',#46093); #13942=VERTEX_POINT('',#46095); #13943=VERTEX_POINT('',#46098); #13944=VERTEX_POINT('',#46102); #13945=VERTEX_POINT('',#46104); #13946=VERTEX_POINT('',#46107); #13947=VERTEX_POINT('',#46111); #13948=VERTEX_POINT('',#46113); #13949=VERTEX_POINT('',#46116); #13950=VERTEX_POINT('',#46120); #13951=VERTEX_POINT('',#46122); #13952=VERTEX_POINT('',#46125); #13953=VERTEX_POINT('',#46129); #13954=VERTEX_POINT('',#46131); #13955=VERTEX_POINT('',#46134); #13956=VERTEX_POINT('',#46138); #13957=VERTEX_POINT('',#46140); #13958=VERTEX_POINT('',#46143); #13959=VERTEX_POINT('',#46147); #13960=VERTEX_POINT('',#46149); #13961=VERTEX_POINT('',#46152); #13962=VERTEX_POINT('',#46156); #13963=VERTEX_POINT('',#46158); #13964=VERTEX_POINT('',#46161); #13965=VERTEX_POINT('',#46165); #13966=VERTEX_POINT('',#46167); #13967=VERTEX_POINT('',#46170); #13968=VERTEX_POINT('',#46174); #13969=VERTEX_POINT('',#46176); #13970=VERTEX_POINT('',#46179); #13971=VERTEX_POINT('',#46183); #13972=VERTEX_POINT('',#46185); #13973=VERTEX_POINT('',#46188); #13974=VERTEX_POINT('',#46192); #13975=VERTEX_POINT('',#46194); #13976=VERTEX_POINT('',#46197); #13977=VERTEX_POINT('',#46201); #13978=VERTEX_POINT('',#46203); #13979=VERTEX_POINT('',#46206); #13980=VERTEX_POINT('',#46210); #13981=VERTEX_POINT('',#46212); #13982=VERTEX_POINT('',#46215); #13983=VERTEX_POINT('',#46219); #13984=VERTEX_POINT('',#46221); #13985=VERTEX_POINT('',#46224); #13986=VERTEX_POINT('',#46228); #13987=VERTEX_POINT('',#46230); #13988=VERTEX_POINT('',#46234); #13989=VERTEX_POINT('',#46236); #13990=VERTEX_POINT('',#46240); #13991=VERTEX_POINT('',#46242); #13992=VERTEX_POINT('',#46246); #13993=VERTEX_POINT('',#46248); #13994=VERTEX_POINT('',#46252); #13995=VERTEX_POINT('',#46254); #13996=VERTEX_POINT('',#46258); #13997=VERTEX_POINT('',#46260); #13998=VERTEX_POINT('',#46264); #13999=VERTEX_POINT('',#46266); #14000=VERTEX_POINT('',#46270); #14001=VERTEX_POINT('',#46272); #14002=VERTEX_POINT('',#46276); #14003=VERTEX_POINT('',#46278); #14004=VERTEX_POINT('',#46282); #14005=VERTEX_POINT('',#46284); #14006=VERTEX_POINT('',#46288); #14007=VERTEX_POINT('',#46289); #14008=VERTEX_POINT('',#46291); #14009=VERTEX_POINT('',#46293); #14010=VERTEX_POINT('',#46297); #14011=VERTEX_POINT('',#46298); #14012=VERTEX_POINT('',#46303); #14013=VERTEX_POINT('',#46304); #14014=VERTEX_POINT('',#46309); #14015=VERTEX_POINT('',#46310); #14016=VERTEX_POINT('',#46315); #14017=VERTEX_POINT('',#46316); #14018=VERTEX_POINT('',#46321); #14019=VERTEX_POINT('',#46322); #14020=VERTEX_POINT('',#46327); #14021=VERTEX_POINT('',#46328); #14022=VERTEX_POINT('',#46336); #14023=VERTEX_POINT('',#46338); #14024=VERTEX_POINT('',#46342); #14025=VERTEX_POINT('',#46346); #14026=VERTEX_POINT('',#46350); #14027=VERTEX_POINT('',#46354); #14028=VERTEX_POINT('',#46355); #14029=VERTEX_POINT('',#46357); #14030=VERTEX_POINT('',#46359); #14031=VERTEX_POINT('',#46361); #14032=VERTEX_POINT('',#46363); #14033=VERTEX_POINT('',#46365); #14034=VERTEX_POINT('',#46367); #14035=VERTEX_POINT('',#46371); #14036=VERTEX_POINT('',#46375); #14037=VERTEX_POINT('',#46379); #14038=VERTEX_POINT('',#46385); #14039=VERTEX_POINT('',#46387); #14040=VERTEX_POINT('',#46391); #14041=VERTEX_POINT('',#46393); #14042=VERTEX_POINT('',#46397); #14043=VERTEX_POINT('',#46399); #14044=VERTEX_POINT('',#46403); #14045=VERTEX_POINT('',#46405); #14046=VERTEX_POINT('',#46409); #14047=VERTEX_POINT('',#46411); #14048=VERTEX_POINT('',#46415); #14049=VERTEX_POINT('',#46417); #14050=VERTEX_POINT('',#46421); #14051=VERTEX_POINT('',#46423); #14052=VERTEX_POINT('',#46427); #14053=VERTEX_POINT('',#46429); #14054=VERTEX_POINT('',#46433); #14055=VERTEX_POINT('',#46435); #14056=VERTEX_POINT('',#46439); #14057=VERTEX_POINT('',#46441); #14058=VERTEX_POINT('',#46445); #14059=VERTEX_POINT('',#46447); #14060=VERTEX_POINT('',#46451); #14061=VERTEX_POINT('',#46453); #14062=VERTEX_POINT('',#46457); #14063=VERTEX_POINT('',#46459); #14064=VERTEX_POINT('',#46463); #14065=VERTEX_POINT('',#46465); #14066=VERTEX_POINT('',#46469); #14067=VERTEX_POINT('',#46471); #14068=VERTEX_POINT('',#46475); #14069=VERTEX_POINT('',#46477); #14070=VERTEX_POINT('',#46481); #14071=VERTEX_POINT('',#46483); #14072=VERTEX_POINT('',#46487); #14073=VERTEX_POINT('',#46489); #14074=VERTEX_POINT('',#46493); #14075=VERTEX_POINT('',#46495); #14076=VERTEX_POINT('',#46499); #14077=VERTEX_POINT('',#46501); #14078=VERTEX_POINT('',#46505); #14079=VERTEX_POINT('',#46507); #14080=VERTEX_POINT('',#46511); #14081=VERTEX_POINT('',#46513); #14082=VERTEX_POINT('',#46517); #14083=VERTEX_POINT('',#46519); #14084=VERTEX_POINT('',#46523); #14085=VERTEX_POINT('',#46525); #14086=VERTEX_POINT('',#46529); #14087=VERTEX_POINT('',#46531); #14088=VERTEX_POINT('',#46535); #14089=VERTEX_POINT('',#46537); #14090=VERTEX_POINT('',#46541); #14091=VERTEX_POINT('',#46543); #14092=VERTEX_POINT('',#46547); #14093=VERTEX_POINT('',#46549); #14094=VERTEX_POINT('',#46553); #14095=VERTEX_POINT('',#46555); #14096=VERTEX_POINT('',#46559); #14097=VERTEX_POINT('',#46561); #14098=VERTEX_POINT('',#46565); #14099=VERTEX_POINT('',#46567); #14100=VERTEX_POINT('',#46571); #14101=VERTEX_POINT('',#46573); #14102=VERTEX_POINT('',#46577); #14103=VERTEX_POINT('',#46579); #14104=VERTEX_POINT('',#46583); #14105=VERTEX_POINT('',#46585); #14106=VERTEX_POINT('',#46589); #14107=VERTEX_POINT('',#46591); #14108=VERTEX_POINT('',#46595); #14109=VERTEX_POINT('',#46597); #14110=VERTEX_POINT('',#46601); #14111=VERTEX_POINT('',#46603); #14112=VERTEX_POINT('',#46607); #14113=VERTEX_POINT('',#46609); #14114=VERTEX_POINT('',#46613); #14115=VERTEX_POINT('',#46615); #14116=VERTEX_POINT('',#46619); #14117=VERTEX_POINT('',#46621); #14118=VERTEX_POINT('',#46625); #14119=VERTEX_POINT('',#46627); #14120=VERTEX_POINT('',#46631); #14121=VERTEX_POINT('',#46633); #14122=VERTEX_POINT('',#46637); #14123=VERTEX_POINT('',#46639); #14124=VERTEX_POINT('',#46643); #14125=VERTEX_POINT('',#46645); #14126=VERTEX_POINT('',#46649); #14127=VERTEX_POINT('',#46651); #14128=VERTEX_POINT('',#46655); #14129=VERTEX_POINT('',#46657); #14130=VERTEX_POINT('',#46661); #14131=VERTEX_POINT('',#46663); #14132=VERTEX_POINT('',#46667); #14133=VERTEX_POINT('',#46669); #14134=VERTEX_POINT('',#46673); #14135=VERTEX_POINT('',#46675); #14136=VERTEX_POINT('',#46679); #14137=VERTEX_POINT('',#46681); #14138=VERTEX_POINT('',#46685); #14139=VERTEX_POINT('',#46687); #14140=VERTEX_POINT('',#46691); #14141=VERTEX_POINT('',#46693); #14142=VERTEX_POINT('',#46697); #14143=VERTEX_POINT('',#46699); #14144=VERTEX_POINT('',#46703); #14145=VERTEX_POINT('',#46705); #14146=VERTEX_POINT('',#46709); #14147=VERTEX_POINT('',#46711); #14148=VERTEX_POINT('',#46715); #14149=VERTEX_POINT('',#46716); #14150=VERTEX_POINT('',#46718); #14151=VERTEX_POINT('',#46720); #14152=VERTEX_POINT('',#46724); #14153=VERTEX_POINT('',#46726); #14154=VERTEX_POINT('',#46730); #14155=VERTEX_POINT('',#46732); #14156=VERTEX_POINT('',#46736); #14157=VERTEX_POINT('',#46738); #14158=VERTEX_POINT('',#46742); #14159=VERTEX_POINT('',#46744); #14160=VERTEX_POINT('',#46748); #14161=VERTEX_POINT('',#46752); #14162=VERTEX_POINT('',#46756); #14163=VERTEX_POINT('',#46760); #14164=VERTEX_POINT('',#46762); #14165=VERTEX_POINT('',#46766); #14166=VERTEX_POINT('',#46770); #14167=VERTEX_POINT('',#46774); #14168=VERTEX_POINT('',#46780); #14169=VERTEX_POINT('',#46782); #14170=VERTEX_POINT('',#46786); #14171=VERTEX_POINT('',#46790); #14172=VERTEX_POINT('',#46796); #14173=VERTEX_POINT('',#46798); #14174=VERTEX_POINT('',#46801); #14175=VERTEX_POINT('',#46805); #14176=VERTEX_POINT('',#46807); #14177=VERTEX_POINT('',#46810); #14178=VERTEX_POINT('',#46814); #14179=VERTEX_POINT('',#46816); #14180=VERTEX_POINT('',#46819); #14181=VERTEX_POINT('',#46823); #14182=VERTEX_POINT('',#46825); #14183=VERTEX_POINT('',#46828); #14184=VERTEX_POINT('',#46832); #14185=VERTEX_POINT('',#46834); #14186=VERTEX_POINT('',#46837); #14187=VERTEX_POINT('',#46841); #14188=VERTEX_POINT('',#46843); #14189=VERTEX_POINT('',#46846); #14190=VERTEX_POINT('',#46850); #14191=VERTEX_POINT('',#46852); #14192=VERTEX_POINT('',#46855); #14193=VERTEX_POINT('',#46859); #14194=VERTEX_POINT('',#46861); #14195=VERTEX_POINT('',#46864); #14196=VERTEX_POINT('',#46868); #14197=VERTEX_POINT('',#46870); #14198=VERTEX_POINT('',#46873); #14199=VERTEX_POINT('',#46877); #14200=VERTEX_POINT('',#46879); #14201=VERTEX_POINT('',#46882); #14202=VERTEX_POINT('',#46886); #14203=VERTEX_POINT('',#46888); #14204=VERTEX_POINT('',#46891); #14205=VERTEX_POINT('',#46895); #14206=VERTEX_POINT('',#46897); #14207=VERTEX_POINT('',#46900); #14208=VERTEX_POINT('',#46904); #14209=VERTEX_POINT('',#46906); #14210=VERTEX_POINT('',#46909); #14211=VERTEX_POINT('',#46913); #14212=VERTEX_POINT('',#46915); #14213=VERTEX_POINT('',#46918); #14214=VERTEX_POINT('',#46922); #14215=VERTEX_POINT('',#46924); #14216=VERTEX_POINT('',#46927); #14217=VERTEX_POINT('',#46931); #14218=VERTEX_POINT('',#46933); #14219=VERTEX_POINT('',#46936); #14220=VERTEX_POINT('',#46940); #14221=VERTEX_POINT('',#46942); #14222=VERTEX_POINT('',#46945); #14223=VERTEX_POINT('',#46949); #14224=VERTEX_POINT('',#46951); #14225=VERTEX_POINT('',#46954); #14226=VERTEX_POINT('',#46958); #14227=VERTEX_POINT('',#46960); #14228=VERTEX_POINT('',#46963); #14229=VERTEX_POINT('',#46967); #14230=VERTEX_POINT('',#46969); #14231=VERTEX_POINT('',#46972); #14232=VERTEX_POINT('',#46976); #14233=VERTEX_POINT('',#46978); #14234=VERTEX_POINT('',#46981); #14235=VERTEX_POINT('',#46985); #14236=VERTEX_POINT('',#46987); #14237=VERTEX_POINT('',#46990); #14238=VERTEX_POINT('',#46994); #14239=VERTEX_POINT('',#46996); #14240=VERTEX_POINT('',#46999); #14241=VERTEX_POINT('',#47003); #14242=VERTEX_POINT('',#47005); #14243=VERTEX_POINT('',#47008); #14244=VERTEX_POINT('',#47012); #14245=VERTEX_POINT('',#47014); #14246=VERTEX_POINT('',#47017); #14247=VERTEX_POINT('',#47021); #14248=VERTEX_POINT('',#47023); #14249=VERTEX_POINT('',#47026); #14250=VERTEX_POINT('',#47030); #14251=VERTEX_POINT('',#47032); #14252=VERTEX_POINT('',#47035); #14253=VERTEX_POINT('',#47039); #14254=VERTEX_POINT('',#47041); #14255=VERTEX_POINT('',#47044); #14256=VERTEX_POINT('',#47048); #14257=VERTEX_POINT('',#47050); #14258=VERTEX_POINT('',#47053); #14259=VERTEX_POINT('',#47057); #14260=VERTEX_POINT('',#47059); #14261=VERTEX_POINT('',#47062); #14262=VERTEX_POINT('',#47066); #14263=VERTEX_POINT('',#47068); #14264=VERTEX_POINT('',#47071); #14265=VERTEX_POINT('',#47075); #14266=VERTEX_POINT('',#47077); #14267=VERTEX_POINT('',#47080); #14268=VERTEX_POINT('',#47084); #14269=VERTEX_POINT('',#47086); #14270=VERTEX_POINT('',#47089); #14271=VERTEX_POINT('',#47093); #14272=VERTEX_POINT('',#47095); #14273=VERTEX_POINT('',#47098); #14274=VERTEX_POINT('',#47102); #14275=VERTEX_POINT('',#47104); #14276=VERTEX_POINT('',#47107); #14277=VERTEX_POINT('',#47111); #14278=VERTEX_POINT('',#47112); #14279=VERTEX_POINT('',#47114); #14280=VERTEX_POINT('',#47116); #14281=VERTEX_POINT('',#47120); #14282=VERTEX_POINT('',#47122); #14283=VERTEX_POINT('',#47124); #14284=VERTEX_POINT('',#47126); #14285=VERTEX_POINT('',#47130); #14286=VERTEX_POINT('',#47134); #14287=VERTEX_POINT('',#47138); #14288=VERTEX_POINT('',#47142); #14289=VERTEX_POINT('',#47150); #14290=VERTEX_POINT('',#47152); #14291=VERTEX_POINT('',#47156); #14292=VERTEX_POINT('',#47158); #14293=VERTEX_POINT('',#47162); #14294=VERTEX_POINT('',#47164); #14295=VERTEX_POINT('',#47168); #14296=VERTEX_POINT('',#47170); #14297=VERTEX_POINT('',#47174); #14298=VERTEX_POINT('',#47176); #14299=VERTEX_POINT('',#47179); #14300=VERTEX_POINT('',#47183); #14301=VERTEX_POINT('',#47185); #14302=VERTEX_POINT('',#47188); #14303=VERTEX_POINT('',#47192); #14304=VERTEX_POINT('',#47194); #14305=VERTEX_POINT('',#47197); #14306=VERTEX_POINT('',#47201); #14307=VERTEX_POINT('',#47202); #14308=VERTEX_POINT('',#47204); #14309=VERTEX_POINT('',#47206); #14310=VERTEX_POINT('',#47209); #14311=VERTEX_POINT('',#47211); #14312=VERTEX_POINT('',#47213); #14313=VERTEX_POINT('',#47215); #14314=VERTEX_POINT('',#47217); #14315=VERTEX_POINT('',#47220); #14316=VERTEX_POINT('',#47222); #14317=VERTEX_POINT('',#47226); #14318=VERTEX_POINT('',#47230); #14319=VERTEX_POINT('',#47234); #14320=VERTEX_POINT('',#47238); #14321=VERTEX_POINT('',#47242); #14322=VERTEX_POINT('',#47246); #14323=VERTEX_POINT('',#47249); #14324=VERTEX_POINT('',#47251); #14325=VERTEX_POINT('',#47253); #14326=VERTEX_POINT('',#47256); #14327=VERTEX_POINT('',#47260); #14328=VERTEX_POINT('',#47262); #14329=VERTEX_POINT('',#47267); #14330=VERTEX_POINT('',#47269); #14331=VERTEX_POINT('',#47274); #14332=VERTEX_POINT('',#47276); #14333=VERTEX_POINT('',#47278); #14334=VERTEX_POINT('',#47285); #14335=VERTEX_POINT('',#47292); #14336=VERTEX_POINT('',#47293); #14337=VERTEX_POINT('',#47295); #14338=VERTEX_POINT('',#47297); #14339=VERTEX_POINT('',#47301); #14340=VERTEX_POINT('',#47303); #14341=VERTEX_POINT('',#47306); #14342=VERTEX_POINT('',#47310); #14343=VERTEX_POINT('',#47314); #14344=VERTEX_POINT('',#47316); #14345=VERTEX_POINT('',#47319); #14346=VERTEX_POINT('',#47323); #14347=VERTEX_POINT('',#47327); #14348=VERTEX_POINT('',#47329); #14349=VERTEX_POINT('',#47332); #14350=VERTEX_POINT('',#47336); #14351=VERTEX_POINT('',#47340); #14352=VERTEX_POINT('',#47342); #14353=VERTEX_POINT('',#47345); #14354=VERTEX_POINT('',#47349); #14355=VERTEX_POINT('',#47353); #14356=VERTEX_POINT('',#47355); #14357=VERTEX_POINT('',#47358); #14358=VERTEX_POINT('',#47362); #14359=VERTEX_POINT('',#47366); #14360=VERTEX_POINT('',#47368); #14361=VERTEX_POINT('',#47371); #14362=VERTEX_POINT('',#47375); #14363=VERTEX_POINT('',#47379); #14364=VERTEX_POINT('',#47381); #14365=VERTEX_POINT('',#47384); #14366=VERTEX_POINT('',#47388); #14367=VERTEX_POINT('',#47392); #14368=VERTEX_POINT('',#47394); #14369=VERTEX_POINT('',#47397); #14370=VERTEX_POINT('',#47401); #14371=VERTEX_POINT('',#47405); #14372=VERTEX_POINT('',#47407); #14373=VERTEX_POINT('',#47410); #14374=VERTEX_POINT('',#47414); #14375=VERTEX_POINT('',#47418); #14376=VERTEX_POINT('',#47420); #14377=VERTEX_POINT('',#47423); #14378=VERTEX_POINT('',#47427); #14379=VERTEX_POINT('',#47431); #14380=VERTEX_POINT('',#47432); #14381=VERTEX_POINT('',#47434); #14382=VERTEX_POINT('',#47436); #14383=VERTEX_POINT('',#47449); #14384=VERTEX_POINT('',#47451); #14385=VERTEX_POINT('',#47454); #14386=VERTEX_POINT('',#47458); #14387=VERTEX_POINT('',#47460); #14388=VERTEX_POINT('',#47463); #14389=VERTEX_POINT('',#47467); #14390=VERTEX_POINT('',#47469); #14391=VERTEX_POINT('',#47472); #14392=VERTEX_POINT('',#47476); #14393=VERTEX_POINT('',#47478); #14394=VERTEX_POINT('',#47481); #14395=VERTEX_POINT('',#47485); #14396=VERTEX_POINT('',#47487); #14397=VERTEX_POINT('',#47490); #14398=VERTEX_POINT('',#47494); #14399=VERTEX_POINT('',#47496); #14400=VERTEX_POINT('',#47499); #14401=VERTEX_POINT('',#47503); #14402=VERTEX_POINT('',#47505); #14403=VERTEX_POINT('',#47508); #14404=VERTEX_POINT('',#47512); #14405=VERTEX_POINT('',#47514); #14406=VERTEX_POINT('',#47517); #14407=VERTEX_POINT('',#47521); #14408=VERTEX_POINT('',#47523); #14409=VERTEX_POINT('',#47526); #14410=VERTEX_POINT('',#47530); #14411=VERTEX_POINT('',#47532); #14412=VERTEX_POINT('',#47535); #14413=VERTEX_POINT('',#47539); #14414=VERTEX_POINT('',#47541); #14415=VERTEX_POINT('',#47544); #14416=VERTEX_POINT('',#47548); #14417=VERTEX_POINT('',#47550); #14418=VERTEX_POINT('',#47553); #14419=VERTEX_POINT('',#47557); #14420=VERTEX_POINT('',#47559); #14421=VERTEX_POINT('',#47562); #14422=VERTEX_POINT('',#47566); #14423=VERTEX_POINT('',#47568); #14424=VERTEX_POINT('',#47571); #14425=VERTEX_POINT('',#47575); #14426=VERTEX_POINT('',#47577); #14427=VERTEX_POINT('',#47580); #14428=VERTEX_POINT('',#47584); #14429=VERTEX_POINT('',#47586); #14430=VERTEX_POINT('',#47589); #14431=VERTEX_POINT('',#47593); #14432=VERTEX_POINT('',#47595); #14433=VERTEX_POINT('',#47598); #14434=VERTEX_POINT('',#47602); #14435=VERTEX_POINT('',#47604); #14436=VERTEX_POINT('',#47607); #14437=VERTEX_POINT('',#47611); #14438=VERTEX_POINT('',#47613); #14439=VERTEX_POINT('',#47616); #14440=VERTEX_POINT('',#47620); #14441=VERTEX_POINT('',#47622); #14442=VERTEX_POINT('',#47625); #14443=VERTEX_POINT('',#47629); #14444=VERTEX_POINT('',#47631); #14445=VERTEX_POINT('',#47634); #14446=VERTEX_POINT('',#47638); #14447=VERTEX_POINT('',#47640); #14448=VERTEX_POINT('',#47643); #14449=VERTEX_POINT('',#47647); #14450=VERTEX_POINT('',#47649); #14451=VERTEX_POINT('',#47652); #14452=VERTEX_POINT('',#47656); #14453=VERTEX_POINT('',#47658); #14454=VERTEX_POINT('',#47661); #14455=VERTEX_POINT('',#47665); #14456=VERTEX_POINT('',#47667); #14457=VERTEX_POINT('',#47670); #14458=VERTEX_POINT('',#47674); #14459=VERTEX_POINT('',#47676); #14460=VERTEX_POINT('',#47679); #14461=VERTEX_POINT('',#47683); #14462=VERTEX_POINT('',#47685); #14463=VERTEX_POINT('',#47688); #14464=VERTEX_POINT('',#47692); #14465=VERTEX_POINT('',#47694); #14466=VERTEX_POINT('',#47697); #14467=VERTEX_POINT('',#47701); #14468=VERTEX_POINT('',#47703); #14469=VERTEX_POINT('',#47706); #14470=VERTEX_POINT('',#47710); #14471=VERTEX_POINT('',#47712); #14472=VERTEX_POINT('',#47715); #14473=VERTEX_POINT('',#47719); #14474=VERTEX_POINT('',#47721); #14475=VERTEX_POINT('',#47724); #14476=VERTEX_POINT('',#47728); #14477=VERTEX_POINT('',#47730); #14478=VERTEX_POINT('',#47733); #14479=VERTEX_POINT('',#47737); #14480=VERTEX_POINT('',#47739); #14481=VERTEX_POINT('',#47742); #14482=VERTEX_POINT('',#47746); #14483=VERTEX_POINT('',#47748); #14484=VERTEX_POINT('',#47751); #14485=VERTEX_POINT('',#47755); #14486=VERTEX_POINT('',#47757); #14487=VERTEX_POINT('',#47760); #14488=VERTEX_POINT('',#47764); #14489=VERTEX_POINT('',#47766); #14490=VERTEX_POINT('',#47769); #14491=VERTEX_POINT('',#47773); #14492=VERTEX_POINT('',#47774); #14493=VERTEX_POINT('',#47776); #14494=VERTEX_POINT('',#47778); #14495=VERTEX_POINT('',#47782); #14496=VERTEX_POINT('',#47784); #14497=VERTEX_POINT('',#47786); #14498=VERTEX_POINT('',#47790); #14499=VERTEX_POINT('',#47794); #14500=VERTEX_POINT('',#47796); #14501=VERTEX_POINT('',#47798); #14502=VERTEX_POINT('',#47800); #14503=VERTEX_POINT('',#47802); #14504=VERTEX_POINT('',#47806); #14505=VERTEX_POINT('',#47810); #14506=VERTEX_POINT('',#47812); #14507=VERTEX_POINT('',#47818); #14508=VERTEX_POINT('',#47820); #14509=VERTEX_POINT('',#47826); #14510=VERTEX_POINT('',#47834); #14511=VERTEX_POINT('',#47836); #14512=VERTEX_POINT('',#47839); #14513=VERTEX_POINT('',#47843); #14514=VERTEX_POINT('',#47845); #14515=VERTEX_POINT('',#47848); #14516=VERTEX_POINT('',#47852); #14517=VERTEX_POINT('',#47854); #14518=VERTEX_POINT('',#47857); #14519=VERTEX_POINT('',#47861); #14520=VERTEX_POINT('',#47863); #14521=VERTEX_POINT('',#47866); #14522=VERTEX_POINT('',#47870); #14523=VERTEX_POINT('',#47872); #14524=VERTEX_POINT('',#47875); #14525=VERTEX_POINT('',#47879); #14526=VERTEX_POINT('',#47881); #14527=VERTEX_POINT('',#47885); #14528=VERTEX_POINT('',#47887); #14529=VERTEX_POINT('',#47891); #14530=VERTEX_POINT('',#47893); #14531=VERTEX_POINT('',#47897); #14532=VERTEX_POINT('',#47899); #14533=VERTEX_POINT('',#47903); #14534=VERTEX_POINT('',#47905); #14535=VERTEX_POINT('',#47909); #14536=VERTEX_POINT('',#47911); #14537=VERTEX_POINT('',#47915); #14538=VERTEX_POINT('',#47917); #14539=VERTEX_POINT('',#47921); #14540=VERTEX_POINT('',#47923); #14541=VERTEX_POINT('',#47927); #14542=VERTEX_POINT('',#47929); #14543=VERTEX_POINT('',#47933); #14544=VERTEX_POINT('',#47935); #14545=VERTEX_POINT('',#47939); #14546=VERTEX_POINT('',#47941); #14547=VERTEX_POINT('',#47945); #14548=VERTEX_POINT('',#47947); #14549=VERTEX_POINT('',#47951); #14550=VERTEX_POINT('',#47953); #14551=VERTEX_POINT('',#47957); #14552=VERTEX_POINT('',#47959); #14553=VERTEX_POINT('',#47963); #14554=VERTEX_POINT('',#47965); #14555=VERTEX_POINT('',#47969); #14556=VERTEX_POINT('',#47971); #14557=VERTEX_POINT('',#47975); #14558=VERTEX_POINT('',#47977); #14559=VERTEX_POINT('',#47981); #14560=VERTEX_POINT('',#47982); #14561=VERTEX_POINT('',#47984); #14562=VERTEX_POINT('',#47986); #14563=VERTEX_POINT('',#47990); #14564=VERTEX_POINT('',#47992); #14565=VERTEX_POINT('',#47994); #14566=VERTEX_POINT('',#47996); #14567=VERTEX_POINT('',#48000); #14568=VERTEX_POINT('',#48004); #14569=VERTEX_POINT('',#48006); #14570=VERTEX_POINT('',#48008); #14571=VERTEX_POINT('',#48020); #14572=VERTEX_POINT('',#48022); #14573=VERTEX_POINT('',#48025); #14574=VERTEX_POINT('',#48029); #14575=VERTEX_POINT('',#48031); #14576=VERTEX_POINT('',#48034); #14577=VERTEX_POINT('',#48038); #14578=VERTEX_POINT('',#48040); #14579=VERTEX_POINT('',#48043); #14580=VERTEX_POINT('',#48047); #14581=VERTEX_POINT('',#48049); #14582=VERTEX_POINT('',#48052); #14583=VERTEX_POINT('',#48056); #14584=VERTEX_POINT('',#48058); #14585=VERTEX_POINT('',#48061); #14586=VERTEX_POINT('',#48065); #14587=VERTEX_POINT('',#48067); #14588=VERTEX_POINT('',#48070); #14589=VERTEX_POINT('',#48074); #14590=VERTEX_POINT('',#48076); #14591=VERTEX_POINT('',#48079); #14592=VERTEX_POINT('',#48083); #14593=VERTEX_POINT('',#48085); #14594=VERTEX_POINT('',#48089); #14595=VERTEX_POINT('',#48091); #14596=VERTEX_POINT('',#48095); #14597=VERTEX_POINT('',#48097); #14598=VERTEX_POINT('',#48101); #14599=VERTEX_POINT('',#48103); #14600=VERTEX_POINT('',#48107); #14601=VERTEX_POINT('',#48109); #14602=VERTEX_POINT('',#48113); #14603=VERTEX_POINT('',#48115); #14604=VERTEX_POINT('',#48119); #14605=VERTEX_POINT('',#48121); #14606=VERTEX_POINT('',#48125); #14607=VERTEX_POINT('',#48127); #14608=VERTEX_POINT('',#48131); #14609=VERTEX_POINT('',#48133); #14610=VERTEX_POINT('',#48137); #14611=VERTEX_POINT('',#48139); #14612=VERTEX_POINT('',#48143); #14613=VERTEX_POINT('',#48145); #14614=VERTEX_POINT('',#48149); #14615=VERTEX_POINT('',#48151); #14616=VERTEX_POINT('',#48155); #14617=VERTEX_POINT('',#48157); #14618=VERTEX_POINT('',#48161); #14619=VERTEX_POINT('',#48163); #14620=VERTEX_POINT('',#48167); #14621=VERTEX_POINT('',#48169); #14622=VERTEX_POINT('',#48173); #14623=VERTEX_POINT('',#48175); #14624=VERTEX_POINT('',#48179); #14625=VERTEX_POINT('',#48180); #14626=VERTEX_POINT('',#48182); #14627=VERTEX_POINT('',#48184); #14628=VERTEX_POINT('',#48188); #14629=VERTEX_POINT('',#48190); #14630=VERTEX_POINT('',#48194); #14631=VERTEX_POINT('',#48196); #14632=VERTEX_POINT('',#48200); #14633=VERTEX_POINT('',#48202); #14634=VERTEX_POINT('',#48204); #14635=VERTEX_POINT('',#48206); #14636=VERTEX_POINT('',#48208); #14637=VERTEX_POINT('',#48210); #14638=VERTEX_POINT('',#48214); #14639=VERTEX_POINT('',#48216); #14640=VERTEX_POINT('',#48222); #14641=VERTEX_POINT('',#48224); #14642=VERTEX_POINT('',#48226); #14643=VERTEX_POINT('',#48228); #14644=VERTEX_POINT('',#48242); #14645=VERTEX_POINT('',#48244); #14646=VERTEX_POINT('',#48247); #14647=VERTEX_POINT('',#48251); #14648=VERTEX_POINT('',#48253); #14649=VERTEX_POINT('',#48256); #14650=VERTEX_POINT('',#48260); #14651=VERTEX_POINT('',#48262); #14652=VERTEX_POINT('',#48265); #14653=VERTEX_POINT('',#48269); #14654=VERTEX_POINT('',#48271); #14655=VERTEX_POINT('',#48274); #14656=VERTEX_POINT('',#48278); #14657=VERTEX_POINT('',#48280); #14658=VERTEX_POINT('',#48283); #14659=VERTEX_POINT('',#48287); #14660=VERTEX_POINT('',#48289); #14661=VERTEX_POINT('',#48293); #14662=VERTEX_POINT('',#48295); #14663=VERTEX_POINT('',#48299); #14664=VERTEX_POINT('',#48301); #14665=VERTEX_POINT('',#48305); #14666=VERTEX_POINT('',#48307); #14667=VERTEX_POINT('',#48311); #14668=VERTEX_POINT('',#48313); #14669=VERTEX_POINT('',#48317); #14670=VERTEX_POINT('',#48319); #14671=VERTEX_POINT('',#48323); #14672=VERTEX_POINT('',#48325); #14673=VERTEX_POINT('',#48329); #14674=VERTEX_POINT('',#48331); #14675=VERTEX_POINT('',#48335); #14676=VERTEX_POINT('',#48337); #14677=VERTEX_POINT('',#48341); #14678=VERTEX_POINT('',#48343); #14679=VERTEX_POINT('',#48347); #14680=VERTEX_POINT('',#48349); #14681=VERTEX_POINT('',#48353); #14682=VERTEX_POINT('',#48355); #14683=VERTEX_POINT('',#48359); #14684=VERTEX_POINT('',#48361); #14685=VERTEX_POINT('',#48365); #14686=VERTEX_POINT('',#48367); #14687=VERTEX_POINT('',#48371); #14688=VERTEX_POINT('',#48373); #14689=VERTEX_POINT('',#48377); #14690=VERTEX_POINT('',#48379); #14691=VERTEX_POINT('',#48383); #14692=VERTEX_POINT('',#48385); #14693=VERTEX_POINT('',#48389); #14694=VERTEX_POINT('',#48390); #14695=VERTEX_POINT('',#48392); #14696=VERTEX_POINT('',#48394); #14697=VERTEX_POINT('',#48398); #14698=VERTEX_POINT('',#48400); #14699=VERTEX_POINT('',#48404); #14700=VERTEX_POINT('',#48405); #14701=VERTEX_POINT('',#48407); #14702=VERTEX_POINT('',#48409); #14703=VERTEX_POINT('',#48416); #14704=VERTEX_POINT('',#48420); #14705=VERTEX_POINT('',#48428); #14706=VERTEX_POINT('',#48429); #14707=VERTEX_POINT('',#48431); #14708=VERTEX_POINT('',#48433); #14709=VERTEX_POINT('',#48437); #14710=VERTEX_POINT('',#48439); #14711=VERTEX_POINT('',#48443); #14712=VERTEX_POINT('',#48445); #14713=VERTEX_POINT('',#48449); #14714=VERTEX_POINT('',#48451); #14715=VERTEX_POINT('',#48455); #14716=VERTEX_POINT('',#48457); #14717=VERTEX_POINT('',#48461); #14718=VERTEX_POINT('',#48463); #14719=VERTEX_POINT('',#48467); #14720=VERTEX_POINT('',#48469); #14721=VERTEX_POINT('',#48479); #14722=VERTEX_POINT('',#48481); #14723=VERTEX_POINT('',#48484); #14724=VERTEX_POINT('',#48488); #14725=VERTEX_POINT('',#48490); #14726=VERTEX_POINT('',#48493); #14727=VERTEX_POINT('',#48497); #14728=VERTEX_POINT('',#48499); #14729=VERTEX_POINT('',#48502); #14730=VERTEX_POINT('',#48506); #14731=VERTEX_POINT('',#48508); #14732=VERTEX_POINT('',#48511); #14733=VERTEX_POINT('',#48515); #14734=VERTEX_POINT('',#48517); #14735=VERTEX_POINT('',#48520); #14736=VERTEX_POINT('',#48524); #14737=VERTEX_POINT('',#48526); #14738=VERTEX_POINT('',#48529); #14739=VERTEX_POINT('',#48533); #14740=VERTEX_POINT('',#48535); #14741=VERTEX_POINT('',#48538); #14742=VERTEX_POINT('',#48542); #14743=VERTEX_POINT('',#48544); #14744=VERTEX_POINT('',#48547); #14745=VERTEX_POINT('',#48551); #14746=VERTEX_POINT('',#48553); #14747=VERTEX_POINT('',#48556); #14748=VERTEX_POINT('',#48560); #14749=VERTEX_POINT('',#48562); #14750=VERTEX_POINT('',#48565); #14751=VERTEX_POINT('',#48569); #14752=VERTEX_POINT('',#48571); #14753=VERTEX_POINT('',#48574); #14754=VERTEX_POINT('',#48578); #14755=VERTEX_POINT('',#48580); #14756=VERTEX_POINT('',#48583); #14757=VERTEX_POINT('',#48587); #14758=VERTEX_POINT('',#48589); #14759=VERTEX_POINT('',#48592); #14760=VERTEX_POINT('',#48596); #14761=VERTEX_POINT('',#48598); #14762=VERTEX_POINT('',#48601); #14763=VERTEX_POINT('',#48605); #14764=VERTEX_POINT('',#48607); #14765=VERTEX_POINT('',#48610); #14766=VERTEX_POINT('',#48614); #14767=VERTEX_POINT('',#48616); #14768=VERTEX_POINT('',#48619); #14769=VERTEX_POINT('',#48623); #14770=VERTEX_POINT('',#48625); #14771=VERTEX_POINT('',#48628); #14772=VERTEX_POINT('',#48632); #14773=VERTEX_POINT('',#48634); #14774=VERTEX_POINT('',#48637); #14775=VERTEX_POINT('',#48641); #14776=VERTEX_POINT('',#48643); #14777=VERTEX_POINT('',#48646); #14778=VERTEX_POINT('',#48650); #14779=VERTEX_POINT('',#48652); #14780=VERTEX_POINT('',#48655); #14781=VERTEX_POINT('',#48659); #14782=VERTEX_POINT('',#48661); #14783=VERTEX_POINT('',#48664); #14784=VERTEX_POINT('',#48668); #14785=VERTEX_POINT('',#48670); #14786=VERTEX_POINT('',#48673); #14787=VERTEX_POINT('',#48677); #14788=VERTEX_POINT('',#48679); #14789=VERTEX_POINT('',#48682); #14790=VERTEX_POINT('',#48686); #14791=VERTEX_POINT('',#48688); #14792=VERTEX_POINT('',#48691); #14793=VERTEX_POINT('',#48695); #14794=VERTEX_POINT('',#48697); #14795=VERTEX_POINT('',#48700); #14796=VERTEX_POINT('',#48704); #14797=VERTEX_POINT('',#48705); #14798=VERTEX_POINT('',#48707); #14799=VERTEX_POINT('',#48709); #14800=VERTEX_POINT('',#48713); #14801=VERTEX_POINT('',#48715); #14802=VERTEX_POINT('',#48717); #14803=VERTEX_POINT('',#48719); #14804=VERTEX_POINT('',#48721); #14805=VERTEX_POINT('',#48723); #14806=VERTEX_POINT('',#48725); #14807=VERTEX_POINT('',#48727); #14808=VERTEX_POINT('',#48730); #14809=VERTEX_POINT('',#48732); #14810=VERTEX_POINT('',#48734); #14811=VERTEX_POINT('',#48736); #14812=VERTEX_POINT('',#48738); #14813=VERTEX_POINT('',#48740); #14814=VERTEX_POINT('',#48742); #14815=VERTEX_POINT('',#48744); #14816=VERTEX_POINT('',#48746); #14817=VERTEX_POINT('',#48748); #14818=VERTEX_POINT('',#48750); #14819=VERTEX_POINT('',#48752); #14820=VERTEX_POINT('',#48754); #14821=VERTEX_POINT('',#48756); #14822=VERTEX_POINT('',#48758); #14823=VERTEX_POINT('',#48760); #14824=VERTEX_POINT('',#48762); #14825=VERTEX_POINT('',#48764); #14826=VERTEX_POINT('',#48766); #14827=VERTEX_POINT('',#48768); #14828=VERTEX_POINT('',#48770); #14829=VERTEX_POINT('',#48773); #14830=VERTEX_POINT('',#48777); #14831=VERTEX_POINT('',#48781); #14832=VERTEX_POINT('',#48785); #14833=VERTEX_POINT('',#48787); #14834=VERTEX_POINT('',#48789); #14835=VERTEX_POINT('',#48792); #14836=VERTEX_POINT('',#48794); #14837=VERTEX_POINT('',#48796); #14838=VERTEX_POINT('',#48798); #14839=VERTEX_POINT('',#48800); #14840=VERTEX_POINT('',#48802); #14841=VERTEX_POINT('',#48804); #14842=VERTEX_POINT('',#48806); #14843=VERTEX_POINT('',#48808); #14844=VERTEX_POINT('',#48810); #14845=VERTEX_POINT('',#48812); #14846=VERTEX_POINT('',#48814); #14847=VERTEX_POINT('',#48817); #14848=VERTEX_POINT('',#48819); #14849=VERTEX_POINT('',#48823); #14850=VERTEX_POINT('',#48827); #14851=VERTEX_POINT('',#48833); #14852=VERTEX_POINT('',#48835); #14853=VERTEX_POINT('',#48837); #14854=VERTEX_POINT('',#48839); #14855=VERTEX_POINT('',#48841); #14856=VERTEX_POINT('',#48843); #14857=VERTEX_POINT('',#48846); #14858=VERTEX_POINT('',#48848); #14859=VERTEX_POINT('',#48850); #14860=VERTEX_POINT('',#48852); #14861=VERTEX_POINT('',#48854); #14862=VERTEX_POINT('',#48856); #14863=VERTEX_POINT('',#48858); #14864=VERTEX_POINT('',#48860); #14865=VERTEX_POINT('',#48863); #14866=VERTEX_POINT('',#48865); #14867=VERTEX_POINT('',#48869); #14868=VERTEX_POINT('',#48873); #14869=VERTEX_POINT('',#48877); #14870=VERTEX_POINT('',#48881); #14871=VERTEX_POINT('',#48885); #14872=VERTEX_POINT('',#48889); #14873=VERTEX_POINT('',#48893); #14874=VERTEX_POINT('',#48897); #14875=VERTEX_POINT('',#48901); #14876=VERTEX_POINT('',#48905); #14877=VERTEX_POINT('',#48909); #14878=VERTEX_POINT('',#48913); #14879=VERTEX_POINT('',#48917); #14880=VERTEX_POINT('',#48921); #14881=VERTEX_POINT('',#48923); #14882=VERTEX_POINT('',#48925); #14883=VERTEX_POINT('',#48927); #14884=VERTEX_POINT('',#48929); #14885=VERTEX_POINT('',#48932); #14886=VERTEX_POINT('',#48934); #14887=VERTEX_POINT('',#48936); #14888=VERTEX_POINT('',#48938); #14889=VERTEX_POINT('',#48940); #14890=VERTEX_POINT('',#48942); #14891=VERTEX_POINT('',#48944); #14892=VERTEX_POINT('',#48946); #14893=VERTEX_POINT('',#48948); #14894=VERTEX_POINT('',#48950); #14895=VERTEX_POINT('',#48952); #14896=VERTEX_POINT('',#48954); #14897=VERTEX_POINT('',#48956); #14898=VERTEX_POINT('',#48958); #14899=VERTEX_POINT('',#48960); #14900=VERTEX_POINT('',#48962); #14901=VERTEX_POINT('',#48964); #14902=VERTEX_POINT('',#48966); #14903=VERTEX_POINT('',#48968); #14904=VERTEX_POINT('',#48970); #14905=VERTEX_POINT('',#48972); #14906=VERTEX_POINT('',#48974); #14907=VERTEX_POINT('',#48976); #14908=VERTEX_POINT('',#48978); #14909=VERTEX_POINT('',#48980); #14910=VERTEX_POINT('',#48982); #14911=VERTEX_POINT('',#48984); #14912=VERTEX_POINT('',#48986); #14913=VERTEX_POINT('',#48988); #14914=VERTEX_POINT('',#49061); #14915=VERTEX_POINT('',#49063); #14916=VERTEX_POINT('',#49067); #14917=VERTEX_POINT('',#49069); #14918=VERTEX_POINT('',#49073); #14919=VERTEX_POINT('',#49075); #14920=VERTEX_POINT('',#49079); #14921=VERTEX_POINT('',#49081); #14922=VERTEX_POINT('',#49085); #14923=VERTEX_POINT('',#49087); #14924=VERTEX_POINT('',#49091); #14925=VERTEX_POINT('',#49093); #14926=VERTEX_POINT('',#49097); #14927=VERTEX_POINT('',#49099); #14928=VERTEX_POINT('',#49103); #14929=VERTEX_POINT('',#49105); #14930=VERTEX_POINT('',#49109); #14931=VERTEX_POINT('',#49111); #14932=VERTEX_POINT('',#49115); #14933=VERTEX_POINT('',#49117); #14934=VERTEX_POINT('',#49121); #14935=VERTEX_POINT('',#49123); #14936=VERTEX_POINT('',#49127); #14937=VERTEX_POINT('',#49129); #14938=VERTEX_POINT('',#49133); #14939=VERTEX_POINT('',#49135); #14940=VERTEX_POINT('',#49139); #14941=VERTEX_POINT('',#49141); #14942=VERTEX_POINT('',#49145); #14943=VERTEX_POINT('',#49147); #14944=VERTEX_POINT('',#49151); #14945=VERTEX_POINT('',#49153); #14946=VERTEX_POINT('',#49157); #14947=VERTEX_POINT('',#49159); #14948=VERTEX_POINT('',#49163); #14949=VERTEX_POINT('',#49165); #14950=VERTEX_POINT('',#49169); #14951=VERTEX_POINT('',#49171); #14952=VERTEX_POINT('',#49175); #14953=VERTEX_POINT('',#49177); #14954=VERTEX_POINT('',#49181); #14955=VERTEX_POINT('',#49183); #14956=VERTEX_POINT('',#49187); #14957=VERTEX_POINT('',#49189); #14958=VERTEX_POINT('',#49193); #14959=VERTEX_POINT('',#49195); #14960=VERTEX_POINT('',#49199); #14961=VERTEX_POINT('',#49201); #14962=VERTEX_POINT('',#49205); #14963=VERTEX_POINT('',#49207); #14964=VERTEX_POINT('',#49211); #14965=VERTEX_POINT('',#49213); #14966=VERTEX_POINT('',#49217); #14967=VERTEX_POINT('',#49219); #14968=VERTEX_POINT('',#49223); #14969=VERTEX_POINT('',#49225); #14970=VERTEX_POINT('',#49229); #14971=VERTEX_POINT('',#49231); #14972=VERTEX_POINT('',#49235); #14973=VERTEX_POINT('',#49237); #14974=VERTEX_POINT('',#49241); #14975=VERTEX_POINT('',#49243); #14976=VERTEX_POINT('',#49247); #14977=VERTEX_POINT('',#49249); #14978=VERTEX_POINT('',#49253); #14979=VERTEX_POINT('',#49255); #14980=VERTEX_POINT('',#49259); #14981=VERTEX_POINT('',#49261); #14982=VERTEX_POINT('',#49265); #14983=VERTEX_POINT('',#49267); #14984=VERTEX_POINT('',#49271); #14985=VERTEX_POINT('',#49273); #14986=VERTEX_POINT('',#49277); #14987=VERTEX_POINT('',#49279); #14988=VERTEX_POINT('',#49283); #14989=VERTEX_POINT('',#49285); #14990=VERTEX_POINT('',#49289); #14991=VERTEX_POINT('',#49291); #14992=VERTEX_POINT('',#49295); #14993=VERTEX_POINT('',#49297); #14994=VERTEX_POINT('',#49301); #14995=VERTEX_POINT('',#49303); #14996=VERTEX_POINT('',#49307); #14997=VERTEX_POINT('',#49309); #14998=VERTEX_POINT('',#49313); #14999=VERTEX_POINT('',#49315); #15000=VERTEX_POINT('',#49319); #15001=VERTEX_POINT('',#49321); #15002=VERTEX_POINT('',#49325); #15003=VERTEX_POINT('',#49327); #15004=VERTEX_POINT('',#49331); #15005=VERTEX_POINT('',#49333); #15006=VERTEX_POINT('',#49337); #15007=VERTEX_POINT('',#49339); #15008=VERTEX_POINT('',#49343); #15009=VERTEX_POINT('',#49345); #15010=VERTEX_POINT('',#49349); #15011=VERTEX_POINT('',#49351); #15012=VERTEX_POINT('',#49355); #15013=VERTEX_POINT('',#49357); #15014=VERTEX_POINT('',#49361); #15015=VERTEX_POINT('',#49363); #15016=VERTEX_POINT('',#49367); #15017=VERTEX_POINT('',#49369); #15018=VERTEX_POINT('',#49373); #15019=VERTEX_POINT('',#49375); #15020=VERTEX_POINT('',#49379); #15021=VERTEX_POINT('',#49381); #15022=VERTEX_POINT('',#49385); #15023=VERTEX_POINT('',#49387); #15024=VERTEX_POINT('',#49391); #15025=VERTEX_POINT('',#49393); #15026=VERTEX_POINT('',#49397); #15027=VERTEX_POINT('',#49399); #15028=VERTEX_POINT('',#49403); #15029=VERTEX_POINT('',#49405); #15030=VERTEX_POINT('',#49409); #15031=VERTEX_POINT('',#49411); #15032=VERTEX_POINT('',#49415); #15033=VERTEX_POINT('',#49417); #15034=VERTEX_POINT('',#49421); #15035=VERTEX_POINT('',#49423); #15036=VERTEX_POINT('',#49427); #15037=VERTEX_POINT('',#49429); #15038=VERTEX_POINT('',#49433); #15039=VERTEX_POINT('',#49435); #15040=VERTEX_POINT('',#49439); #15041=VERTEX_POINT('',#49441); #15042=VERTEX_POINT('',#49445); #15043=VERTEX_POINT('',#49447); #15044=VERTEX_POINT('',#49451); #15045=VERTEX_POINT('',#49453); #15046=VERTEX_POINT('',#49457); #15047=VERTEX_POINT('',#49459); #15048=VERTEX_POINT('',#49463); #15049=VERTEX_POINT('',#49465); #15050=VERTEX_POINT('',#49469); #15051=VERTEX_POINT('',#49471); #15052=VERTEX_POINT('',#49475); #15053=VERTEX_POINT('',#49477); #15054=VERTEX_POINT('',#49481); #15055=VERTEX_POINT('',#49483); #15056=VERTEX_POINT('',#49487); #15057=VERTEX_POINT('',#49489); #15058=VERTEX_POINT('',#49493); #15059=VERTEX_POINT('',#49495); #15060=VERTEX_POINT('',#49499); #15061=VERTEX_POINT('',#49501); #15062=VERTEX_POINT('',#49505); #15063=VERTEX_POINT('',#49507); #15064=VERTEX_POINT('',#49511); #15065=VERTEX_POINT('',#49513); #15066=VERTEX_POINT('',#49517); #15067=VERTEX_POINT('',#49519); #15068=VERTEX_POINT('',#49523); #15069=VERTEX_POINT('',#49525); #15070=VERTEX_POINT('',#49529); #15071=VERTEX_POINT('',#49531); #15072=VERTEX_POINT('',#49535); #15073=VERTEX_POINT('',#49537); #15074=VERTEX_POINT('',#49541); #15075=VERTEX_POINT('',#49543); #15076=VERTEX_POINT('',#49547); #15077=VERTEX_POINT('',#49549); #15078=VERTEX_POINT('',#49553); #15079=VERTEX_POINT('',#49555); #15080=VERTEX_POINT('',#49559); #15081=VERTEX_POINT('',#49561); #15082=VERTEX_POINT('',#49565); #15083=VERTEX_POINT('',#49567); #15084=VERTEX_POINT('',#49571); #15085=VERTEX_POINT('',#49573); #15086=VERTEX_POINT('',#49577); #15087=VERTEX_POINT('',#49579); #15088=VERTEX_POINT('',#49583); #15089=VERTEX_POINT('',#49585); #15090=VERTEX_POINT('',#49589); #15091=VERTEX_POINT('',#49591); #15092=VERTEX_POINT('',#49595); #15093=VERTEX_POINT('',#49597); #15094=VERTEX_POINT('',#49601); #15095=VERTEX_POINT('',#49603); #15096=VERTEX_POINT('',#49607); #15097=VERTEX_POINT('',#49609); #15098=VERTEX_POINT('',#49613); #15099=VERTEX_POINT('',#49615); #15100=VERTEX_POINT('',#49619); #15101=VERTEX_POINT('',#49621); #15102=VERTEX_POINT('',#49625); #15103=VERTEX_POINT('',#49627); #15104=VERTEX_POINT('',#49631); #15105=VERTEX_POINT('',#49633); #15106=VERTEX_POINT('',#49637); #15107=VERTEX_POINT('',#49638); #15108=VERTEX_POINT('',#49640); #15109=VERTEX_POINT('',#49642); #15110=VERTEX_POINT('',#49646); #15111=VERTEX_POINT('',#49647); #15112=VERTEX_POINT('',#49652); #15113=VERTEX_POINT('',#49653); #15114=VERTEX_POINT('',#49658); #15115=VERTEX_POINT('',#49659); #15116=VERTEX_POINT('',#49664); #15117=VERTEX_POINT('',#49665); #15118=VERTEX_POINT('',#49670); #15119=VERTEX_POINT('',#49671); #15120=VERTEX_POINT('',#49676); #15121=VERTEX_POINT('',#49677); #15122=VERTEX_POINT('',#49685); #15123=VERTEX_POINT('',#49687); #15124=VERTEX_POINT('',#49691); #15125=VERTEX_POINT('',#49695); #15126=VERTEX_POINT('',#49699); #15127=VERTEX_POINT('',#49703); #15128=VERTEX_POINT('',#49704); #15129=VERTEX_POINT('',#49706); #15130=VERTEX_POINT('',#49708); #15131=VERTEX_POINT('',#49712); #15132=VERTEX_POINT('',#49716); #15133=VERTEX_POINT('',#49720); #15134=VERTEX_POINT('',#49726); #15135=VERTEX_POINT('',#49728); #15136=VERTEX_POINT('',#49732); #15137=VERTEX_POINT('',#49736); #15138=VERTEX_POINT('',#49744); #15139=VERTEX_POINT('',#49746); #15140=VERTEX_POINT('',#49749); #15141=VERTEX_POINT('',#49753); #15142=VERTEX_POINT('',#49755); #15143=VERTEX_POINT('',#49758); #15144=VERTEX_POINT('',#49762); #15145=VERTEX_POINT('',#49764); #15146=VERTEX_POINT('',#49767); #15147=VERTEX_POINT('',#49771); #15148=VERTEX_POINT('',#49773); #15149=VERTEX_POINT('',#49776); #15150=VERTEX_POINT('',#49780); #15151=VERTEX_POINT('',#49782); #15152=VERTEX_POINT('',#49785); #15153=VERTEX_POINT('',#49789); #15154=VERTEX_POINT('',#49791); #15155=VERTEX_POINT('',#49794); #15156=VERTEX_POINT('',#49798); #15157=VERTEX_POINT('',#49800); #15158=VERTEX_POINT('',#49803); #15159=VERTEX_POINT('',#49807); #15160=VERTEX_POINT('',#49809); #15161=VERTEX_POINT('',#49812); #15162=VERTEX_POINT('',#49816); #15163=VERTEX_POINT('',#49818); #15164=VERTEX_POINT('',#49821); #15165=VERTEX_POINT('',#49825); #15166=VERTEX_POINT('',#49827); #15167=VERTEX_POINT('',#49830); #15168=VERTEX_POINT('',#49834); #15169=VERTEX_POINT('',#49836); #15170=VERTEX_POINT('',#49839); #15171=VERTEX_POINT('',#49843); #15172=VERTEX_POINT('',#49845); #15173=VERTEX_POINT('',#49848); #15174=VERTEX_POINT('',#49852); #15175=VERTEX_POINT('',#49854); #15176=VERTEX_POINT('',#49857); #15177=VERTEX_POINT('',#49861); #15178=VERTEX_POINT('',#49863); #15179=VERTEX_POINT('',#49866); #15180=VERTEX_POINT('',#49870); #15181=VERTEX_POINT('',#49872); #15182=VERTEX_POINT('',#49875); #15183=VERTEX_POINT('',#49879); #15184=VERTEX_POINT('',#49881); #15185=VERTEX_POINT('',#49884); #15186=VERTEX_POINT('',#49888); #15187=VERTEX_POINT('',#49890); #15188=VERTEX_POINT('',#49893); #15189=VERTEX_POINT('',#49897); #15190=VERTEX_POINT('',#49899); #15191=VERTEX_POINT('',#49902); #15192=VERTEX_POINT('',#49906); #15193=VERTEX_POINT('',#49908); #15194=VERTEX_POINT('',#49911); #15195=VERTEX_POINT('',#49915); #15196=VERTEX_POINT('',#49917); #15197=VERTEX_POINT('',#49920); #15198=VERTEX_POINT('',#49924); #15199=VERTEX_POINT('',#49926); #15200=VERTEX_POINT('',#49929); #15201=VERTEX_POINT('',#49933); #15202=VERTEX_POINT('',#49935); #15203=VERTEX_POINT('',#49938); #15204=VERTEX_POINT('',#49942); #15205=VERTEX_POINT('',#49944); #15206=VERTEX_POINT('',#49947); #15207=VERTEX_POINT('',#49951); #15208=VERTEX_POINT('',#49953); #15209=VERTEX_POINT('',#49956); #15210=VERTEX_POINT('',#49960); #15211=VERTEX_POINT('',#49962); #15212=VERTEX_POINT('',#49966); #15213=VERTEX_POINT('',#49968); #15214=VERTEX_POINT('',#49972); #15215=VERTEX_POINT('',#49974); #15216=VERTEX_POINT('',#49978); #15217=VERTEX_POINT('',#49980); #15218=VERTEX_POINT('',#49984); #15219=VERTEX_POINT('',#49986); #15220=VERTEX_POINT('',#49990); #15221=VERTEX_POINT('',#49992); #15222=VERTEX_POINT('',#49996); #15223=VERTEX_POINT('',#49998); #15224=VERTEX_POINT('',#50002); #15225=VERTEX_POINT('',#50004); #15226=VERTEX_POINT('',#50008); #15227=VERTEX_POINT('',#50010); #15228=VERTEX_POINT('',#50014); #15229=VERTEX_POINT('',#50016); #15230=VERTEX_POINT('',#50020); #15231=VERTEX_POINT('',#50022); #15232=VERTEX_POINT('',#50026); #15233=VERTEX_POINT('',#50028); #15234=VERTEX_POINT('',#50032); #15235=VERTEX_POINT('',#50034); #15236=VERTEX_POINT('',#50038); #15237=VERTEX_POINT('',#50040); #15238=VERTEX_POINT('',#50044); #15239=VERTEX_POINT('',#50046); #15240=VERTEX_POINT('',#50050); #15241=VERTEX_POINT('',#50052); #15242=VERTEX_POINT('',#50056); #15243=VERTEX_POINT('',#50058); #15244=VERTEX_POINT('',#50062); #15245=VERTEX_POINT('',#50064); #15246=VERTEX_POINT('',#50068); #15247=VERTEX_POINT('',#50069); #15248=VERTEX_POINT('',#50071); #15249=VERTEX_POINT('',#50073); #15250=VERTEX_POINT('',#50077); #15251=VERTEX_POINT('',#50079); #15252=VERTEX_POINT('',#50083); #15253=VERTEX_POINT('',#50087); #15254=VERTEX_POINT('',#50095); #15255=VERTEX_POINT('',#50097); #15256=VERTEX_POINT('',#50101); #15257=VERTEX_POINT('',#50103); #15258=VERTEX_POINT('',#50107); #15259=VERTEX_POINT('',#50109); #15260=VERTEX_POINT('',#50113); #15261=VERTEX_POINT('',#50115); #15262=VERTEX_POINT('',#50119); #15263=VERTEX_POINT('',#50121); #15264=VERTEX_POINT('',#50125); #15265=VERTEX_POINT('',#50127); #15266=VERTEX_POINT('',#50131); #15267=VERTEX_POINT('',#50133); #15268=VERTEX_POINT('',#50137); #15269=VERTEX_POINT('',#50139); #15270=VERTEX_POINT('',#50143); #15271=VERTEX_POINT('',#50145); #15272=VERTEX_POINT('',#50149); #15273=VERTEX_POINT('',#50151); #15274=VERTEX_POINT('',#50155); #15275=VERTEX_POINT('',#50157); #15276=VERTEX_POINT('',#50161); #15277=VERTEX_POINT('',#50163); #15278=VERTEX_POINT('',#50167); #15279=VERTEX_POINT('',#50169); #15280=VERTEX_POINT('',#50173); #15281=VERTEX_POINT('',#50175); #15282=VERTEX_POINT('',#50179); #15283=VERTEX_POINT('',#50181); #15284=VERTEX_POINT('',#50185); #15285=VERTEX_POINT('',#50187); #15286=VERTEX_POINT('',#50191); #15287=VERTEX_POINT('',#50193); #15288=VERTEX_POINT('',#50197); #15289=VERTEX_POINT('',#50199); #15290=VERTEX_POINT('',#50203); #15291=VERTEX_POINT('',#50205); #15292=VERTEX_POINT('',#50209); #15293=VERTEX_POINT('',#50211); #15294=VERTEX_POINT('',#50215); #15295=VERTEX_POINT('',#50217); #15296=VERTEX_POINT('',#50221); #15297=VERTEX_POINT('',#50223); #15298=VERTEX_POINT('',#50227); #15299=VERTEX_POINT('',#50229); #15300=VERTEX_POINT('',#50233); #15301=VERTEX_POINT('',#50235); #15302=VERTEX_POINT('',#50239); #15303=VERTEX_POINT('',#50241); #15304=VERTEX_POINT('',#50245); #15305=VERTEX_POINT('',#50247); #15306=VERTEX_POINT('',#50251); #15307=VERTEX_POINT('',#50253); #15308=VERTEX_POINT('',#50257); #15309=VERTEX_POINT('',#50259); #15310=VERTEX_POINT('',#50263); #15311=VERTEX_POINT('',#50265); #15312=VERTEX_POINT('',#50269); #15313=VERTEX_POINT('',#50271); #15314=VERTEX_POINT('',#50275); #15315=VERTEX_POINT('',#50277); #15316=VERTEX_POINT('',#50281); #15317=VERTEX_POINT('',#50283); #15318=VERTEX_POINT('',#50287); #15319=VERTEX_POINT('',#50288); #15320=VERTEX_POINT('',#50290); #15321=VERTEX_POINT('',#50292); #15322=VERTEX_POINT('',#50296); #15323=VERTEX_POINT('',#50297); #15324=VERTEX_POINT('',#50299); #15325=VERTEX_POINT('',#50301); #15326=EDGE_CURVE('',#12751,#12752,#9361,.T.); #15327=EDGE_CURVE('',#12752,#12753,#7417,.T.); #15328=EDGE_CURVE('',#12753,#12754,#9362,.T.); #15329=EDGE_CURVE('',#12754,#12751,#7375,.T.); #15330=EDGE_CURVE('',#12755,#12756,#7418,.T.); #15331=EDGE_CURVE('',#12756,#12753,#7419,.T.); #15332=EDGE_CURVE('',#12752,#12755,#7420,.T.); #15333=EDGE_CURVE('',#12757,#12758,#7421,.T.); #15334=EDGE_CURVE('',#12758,#12756,#7422,.T.); #15335=EDGE_CURVE('',#12755,#12757,#7423,.T.); #15336=EDGE_CURVE('',#12759,#12760,#7424,.T.); #15337=EDGE_CURVE('',#12760,#12758,#7425,.T.); #15338=EDGE_CURVE('',#12757,#12759,#7426,.T.); #15339=EDGE_CURVE('',#12761,#12762,#7427,.T.); #15340=EDGE_CURVE('',#12762,#12760,#9363,.T.); #15341=EDGE_CURVE('',#12759,#12761,#9364,.T.); #15342=EDGE_CURVE('',#12763,#12764,#7428,.T.); #15343=EDGE_CURVE('',#12764,#12762,#7429,.T.); #15344=EDGE_CURVE('',#12761,#12763,#7430,.T.); #15345=EDGE_CURVE('',#12765,#12766,#7431,.T.); #15346=EDGE_CURVE('',#12766,#12764,#9365,.T.); #15347=EDGE_CURVE('',#12763,#12765,#9366,.T.); #15348=EDGE_CURVE('',#12767,#12768,#7432,.T.); #15349=EDGE_CURVE('',#12768,#12766,#7433,.T.); #15350=EDGE_CURVE('',#12765,#12767,#7434,.T.); #15351=EDGE_CURVE('',#12769,#12770,#7435,.T.); #15352=EDGE_CURVE('',#12770,#12768,#9367,.T.); #15353=EDGE_CURVE('',#12767,#12769,#9368,.T.); #15354=EDGE_CURVE('',#12771,#12772,#7436,.T.); #15355=EDGE_CURVE('',#12772,#12770,#7437,.T.); #15356=EDGE_CURVE('',#12769,#12771,#7438,.T.); #15357=EDGE_CURVE('',#12773,#12774,#7439,.T.); #15358=EDGE_CURVE('',#12774,#12772,#9369,.T.); #15359=EDGE_CURVE('',#12771,#12773,#9370,.T.); #15360=EDGE_CURVE('',#12775,#12776,#7440,.T.); #15361=EDGE_CURVE('',#12776,#12774,#7441,.T.); #15362=EDGE_CURVE('',#12773,#12775,#7442,.T.); #15363=EDGE_CURVE('',#12754,#12776,#9371,.T.); #15364=EDGE_CURVE('',#12775,#12751,#9372,.T.); #15365=EDGE_CURVE('',#12763,#12777,#9373,.T.); #15366=EDGE_CURVE('',#12777,#12778,#9374,.T.); #15367=EDGE_CURVE('',#12778,#12765,#9375,.T.); #15368=EDGE_CURVE('',#12779,#12761,#9376,.T.); #15369=EDGE_CURVE('',#12777,#12779,#7443,.T.); #15370=EDGE_CURVE('',#12759,#12780,#9377,.T.); #15371=EDGE_CURVE('',#12780,#12779,#9378,.T.); #15372=EDGE_CURVE('',#12781,#12757,#9379,.T.); #15373=EDGE_CURVE('',#12780,#12781,#7444,.T.); #15374=EDGE_CURVE('',#12755,#12782,#9380,.T.); #15375=EDGE_CURVE('',#12782,#12781,#7445,.T.); #15376=EDGE_CURVE('',#12783,#12752,#9381,.T.); #15377=EDGE_CURVE('',#12782,#12783,#7446,.T.); #15378=EDGE_CURVE('',#12784,#12751,#9382,.T.); #15379=EDGE_CURVE('',#12784,#12783,#9383,.T.); #15380=EDGE_CURVE('',#12785,#12785,#7447,.T.); #15381=EDGE_CURVE('',#12785,#12786,#9384,.T.); #15382=EDGE_CURVE('',#12787,#12787,#7448,.T.); #15383=EDGE_CURVE('',#12787,#12785,#9385,.T.); #15384=EDGE_CURVE('',#12788,#12788,#7449,.T.); #15385=EDGE_CURVE('',#12788,#12789,#9386,.T.); #15386=EDGE_CURVE('',#12790,#12790,#7450,.T.); #15387=EDGE_CURVE('',#12790,#12788,#9387,.T.); #15388=EDGE_CURVE('',#12791,#12791,#7451,.T.); #15389=EDGE_CURVE('',#12791,#12792,#9388,.T.); #15390=EDGE_CURVE('',#12793,#12793,#7452,.T.); #15391=EDGE_CURVE('',#12793,#12791,#9389,.T.); #15392=EDGE_CURVE('',#12794,#12794,#7453,.T.); #15393=EDGE_CURVE('',#12794,#12795,#9390,.T.); #15394=EDGE_CURVE('',#12796,#12796,#7454,.T.); #15395=EDGE_CURVE('',#12796,#12794,#9391,.T.); #15396=EDGE_CURVE('',#12797,#12797,#7455,.T.); #15397=EDGE_CURVE('',#12797,#12798,#9392,.T.); #15398=EDGE_CURVE('',#12799,#12799,#7456,.T.); #15399=EDGE_CURVE('',#12799,#12797,#9393,.T.); #15400=EDGE_CURVE('',#12800,#12800,#7457,.T.); #15401=EDGE_CURVE('',#12800,#12801,#9394,.T.); #15402=EDGE_CURVE('',#12802,#12802,#7458,.T.); #15403=EDGE_CURVE('',#12802,#12800,#9395,.T.); #15404=EDGE_CURVE('',#12803,#12803,#7459,.T.); #15405=EDGE_CURVE('',#12803,#12804,#9396,.T.); #15406=EDGE_CURVE('',#12805,#12805,#7460,.T.); #15407=EDGE_CURVE('',#12805,#12803,#9397,.T.); #15408=EDGE_CURVE('',#12806,#12806,#7461,.T.); #15409=EDGE_CURVE('',#12806,#12807,#9398,.T.); #15410=EDGE_CURVE('',#12808,#12808,#7462,.T.); #15411=EDGE_CURVE('',#12808,#12806,#9399,.T.); #15412=EDGE_CURVE('',#12809,#12809,#7463,.T.); #15413=EDGE_CURVE('',#12809,#12810,#9400,.T.); #15414=EDGE_CURVE('',#12811,#12811,#7464,.T.); #15415=EDGE_CURVE('',#12811,#12809,#9401,.T.); #15416=EDGE_CURVE('',#12812,#12812,#7465,.T.); #15417=EDGE_CURVE('',#12812,#12813,#9402,.T.); #15418=EDGE_CURVE('',#12814,#12814,#7466,.T.); #15419=EDGE_CURVE('',#12814,#12812,#9403,.T.); #15420=EDGE_CURVE('',#12815,#12815,#7467,.T.); #15421=EDGE_CURVE('',#12815,#12816,#9404,.T.); #15422=EDGE_CURVE('',#12817,#12817,#7468,.T.); #15423=EDGE_CURVE('',#12817,#12815,#9405,.T.); #15424=EDGE_CURVE('',#12818,#12818,#7469,.T.); #15425=EDGE_CURVE('',#12818,#12819,#9406,.T.); #15426=EDGE_CURVE('',#12820,#12820,#7470,.T.); #15427=EDGE_CURVE('',#12820,#12818,#9407,.T.); #15428=EDGE_CURVE('',#12821,#12821,#7471,.T.); #15429=EDGE_CURVE('',#12821,#12822,#9408,.T.); #15430=EDGE_CURVE('',#12822,#12822,#7472,.T.); #15431=EDGE_CURVE('',#12823,#12824,#2248,.T.); #15432=EDGE_CURVE('',#12825,#12824,#2249,.T.); #15433=EDGE_CURVE('',#12823,#12825,#2250,.T.); #15434=EDGE_CURVE('',#12822,#12826,#9409,.T.); #15435=EDGE_CURVE('',#12823,#12824,#7473,.T.); #15436=EDGE_CURVE('',#12827,#12827,#7474,.T.); #15437=EDGE_CURVE('',#12827,#12828,#9410,.T.); #15438=EDGE_CURVE('',#12829,#12829,#7475,.T.); #15439=EDGE_CURVE('',#12829,#12827,#9411,.T.); #15440=EDGE_CURVE('',#12830,#12830,#7476,.T.); #15441=EDGE_CURVE('',#12830,#12831,#9412,.T.); #15442=EDGE_CURVE('',#12832,#12832,#7477,.T.); #15443=EDGE_CURVE('',#12832,#12830,#9413,.T.); #15444=EDGE_CURVE('',#12833,#12833,#7478,.T.); #15445=EDGE_CURVE('',#12833,#12834,#9414,.T.); #15446=EDGE_CURVE('',#12835,#12835,#7479,.T.); #15447=EDGE_CURVE('',#12835,#12833,#9415,.T.); #15448=EDGE_CURVE('',#12836,#12836,#7480,.T.); #15449=EDGE_CURVE('',#12836,#12837,#9416,.T.); #15450=EDGE_CURVE('',#12838,#12838,#7481,.T.); #15451=EDGE_CURVE('',#12838,#12836,#9417,.T.); #15452=EDGE_CURVE('',#12839,#12839,#7482,.T.); #15453=EDGE_CURVE('',#12839,#12840,#9418,.T.); #15454=EDGE_CURVE('',#12841,#12841,#7483,.T.); #15455=EDGE_CURVE('',#12841,#12839,#9419,.T.); #15456=EDGE_CURVE('',#12842,#12842,#7484,.T.); #15457=EDGE_CURVE('',#12842,#12843,#9420,.T.); #15458=EDGE_CURVE('',#12844,#12844,#7485,.T.); #15459=EDGE_CURVE('',#12844,#12842,#9421,.T.); #15460=EDGE_CURVE('',#12845,#12845,#7486,.T.); #15461=EDGE_CURVE('',#12845,#12846,#9422,.T.); #15462=EDGE_CURVE('',#12847,#12847,#7487,.T.); #15463=EDGE_CURVE('',#12847,#12845,#9423,.T.); #15464=EDGE_CURVE('',#12848,#12848,#7488,.T.); #15465=EDGE_CURVE('',#12848,#12849,#9424,.T.); #15466=EDGE_CURVE('',#12850,#12850,#7489,.T.); #15467=EDGE_CURVE('',#12850,#12848,#9425,.T.); #15468=EDGE_CURVE('',#12851,#12851,#7490,.T.); #15469=EDGE_CURVE('',#12851,#12852,#9426,.T.); #15470=EDGE_CURVE('',#12853,#12853,#7491,.T.); #15471=EDGE_CURVE('',#12853,#12851,#9427,.T.); #15472=EDGE_CURVE('',#12854,#12854,#7492,.T.); #15473=EDGE_CURVE('',#12854,#12855,#9428,.T.); #15474=EDGE_CURVE('',#12856,#12856,#7493,.T.); #15475=EDGE_CURVE('',#12856,#12854,#9429,.T.); #15476=EDGE_CURVE('',#12857,#12857,#7494,.T.); #15477=EDGE_CURVE('',#12857,#12858,#9430,.T.); #15478=EDGE_CURVE('',#12859,#12859,#7495,.T.); #15479=EDGE_CURVE('',#12859,#12857,#9431,.T.); #15480=EDGE_CURVE('',#12860,#12860,#7496,.T.); #15481=EDGE_CURVE('',#12860,#12861,#9432,.T.); #15482=EDGE_CURVE('',#12862,#12862,#7497,.T.); #15483=EDGE_CURVE('',#12862,#12860,#9433,.T.); #15484=EDGE_CURVE('',#12863,#12863,#7498,.T.); #15485=EDGE_CURVE('',#12863,#12864,#9434,.T.); #15486=EDGE_CURVE('',#12865,#12865,#7499,.T.); #15487=EDGE_CURVE('',#12865,#12863,#9435,.T.); #15488=EDGE_CURVE('',#12866,#12866,#7500,.T.); #15489=EDGE_CURVE('',#12866,#12867,#9436,.T.); #15490=EDGE_CURVE('',#12868,#12868,#7501,.T.); #15491=EDGE_CURVE('',#12868,#12866,#9437,.T.); #15492=EDGE_CURVE('',#12869,#12869,#7502,.T.); #15493=EDGE_CURVE('',#12869,#12870,#9438,.T.); #15494=EDGE_CURVE('',#12871,#12871,#7503,.T.); #15495=EDGE_CURVE('',#12871,#12869,#9439,.T.); #15496=EDGE_CURVE('',#12872,#12872,#7504,.T.); #15497=EDGE_CURVE('',#12872,#12873,#9440,.T.); #15498=EDGE_CURVE('',#12874,#12874,#7505,.T.); #15499=EDGE_CURVE('',#12874,#12872,#9441,.T.); #15500=EDGE_CURVE('',#12875,#12875,#7506,.T.); #15501=EDGE_CURVE('',#12875,#12876,#9442,.T.); #15502=EDGE_CURVE('',#12877,#12877,#7507,.T.); #15503=EDGE_CURVE('',#12877,#12875,#9443,.T.); #15504=EDGE_CURVE('',#12878,#12878,#7508,.T.); #15505=EDGE_CURVE('',#12878,#12879,#9444,.T.); #15506=EDGE_CURVE('',#12880,#12880,#7509,.T.); #15507=EDGE_CURVE('',#12880,#12878,#9445,.T.); #15508=EDGE_CURVE('',#12881,#12881,#7510,.T.); #15509=EDGE_CURVE('',#12881,#12882,#9446,.T.); #15510=EDGE_CURVE('',#12883,#12883,#7511,.T.); #15511=EDGE_CURVE('',#12883,#12881,#9447,.T.); #15512=EDGE_CURVE('',#12884,#12884,#7512,.T.); #15513=EDGE_CURVE('',#12884,#12885,#9448,.T.); #15514=EDGE_CURVE('',#12886,#12886,#7513,.T.); #15515=EDGE_CURVE('',#12886,#12884,#9449,.T.); #15516=EDGE_CURVE('',#12887,#12887,#7514,.T.); #15517=EDGE_CURVE('',#12887,#12888,#9450,.T.); #15518=EDGE_CURVE('',#12889,#12889,#7515,.T.); #15519=EDGE_CURVE('',#12889,#12887,#9451,.T.); #15520=EDGE_CURVE('',#12890,#12890,#7516,.T.); #15521=EDGE_CURVE('',#12890,#12891,#9452,.T.); #15522=EDGE_CURVE('',#12892,#12892,#7517,.T.); #15523=EDGE_CURVE('',#12892,#12890,#9453,.T.); #15524=EDGE_CURVE('',#12893,#12893,#7518,.T.); #15525=EDGE_CURVE('',#12893,#12894,#9454,.T.); #15526=EDGE_CURVE('',#12895,#12895,#7519,.T.); #15527=EDGE_CURVE('',#12895,#12893,#9455,.T.); #15528=EDGE_CURVE('',#12896,#12896,#7520,.T.); #15529=EDGE_CURVE('',#12896,#12897,#9456,.T.); #15530=EDGE_CURVE('',#12898,#12898,#7521,.T.); #15531=EDGE_CURVE('',#12898,#12896,#9457,.T.); #15532=EDGE_CURVE('',#12899,#12899,#7522,.T.); #15533=EDGE_CURVE('',#12899,#12900,#9458,.T.); #15534=EDGE_CURVE('',#12901,#12901,#7523,.T.); #15535=EDGE_CURVE('',#12901,#12899,#9459,.T.); #15536=EDGE_CURVE('',#12902,#12902,#7524,.T.); #15537=EDGE_CURVE('',#12902,#12903,#9460,.T.); #15538=EDGE_CURVE('',#12904,#12904,#7525,.T.); #15539=EDGE_CURVE('',#12904,#12902,#9461,.T.); #15540=EDGE_CURVE('',#12905,#12905,#7526,.T.); #15541=EDGE_CURVE('',#12905,#12906,#9462,.T.); #15542=EDGE_CURVE('',#12907,#12907,#7527,.T.); #15543=EDGE_CURVE('',#12907,#12905,#9463,.T.); #15544=EDGE_CURVE('',#12908,#12908,#7528,.T.); #15545=EDGE_CURVE('',#12908,#12909,#9464,.T.); #15546=EDGE_CURVE('',#12910,#12910,#7529,.T.); #15547=EDGE_CURVE('',#12910,#12908,#9465,.T.); #15548=EDGE_CURVE('',#12911,#12911,#7530,.T.); #15549=EDGE_CURVE('',#12911,#12912,#9466,.T.); #15550=EDGE_CURVE('',#12913,#12913,#7531,.T.); #15551=EDGE_CURVE('',#12913,#12911,#9467,.T.); #15552=EDGE_CURVE('',#12914,#12914,#7532,.T.); #15553=EDGE_CURVE('',#12914,#12915,#9468,.T.); #15554=EDGE_CURVE('',#12916,#12916,#7533,.T.); #15555=EDGE_CURVE('',#12916,#12914,#9469,.T.); #15556=EDGE_CURVE('',#12917,#12917,#7534,.T.); #15557=EDGE_CURVE('',#12917,#12825,#9470,.T.); #15558=EDGE_CURVE('',#12918,#12919,#9471,.T.); #15559=EDGE_CURVE('',#12920,#12918,#9472,.T.); #15560=EDGE_CURVE('',#12921,#12920,#9473,.T.); #15561=EDGE_CURVE('',#12919,#12921,#9474,.T.); #15562=EDGE_CURVE('',#12922,#12920,#9475,.T.); #15563=EDGE_CURVE('',#12922,#12923,#9476,.T.); #15564=EDGE_CURVE('',#12923,#12924,#9477,.T.); #15565=EDGE_CURVE('',#12924,#12921,#9478,.T.); #15566=EDGE_CURVE('',#12918,#12925,#9479,.T.); #15567=EDGE_CURVE('',#12925,#12922,#9480,.T.); #15568=EDGE_CURVE('',#12926,#12924,#9481,.T.); #15569=EDGE_CURVE('',#12923,#12927,#9482,.T.); #15570=EDGE_CURVE('',#12927,#12926,#9483,.T.); #15571=EDGE_CURVE('',#12928,#12919,#9484,.T.); #15572=EDGE_CURVE('',#12929,#12928,#9485,.T.); #15573=EDGE_CURVE('',#12925,#12929,#9486,.T.); #15574=EDGE_CURVE('',#12930,#12929,#9487,.T.); #15575=EDGE_CURVE('',#12930,#12931,#9488,.T.); #15576=EDGE_CURVE('',#12931,#12932,#7376,.T.); #15577=EDGE_CURVE('',#12927,#12932,#9489,.T.); #15578=EDGE_CURVE('',#12933,#12930,#9490,.T.); #15579=EDGE_CURVE('',#12934,#12933,#9491,.T.); #15580=EDGE_CURVE('',#12931,#12934,#9492,.T.); #15581=EDGE_CURVE('',#12928,#12933,#9493,.T.); #15582=EDGE_CURVE('',#12935,#12926,#9494,.T.); #15583=EDGE_CURVE('',#12934,#12935,#7377,.T.); #15584=EDGE_CURVE('',#12936,#12784,#9495,.T.); #15585=EDGE_CURVE('',#12936,#12937,#7535,.T.); #15586=EDGE_CURVE('',#12938,#12937,#9496,.T.); #15587=EDGE_CURVE('',#12938,#12939,#7536,.T.); #15588=EDGE_CURVE('',#12940,#12939,#9497,.T.); #15589=EDGE_CURVE('',#12940,#12778,#7537,.T.); #15590=EDGE_CURVE('',#12935,#12932,#9498,.T.); #15591=EDGE_CURVE('',#12767,#12940,#9499,.T.); #15592=EDGE_CURVE('',#12937,#12773,#9500,.T.); #15593=EDGE_CURVE('',#12775,#12936,#9501,.T.); #15594=EDGE_CURVE('',#12771,#12938,#9502,.T.); #15595=EDGE_CURVE('',#12939,#12769,#9503,.T.); #15596=EDGE_CURVE('',#12941,#12941,#7538,.T.); #15597=EDGE_CURVE('',#12941,#12942,#9504,.T.); #15598=EDGE_CURVE('',#12943,#12943,#7539,.T.); #15599=EDGE_CURVE('',#12943,#12941,#9505,.T.); #15600=EDGE_CURVE('',#12944,#12944,#7540,.T.); #15601=EDGE_CURVE('',#12944,#12945,#9506,.T.); #15602=EDGE_CURVE('',#12946,#12946,#7541,.T.); #15603=EDGE_CURVE('',#12946,#12944,#9507,.T.); #15604=EDGE_CURVE('',#12947,#12947,#7542,.T.); #15605=EDGE_CURVE('',#12947,#12948,#9508,.T.); #15606=EDGE_CURVE('',#12949,#12949,#7543,.T.); #15607=EDGE_CURVE('',#12949,#12947,#9509,.T.); #15608=EDGE_CURVE('',#12950,#12950,#7544,.T.); #15609=EDGE_CURVE('',#12950,#12951,#9510,.T.); #15610=EDGE_CURVE('',#12952,#12952,#7545,.T.); #15611=EDGE_CURVE('',#12952,#12950,#9511,.T.); #15612=EDGE_CURVE('',#12953,#12953,#7546,.T.); #15613=EDGE_CURVE('',#12953,#12954,#9512,.T.); #15614=EDGE_CURVE('',#12955,#12955,#7547,.T.); #15615=EDGE_CURVE('',#12955,#12953,#9513,.T.); #15616=EDGE_CURVE('',#12956,#12956,#7548,.T.); #15617=EDGE_CURVE('',#12956,#12957,#9514,.T.); #15618=EDGE_CURVE('',#12958,#12958,#7549,.T.); #15619=EDGE_CURVE('',#12958,#12956,#9515,.T.); #15620=EDGE_CURVE('',#12959,#12959,#7550,.T.); #15621=EDGE_CURVE('',#12959,#12960,#9516,.T.); #15622=EDGE_CURVE('',#12961,#12961,#7551,.T.); #15623=EDGE_CURVE('',#12961,#12959,#9517,.T.); #15624=EDGE_CURVE('',#12962,#12962,#7552,.T.); #15625=EDGE_CURVE('',#12962,#12963,#9518,.T.); #15626=EDGE_CURVE('',#12964,#12964,#7553,.T.); #15627=EDGE_CURVE('',#12964,#12962,#9519,.T.); #15628=EDGE_CURVE('',#12965,#12965,#7554,.T.); #15629=EDGE_CURVE('',#12965,#12966,#9520,.T.); #15630=EDGE_CURVE('',#12967,#12967,#7555,.T.); #15631=EDGE_CURVE('',#12967,#12965,#9521,.T.); #15632=EDGE_CURVE('',#12968,#12968,#7556,.T.); #15633=EDGE_CURVE('',#12968,#12969,#9522,.T.); #15634=EDGE_CURVE('',#12970,#12970,#7557,.T.); #15635=EDGE_CURVE('',#12970,#12968,#9523,.T.); #15636=EDGE_CURVE('',#12971,#12971,#7558,.T.); #15637=EDGE_CURVE('',#12971,#12972,#9524,.T.); #15638=EDGE_CURVE('',#12973,#12973,#7559,.T.); #15639=EDGE_CURVE('',#12973,#12971,#9525,.T.); #15640=EDGE_CURVE('',#12974,#12974,#7560,.T.); #15641=EDGE_CURVE('',#12974,#12975,#9526,.T.); #15642=EDGE_CURVE('',#12976,#12975,#2251,.T.); #15643=EDGE_CURVE('',#12977,#12976,#2252,.T.); #15644=EDGE_CURVE('',#12975,#12977,#2253,.T.); #15645=EDGE_CURVE('',#12978,#12978,#7561,.T.); #15646=EDGE_CURVE('',#12978,#12974,#9527,.T.); #15647=EDGE_CURVE('',#12979,#12979,#7562,.T.); #15648=EDGE_CURVE('',#12979,#12980,#9528,.T.); #15649=EDGE_CURVE('',#12977,#12980,#7563,.T.); #15650=EDGE_CURVE('',#12980,#12976,#7564,.T.); #15651=EDGE_CURVE('',#12981,#12981,#7565,.T.); #15652=EDGE_CURVE('',#12981,#12982,#9529,.T.); #15653=EDGE_CURVE('',#12983,#12983,#7566,.T.); #15654=EDGE_CURVE('',#12983,#12981,#9530,.T.); #15655=EDGE_CURVE('',#12984,#12984,#7567,.T.); #15656=EDGE_CURVE('',#12984,#12985,#9531,.T.); #15657=EDGE_CURVE('',#12986,#12986,#7568,.T.); #15658=EDGE_CURVE('',#12986,#12984,#9532,.T.); #15659=EDGE_CURVE('',#12987,#12987,#7569,.T.); #15660=EDGE_CURVE('',#12987,#12988,#9533,.T.); #15661=EDGE_CURVE('',#12989,#12989,#7570,.T.); #15662=EDGE_CURVE('',#12989,#12987,#9534,.T.); #15663=EDGE_CURVE('',#12990,#12990,#7571,.T.); #15664=EDGE_CURVE('',#12990,#12991,#9535,.T.); #15665=EDGE_CURVE('',#12992,#12992,#7572,.T.); #15666=EDGE_CURVE('',#12992,#12990,#9536,.T.); #15667=EDGE_CURVE('',#12993,#12994,#9537,.T.); #15668=EDGE_CURVE('',#12994,#12995,#7573,.T.); #15669=EDGE_CURVE('',#12995,#12996,#9538,.T.); #15670=EDGE_CURVE('',#12993,#12996,#7378,.T.); #15671=EDGE_CURVE('',#12997,#12998,#7574,.T.); #15672=EDGE_CURVE('',#12998,#12995,#7575,.T.); #15673=EDGE_CURVE('',#12994,#12997,#7576,.T.); #15674=EDGE_CURVE('',#12999,#13000,#7577,.T.); #15675=EDGE_CURVE('',#13000,#12998,#7578,.T.); #15676=EDGE_CURVE('',#12997,#12999,#7579,.T.); #15677=EDGE_CURVE('',#13001,#13002,#7580,.T.); #15678=EDGE_CURVE('',#13002,#13000,#7581,.T.); #15679=EDGE_CURVE('',#12999,#13001,#7582,.T.); #15680=EDGE_CURVE('',#13003,#13004,#7583,.T.); #15681=EDGE_CURVE('',#13004,#13002,#9539,.T.); #15682=EDGE_CURVE('',#13001,#13003,#9540,.T.); #15683=EDGE_CURVE('',#13005,#13006,#7584,.T.); #15684=EDGE_CURVE('',#13006,#13004,#7585,.T.); #15685=EDGE_CURVE('',#13003,#13005,#7586,.T.); #15686=EDGE_CURVE('',#13007,#13008,#7587,.T.); #15687=EDGE_CURVE('',#13008,#13006,#9541,.T.); #15688=EDGE_CURVE('',#13005,#13007,#9542,.T.); #15689=EDGE_CURVE('',#13009,#13010,#7588,.T.); #15690=EDGE_CURVE('',#13010,#13008,#7589,.T.); #15691=EDGE_CURVE('',#13007,#13009,#7590,.T.); #15692=EDGE_CURVE('',#13011,#13012,#7591,.T.); #15693=EDGE_CURVE('',#13012,#13010,#9543,.T.); #15694=EDGE_CURVE('',#13009,#13011,#9544,.T.); #15695=EDGE_CURVE('',#13013,#13014,#7592,.T.); #15696=EDGE_CURVE('',#13014,#13012,#7593,.T.); #15697=EDGE_CURVE('',#13011,#13013,#7594,.T.); #15698=EDGE_CURVE('',#13015,#13016,#7595,.T.); #15699=EDGE_CURVE('',#13016,#13014,#9545,.T.); #15700=EDGE_CURVE('',#13013,#13015,#9546,.T.); #15701=EDGE_CURVE('',#13017,#13018,#7596,.T.); #15702=EDGE_CURVE('',#13018,#13016,#7597,.T.); #15703=EDGE_CURVE('',#13015,#13017,#7598,.T.); #15704=EDGE_CURVE('',#12996,#13018,#9547,.T.); #15705=EDGE_CURVE('',#13017,#12993,#9548,.T.); #15706=EDGE_CURVE('',#13010,#13019,#9549,.T.); #15707=EDGE_CURVE('',#13019,#13020,#7599,.T.); #15708=EDGE_CURVE('',#13020,#13008,#9550,.T.); #15709=EDGE_CURVE('',#13021,#13012,#9551,.T.); #15710=EDGE_CURVE('',#13019,#13021,#9552,.T.); #15711=EDGE_CURVE('',#13014,#13022,#9553,.T.); #15712=EDGE_CURVE('',#13022,#13021,#7600,.T.); #15713=EDGE_CURVE('',#13023,#13016,#9554,.T.); #15714=EDGE_CURVE('',#13022,#13023,#9555,.T.); #15715=EDGE_CURVE('',#13018,#13024,#9556,.T.); #15716=EDGE_CURVE('',#13024,#13023,#7601,.T.); #15717=EDGE_CURVE('',#13025,#12996,#9557,.T.); #15718=EDGE_CURVE('',#13024,#13025,#9558,.T.); #15719=EDGE_CURVE('',#13026,#12995,#9559,.T.); #15720=EDGE_CURVE('',#13025,#13026,#9560,.T.); #15721=EDGE_CURVE('',#13027,#13027,#7602,.T.); #15722=EDGE_CURVE('',#13027,#13028,#9561,.T.); #15723=EDGE_CURVE('',#13029,#13029,#7603,.T.); #15724=EDGE_CURVE('',#13029,#13027,#9562,.T.); #15725=EDGE_CURVE('',#13030,#13030,#7604,.T.); #15726=EDGE_CURVE('',#13030,#13031,#9563,.T.); #15727=EDGE_CURVE('',#13032,#13032,#7605,.T.); #15728=EDGE_CURVE('',#13032,#13030,#9564,.T.); #15729=EDGE_CURVE('',#13033,#13033,#7606,.T.); #15730=EDGE_CURVE('',#13033,#13034,#9565,.T.); #15731=EDGE_CURVE('',#13035,#13035,#7607,.T.); #15732=EDGE_CURVE('',#13035,#13033,#9566,.T.); #15733=EDGE_CURVE('',#13036,#13036,#7608,.T.); #15734=EDGE_CURVE('',#13036,#13037,#9567,.T.); #15735=EDGE_CURVE('',#13038,#13038,#7609,.T.); #15736=EDGE_CURVE('',#13038,#13036,#9568,.T.); #15737=EDGE_CURVE('',#12980,#13039,#9569,.T.); #15738=EDGE_CURVE('',#13040,#13040,#7610,.T.); #15739=EDGE_CURVE('',#13040,#13041,#9570,.T.); #15740=EDGE_CURVE('',#13042,#13042,#7611,.T.); #15741=EDGE_CURVE('',#13042,#13040,#9571,.T.); #15742=EDGE_CURVE('',#13043,#13043,#7612,.T.); #15743=EDGE_CURVE('',#13043,#13044,#9572,.T.); #15744=EDGE_CURVE('',#13045,#13045,#7613,.T.); #15745=EDGE_CURVE('',#13045,#13043,#9573,.T.); #15746=EDGE_CURVE('',#13046,#13046,#7614,.T.); #15747=EDGE_CURVE('',#13046,#13047,#9574,.T.); #15748=EDGE_CURVE('',#13048,#13048,#7615,.T.); #15749=EDGE_CURVE('',#13048,#13046,#9575,.T.); #15750=EDGE_CURVE('',#13049,#13049,#7616,.T.); #15751=EDGE_CURVE('',#13049,#13050,#9576,.T.); #15752=EDGE_CURVE('',#13051,#13051,#7617,.T.); #15753=EDGE_CURVE('',#13051,#13049,#9577,.T.); #15754=EDGE_CURVE('',#13052,#13052,#7618,.T.); #15755=EDGE_CURVE('',#13052,#13053,#9578,.T.); #15756=EDGE_CURVE('',#13054,#13054,#7619,.T.); #15757=EDGE_CURVE('',#13054,#13052,#9579,.T.); #15758=EDGE_CURVE('',#13055,#13055,#7620,.T.); #15759=EDGE_CURVE('',#13055,#13056,#9580,.T.); #15760=EDGE_CURVE('',#13057,#13057,#7621,.T.); #15761=EDGE_CURVE('',#13057,#13055,#9581,.T.); #15762=EDGE_CURVE('',#13058,#13058,#7622,.T.); #15763=EDGE_CURVE('',#13058,#13059,#9582,.T.); #15764=EDGE_CURVE('',#13060,#13060,#7623,.T.); #15765=EDGE_CURVE('',#13060,#13058,#9583,.T.); #15766=EDGE_CURVE('',#13061,#13061,#7624,.T.); #15767=EDGE_CURVE('',#13061,#13062,#9584,.T.); #15768=EDGE_CURVE('',#13063,#13063,#7625,.T.); #15769=EDGE_CURVE('',#13063,#13061,#9585,.T.); #15770=EDGE_CURVE('',#13064,#13064,#7626,.T.); #15771=EDGE_CURVE('',#13064,#13065,#9586,.T.); #15772=EDGE_CURVE('',#13066,#13066,#7627,.T.); #15773=EDGE_CURVE('',#13066,#13064,#9587,.T.); #15774=EDGE_CURVE('',#13067,#13067,#7628,.T.); #15775=EDGE_CURVE('',#13067,#13068,#9588,.T.); #15776=EDGE_CURVE('',#13069,#13069,#7629,.T.); #15777=EDGE_CURVE('',#13069,#13067,#9589,.T.); #15778=EDGE_CURVE('',#13070,#13070,#7630,.T.); #15779=EDGE_CURVE('',#13070,#13071,#9590,.T.); #15780=EDGE_CURVE('',#13072,#13072,#7631,.T.); #15781=EDGE_CURVE('',#13072,#13070,#9591,.T.); #15782=EDGE_CURVE('',#13073,#13073,#7632,.T.); #15783=EDGE_CURVE('',#13073,#13074,#9592,.T.); #15784=EDGE_CURVE('',#13075,#13075,#7633,.T.); #15785=EDGE_CURVE('',#13075,#13073,#9593,.T.); #15786=EDGE_CURVE('',#13076,#13076,#7634,.T.); #15787=EDGE_CURVE('',#13076,#13077,#9594,.T.); #15788=EDGE_CURVE('',#13078,#13078,#7635,.T.); #15789=EDGE_CURVE('',#13078,#13076,#9595,.T.); #15790=EDGE_CURVE('',#13079,#13079,#7636,.T.); #15791=EDGE_CURVE('',#13079,#13080,#9596,.T.); #15792=EDGE_CURVE('',#13081,#13081,#7637,.T.); #15793=EDGE_CURVE('',#13081,#13079,#9597,.T.); #15794=EDGE_CURVE('',#13082,#13082,#7638,.T.); #15795=EDGE_CURVE('',#13082,#13083,#9598,.T.); #15796=EDGE_CURVE('',#13084,#13084,#7639,.T.); #15797=EDGE_CURVE('',#13084,#13082,#9599,.T.); #15798=EDGE_CURVE('',#13085,#13085,#7640,.T.); #15799=EDGE_CURVE('',#13085,#13086,#9600,.T.); #15800=EDGE_CURVE('',#13087,#13087,#7641,.T.); #15801=EDGE_CURVE('',#13087,#13085,#9601,.T.); #15802=EDGE_CURVE('',#13088,#13088,#7642,.T.); #15803=EDGE_CURVE('',#13088,#13089,#9602,.T.); #15804=EDGE_CURVE('',#13090,#13090,#7643,.T.); #15805=EDGE_CURVE('',#13090,#13088,#9603,.T.); #15806=EDGE_CURVE('',#13091,#13091,#7644,.T.); #15807=EDGE_CURVE('',#13091,#13092,#9604,.T.); #15808=EDGE_CURVE('',#13093,#13093,#7645,.T.); #15809=EDGE_CURVE('',#13093,#13091,#9605,.T.); #15810=EDGE_CURVE('',#13094,#13094,#7646,.T.); #15811=EDGE_CURVE('',#13094,#13095,#9606,.T.); #15812=EDGE_CURVE('',#13096,#13096,#7647,.T.); #15813=EDGE_CURVE('',#13096,#13094,#9607,.T.); #15814=EDGE_CURVE('',#13097,#13097,#7648,.T.); #15815=EDGE_CURVE('',#13097,#13098,#9608,.T.); #15816=EDGE_CURVE('',#13099,#13099,#7649,.T.); #15817=EDGE_CURVE('',#13099,#13097,#9609,.T.); #15818=EDGE_CURVE('',#13100,#13100,#7650,.T.); #15819=EDGE_CURVE('',#13100,#13101,#9610,.T.); #15820=EDGE_CURVE('',#13102,#13102,#7651,.T.); #15821=EDGE_CURVE('',#13102,#13100,#9611,.T.); #15822=EDGE_CURVE('',#13103,#13103,#7652,.T.); #15823=EDGE_CURVE('',#13103,#13104,#9612,.T.); #15824=EDGE_CURVE('',#13105,#13105,#7653,.T.); #15825=EDGE_CURVE('',#13105,#13103,#9613,.T.); #15826=EDGE_CURVE('',#13106,#13106,#7654,.T.); #15827=EDGE_CURVE('',#13106,#13107,#9614,.T.); #15828=EDGE_CURVE('',#13108,#13108,#7655,.T.); #15829=EDGE_CURVE('',#13108,#13106,#9615,.T.); #15830=EDGE_CURVE('',#13109,#13109,#7656,.T.); #15831=EDGE_CURVE('',#13109,#13110,#9616,.T.); #15832=EDGE_CURVE('',#13111,#13111,#7657,.T.); #15833=EDGE_CURVE('',#13111,#13109,#9617,.T.); #15834=EDGE_CURVE('',#13112,#13112,#7658,.T.); #15835=EDGE_CURVE('',#13112,#13113,#9618,.T.); #15836=EDGE_CURVE('',#13114,#13114,#7659,.T.); #15837=EDGE_CURVE('',#13114,#13112,#9619,.T.); #15838=EDGE_CURVE('',#13115,#13115,#7660,.T.); #15839=EDGE_CURVE('',#13115,#13116,#9620,.T.); #15840=EDGE_CURVE('',#13117,#13117,#7661,.T.); #15841=EDGE_CURVE('',#13117,#13115,#9621,.T.); #15842=EDGE_CURVE('',#13118,#13118,#7662,.T.); #15843=EDGE_CURVE('',#13118,#13119,#9622,.T.); #15844=EDGE_CURVE('',#13120,#13120,#7663,.T.); #15845=EDGE_CURVE('',#13120,#13118,#9623,.T.); #15846=EDGE_CURVE('',#13121,#13121,#7664,.T.); #15847=EDGE_CURVE('',#13121,#13122,#9624,.T.); #15848=EDGE_CURVE('',#13123,#13123,#7665,.T.); #15849=EDGE_CURVE('',#13123,#13121,#9625,.T.); #15850=EDGE_CURVE('',#13124,#13124,#7666,.T.); #15851=EDGE_CURVE('',#13124,#13125,#9626,.T.); #15852=EDGE_CURVE('',#13126,#13126,#7667,.T.); #15853=EDGE_CURVE('',#13126,#13124,#9627,.T.); #15854=EDGE_CURVE('',#13127,#13127,#7668,.T.); #15855=EDGE_CURVE('',#13127,#13128,#9628,.T.); #15856=EDGE_CURVE('',#13129,#13129,#7669,.T.); #15857=EDGE_CURVE('',#13129,#13127,#9629,.T.); #15858=EDGE_CURVE('',#13130,#13130,#7670,.T.); #15859=EDGE_CURVE('',#13130,#13131,#9630,.T.); #15860=EDGE_CURVE('',#13132,#13132,#7671,.T.); #15861=EDGE_CURVE('',#13132,#13130,#9631,.T.); #15862=EDGE_CURVE('',#13133,#13133,#7672,.T.); #15863=EDGE_CURVE('',#13133,#13134,#9632,.T.); #15864=EDGE_CURVE('',#13135,#13135,#7673,.T.); #15865=EDGE_CURVE('',#13135,#13133,#9633,.T.); #15866=EDGE_CURVE('',#13136,#13136,#7674,.T.); #15867=EDGE_CURVE('',#13136,#13137,#9634,.T.); #15868=EDGE_CURVE('',#13138,#13138,#7675,.T.); #15869=EDGE_CURVE('',#13138,#13136,#9635,.T.); #15870=EDGE_CURVE('',#13139,#13139,#7676,.T.); #15871=EDGE_CURVE('',#13139,#13140,#9636,.T.); #15872=EDGE_CURVE('',#13141,#13141,#7677,.T.); #15873=EDGE_CURVE('',#13141,#13139,#9637,.T.); #15874=EDGE_CURVE('',#13142,#13142,#7678,.T.); #15875=EDGE_CURVE('',#13142,#13143,#9638,.T.); #15876=EDGE_CURVE('',#13144,#13144,#7679,.T.); #15877=EDGE_CURVE('',#13144,#13142,#9639,.T.); #15878=EDGE_CURVE('',#13145,#13145,#7680,.T.); #15879=EDGE_CURVE('',#13145,#13146,#9640,.T.); #15880=EDGE_CURVE('',#13147,#13147,#7681,.T.); #15881=EDGE_CURVE('',#13147,#13145,#9641,.T.); #15882=EDGE_CURVE('',#13148,#13148,#7682,.T.); #15883=EDGE_CURVE('',#13148,#13149,#9642,.T.); #15884=EDGE_CURVE('',#13150,#13150,#7683,.T.); #15885=EDGE_CURVE('',#13150,#13148,#9643,.T.); #15886=EDGE_CURVE('',#13151,#13151,#7684,.T.); #15887=EDGE_CURVE('',#13151,#13152,#9644,.T.); #15888=EDGE_CURVE('',#13153,#13153,#7685,.T.); #15889=EDGE_CURVE('',#13153,#13151,#9645,.T.); #15890=EDGE_CURVE('',#13154,#13154,#7686,.T.); #15891=EDGE_CURVE('',#13154,#13155,#9646,.T.); #15892=EDGE_CURVE('',#13156,#13156,#7687,.T.); #15893=EDGE_CURVE('',#13156,#13154,#9647,.T.); #15894=EDGE_CURVE('',#13157,#13157,#7688,.T.); #15895=EDGE_CURVE('',#13157,#13158,#9648,.T.); #15896=EDGE_CURVE('',#13159,#13159,#7689,.T.); #15897=EDGE_CURVE('',#13159,#13157,#9649,.T.); #15898=EDGE_CURVE('',#13160,#13160,#7690,.T.); #15899=EDGE_CURVE('',#13160,#13161,#9650,.T.); #15900=EDGE_CURVE('',#13162,#13162,#7691,.T.); #15901=EDGE_CURVE('',#13162,#13160,#9651,.T.); #15902=EDGE_CURVE('',#13163,#13163,#7692,.T.); #15903=EDGE_CURVE('',#13163,#13164,#9652,.T.); #15904=EDGE_CURVE('',#13165,#13165,#7693,.T.); #15905=EDGE_CURVE('',#13165,#13163,#9653,.T.); #15906=EDGE_CURVE('',#13166,#13166,#7694,.T.); #15907=EDGE_CURVE('',#13166,#13167,#9654,.T.); #15908=EDGE_CURVE('',#13168,#13168,#7695,.T.); #15909=EDGE_CURVE('',#13168,#13166,#9655,.T.); #15910=EDGE_CURVE('',#13169,#13169,#7696,.T.); #15911=EDGE_CURVE('',#13169,#13170,#9656,.T.); #15912=EDGE_CURVE('',#13171,#13171,#7697,.T.); #15913=EDGE_CURVE('',#13171,#13169,#9657,.T.); #15914=EDGE_CURVE('',#13172,#13172,#7698,.T.); #15915=EDGE_CURVE('',#13172,#13173,#9658,.T.); #15916=EDGE_CURVE('',#13174,#13174,#7699,.T.); #15917=EDGE_CURVE('',#13174,#13172,#9659,.T.); #15918=EDGE_CURVE('',#13175,#13175,#7700,.T.); #15919=EDGE_CURVE('',#13175,#13176,#9660,.T.); #15920=EDGE_CURVE('',#13177,#13177,#7701,.T.); #15921=EDGE_CURVE('',#13177,#13175,#9661,.T.); #15922=EDGE_CURVE('',#13178,#13178,#7702,.T.); #15923=EDGE_CURVE('',#13178,#13179,#9662,.T.); #15924=EDGE_CURVE('',#13180,#13180,#7703,.T.); #15925=EDGE_CURVE('',#13180,#13178,#9663,.T.); #15926=EDGE_CURVE('',#13181,#13182,#9664,.T.); #15927=EDGE_CURVE('',#13183,#13181,#9665,.T.); #15928=EDGE_CURVE('',#13184,#13183,#9666,.T.); #15929=EDGE_CURVE('',#13182,#13184,#9667,.T.); #15930=EDGE_CURVE('',#13185,#13183,#9668,.T.); #15931=EDGE_CURVE('',#13185,#13186,#9669,.T.); #15932=EDGE_CURVE('',#13186,#13187,#9670,.T.); #15933=EDGE_CURVE('',#13184,#13187,#9671,.T.); #15934=EDGE_CURVE('',#13188,#13185,#9672,.T.); #15935=EDGE_CURVE('',#13189,#13188,#7379,.T.); #15936=EDGE_CURVE('',#13186,#13189,#9673,.T.); #15937=EDGE_CURVE('',#13190,#13189,#7380,.T.); #15938=EDGE_CURVE('',#13187,#13190,#9674,.T.); #15939=EDGE_CURVE('',#13188,#13191,#7381,.T.); #15940=EDGE_CURVE('',#13192,#13191,#9675,.T.); #15941=EDGE_CURVE('',#13192,#13190,#7382,.T.); #15942=EDGE_CURVE('',#13193,#13182,#9676,.T.); #15943=EDGE_CURVE('',#13194,#13193,#9677,.T.); #15944=EDGE_CURVE('',#13181,#13194,#9678,.T.); #15945=EDGE_CURVE('',#13195,#13193,#9679,.T.); #15946=EDGE_CURVE('',#13195,#13196,#9680,.T.); #15947=EDGE_CURVE('',#13194,#13196,#9681,.T.); #15948=EDGE_CURVE('',#13196,#13197,#9682,.T.); #15949=EDGE_CURVE('',#13198,#13195,#9683,.T.); #15950=EDGE_CURVE('',#13197,#13198,#9684,.T.); #15951=EDGE_CURVE('',#13191,#13197,#9685,.T.); #15952=EDGE_CURVE('',#13198,#13192,#9686,.T.); #15953=EDGE_CURVE('',#13199,#13020,#9687,.T.); #15954=EDGE_CURVE('',#13200,#13026,#7704,.T.); #15955=EDGE_CURVE('',#13200,#13201,#7705,.T.); #15956=EDGE_CURVE('',#13202,#13201,#7706,.T.); #15957=EDGE_CURVE('',#13202,#13203,#9688,.T.); #15958=EDGE_CURVE('',#13199,#13203,#7707,.T.); #15959=EDGE_CURVE('',#13006,#13199,#9689,.T.); #15960=EDGE_CURVE('',#13203,#13004,#9690,.T.); #15961=EDGE_CURVE('',#13002,#13202,#9691,.T.); #15962=EDGE_CURVE('',#13201,#13000,#9692,.T.); #15963=EDGE_CURVE('',#12998,#13200,#9693,.T.); #15964=EDGE_CURVE('',#13204,#13205,#9694,.T.); #15965=EDGE_CURVE('',#13205,#13206,#9695,.T.); #15966=EDGE_CURVE('',#13207,#13206,#9696,.T.); #15967=EDGE_CURVE('',#13207,#13204,#9697,.T.); #15968=EDGE_CURVE('',#13208,#13206,#9698,.T.); #15969=EDGE_CURVE('',#13208,#13209,#9699,.T.); #15970=EDGE_CURVE('',#13209,#13210,#9700,.T.); #15971=EDGE_CURVE('',#13207,#13210,#9701,.T.); #15972=EDGE_CURVE('',#13211,#13211,#7708,.T.); #15973=EDGE_CURVE('',#13212,#13212,#7709,.T.); #15974=EDGE_CURVE('',#13213,#13213,#7710,.T.); #15975=EDGE_CURVE('',#13214,#13214,#7711,.T.); #15976=EDGE_CURVE('',#13215,#13215,#7712,.T.); #15977=EDGE_CURVE('',#13216,#13216,#7713,.T.); #15978=EDGE_CURVE('',#13217,#13217,#7714,.T.); #15979=EDGE_CURVE('',#13218,#13218,#7715,.T.); #15980=EDGE_CURVE('',#13219,#13219,#7716,.T.); #15981=EDGE_CURVE('',#13219,#13220,#9702,.T.); #15982=EDGE_CURVE('',#13221,#13221,#7717,.T.); #15983=EDGE_CURVE('',#13221,#13219,#9703,.T.); #15984=EDGE_CURVE('',#13222,#13222,#7718,.T.); #15985=EDGE_CURVE('',#13222,#13223,#9704,.T.); #15986=EDGE_CURVE('',#13224,#13224,#7719,.T.); #15987=EDGE_CURVE('',#13224,#13222,#9705,.T.); #15988=EDGE_CURVE('',#13225,#13225,#7720,.T.); #15989=EDGE_CURVE('',#13225,#13226,#9706,.T.); #15990=EDGE_CURVE('',#13227,#13227,#7721,.T.); #15991=EDGE_CURVE('',#13227,#13225,#9707,.T.); #15992=EDGE_CURVE('',#13228,#13228,#7722,.T.); #15993=EDGE_CURVE('',#13228,#13229,#9708,.T.); #15994=EDGE_CURVE('',#13230,#13230,#7723,.T.); #15995=EDGE_CURVE('',#13230,#13228,#9709,.T.); #15996=EDGE_CURVE('',#13231,#13231,#7724,.T.); #15997=EDGE_CURVE('',#13231,#13232,#9710,.T.); #15998=EDGE_CURVE('',#13233,#13233,#7725,.T.); #15999=EDGE_CURVE('',#13233,#13231,#9711,.T.); #16000=EDGE_CURVE('',#13234,#13234,#7726,.T.); #16001=EDGE_CURVE('',#13234,#13235,#9712,.T.); #16002=EDGE_CURVE('',#13236,#13236,#7727,.T.); #16003=EDGE_CURVE('',#13236,#13234,#9713,.T.); #16004=EDGE_CURVE('',#13237,#13237,#7728,.T.); #16005=EDGE_CURVE('',#13237,#13238,#9714,.T.); #16006=EDGE_CURVE('',#13239,#13239,#7729,.T.); #16007=EDGE_CURVE('',#13239,#13237,#9715,.T.); #16008=EDGE_CURVE('',#13240,#13240,#7730,.T.); #16009=EDGE_CURVE('',#13240,#13241,#9716,.T.); #16010=EDGE_CURVE('',#13242,#13242,#7731,.T.); #16011=EDGE_CURVE('',#13242,#13240,#9717,.T.); #16012=EDGE_CURVE('',#13243,#13243,#7732,.T.); #16013=EDGE_CURVE('',#13243,#13244,#9718,.T.); #16014=EDGE_CURVE('',#13244,#13244,#7733,.T.); #16015=EDGE_CURVE('',#13245,#13246,#2254,.T.); #16016=EDGE_CURVE('',#13247,#13246,#2255,.T.); #16017=EDGE_CURVE('',#13245,#13247,#2256,.T.); #16018=EDGE_CURVE('',#13244,#13248,#9719,.T.); #16019=EDGE_CURVE('',#13245,#13246,#7734,.T.); #16020=EDGE_CURVE('',#13249,#13249,#7735,.T.); #16021=EDGE_CURVE('',#13249,#13250,#9720,.T.); #16022=EDGE_CURVE('',#13251,#13251,#7736,.T.); #16023=EDGE_CURVE('',#13251,#13249,#9721,.T.); #16024=EDGE_CURVE('',#13252,#13252,#7737,.T.); #16025=EDGE_CURVE('',#13252,#13253,#9722,.T.); #16026=EDGE_CURVE('',#13254,#13254,#7738,.T.); #16027=EDGE_CURVE('',#13254,#13252,#9723,.T.); #16028=EDGE_CURVE('',#13255,#13255,#7739,.T.); #16029=EDGE_CURVE('',#13255,#13256,#9724,.T.); #16030=EDGE_CURVE('',#13257,#13257,#7740,.T.); #16031=EDGE_CURVE('',#13257,#13255,#9725,.T.); #16032=EDGE_CURVE('',#13258,#13258,#7741,.T.); #16033=EDGE_CURVE('',#13258,#13259,#9726,.T.); #16034=EDGE_CURVE('',#13260,#13260,#7742,.T.); #16035=EDGE_CURVE('',#13260,#13258,#9727,.T.); #16036=EDGE_CURVE('',#13261,#13262,#2257,.T.); #16037=EDGE_CURVE('',#13262,#13263,#2258,.T.); #16038=EDGE_CURVE('',#13263,#13264,#7743,.T.); #16039=EDGE_CURVE('',#13264,#13265,#9728,.T.); #16040=EDGE_CURVE('',#13264,#13261,#7744,.T.); #16041=EDGE_CURVE('',#13266,#13266,#7745,.T.); #16042=EDGE_CURVE('',#13266,#13264,#9729,.T.); #16043=EDGE_CURVE('',#13263,#13261,#2259,.T.); #16044=EDGE_CURVE('',#13267,#13267,#7746,.T.); #16045=EDGE_CURVE('',#13267,#13268,#9730,.T.); #16046=EDGE_CURVE('',#13269,#13269,#7747,.T.); #16047=EDGE_CURVE('',#13269,#13267,#9731,.T.); #16048=EDGE_CURVE('',#13270,#13270,#7748,.T.); #16049=EDGE_CURVE('',#13270,#13271,#9732,.T.); #16050=EDGE_CURVE('',#13272,#13272,#7749,.T.); #16051=EDGE_CURVE('',#13272,#13270,#9733,.T.); #16052=EDGE_CURVE('',#13273,#13273,#7750,.T.); #16053=EDGE_CURVE('',#13273,#13274,#9734,.T.); #16054=EDGE_CURVE('',#13275,#13275,#7751,.T.); #16055=EDGE_CURVE('',#13275,#13273,#9735,.T.); #16056=EDGE_CURVE('',#13276,#13276,#7752,.T.); #16057=EDGE_CURVE('',#13276,#13277,#9736,.T.); #16058=EDGE_CURVE('',#13278,#13278,#7753,.T.); #16059=EDGE_CURVE('',#13278,#13276,#9737,.T.); #16060=EDGE_CURVE('',#13279,#13279,#7754,.T.); #16061=EDGE_CURVE('',#13279,#13262,#9738,.T.); #16062=EDGE_CURVE('',#13280,#13280,#7755,.T.); #16063=EDGE_CURVE('',#13280,#13281,#9739,.T.); #16064=EDGE_CURVE('',#13282,#13282,#7756,.T.); #16065=EDGE_CURVE('',#13282,#13280,#9740,.T.); #16066=EDGE_CURVE('',#13283,#13283,#7757,.T.); #16067=EDGE_CURVE('',#13283,#13284,#9741,.T.); #16068=EDGE_CURVE('',#13285,#13285,#7758,.T.); #16069=EDGE_CURVE('',#13285,#13283,#9742,.T.); #16070=EDGE_CURVE('',#13286,#13286,#7759,.T.); #16071=EDGE_CURVE('',#13286,#13287,#9743,.T.); #16072=EDGE_CURVE('',#13288,#13288,#7760,.T.); #16073=EDGE_CURVE('',#13288,#13286,#9744,.T.); #16074=EDGE_CURVE('',#13289,#13289,#7761,.T.); #16075=EDGE_CURVE('',#13289,#13290,#9745,.T.); #16076=EDGE_CURVE('',#13291,#13291,#7762,.T.); #16077=EDGE_CURVE('',#13291,#13289,#9746,.T.); #16078=EDGE_CURVE('',#13292,#13292,#7763,.T.); #16079=EDGE_CURVE('',#13292,#13293,#9747,.T.); #16080=EDGE_CURVE('',#13294,#13294,#7764,.T.); #16081=EDGE_CURVE('',#13294,#13292,#9748,.T.); #16082=EDGE_CURVE('',#13295,#13295,#7765,.T.); #16083=EDGE_CURVE('',#13295,#13296,#9749,.T.); #16084=EDGE_CURVE('',#13297,#13297,#7766,.T.); #16085=EDGE_CURVE('',#13297,#13295,#9750,.T.); #16086=EDGE_CURVE('',#13298,#13298,#7767,.T.); #16087=EDGE_CURVE('',#13298,#13299,#9751,.T.); #16088=EDGE_CURVE('',#13300,#13300,#7768,.T.); #16089=EDGE_CURVE('',#13300,#13298,#9752,.T.); #16090=EDGE_CURVE('',#13301,#13301,#7769,.T.); #16091=EDGE_CURVE('',#13301,#13302,#9753,.T.); #16092=EDGE_CURVE('',#13303,#13303,#7770,.T.); #16093=EDGE_CURVE('',#13303,#13301,#9754,.T.); #16094=EDGE_CURVE('',#13304,#13304,#7771,.T.); #16095=EDGE_CURVE('',#13304,#13305,#9755,.T.); #16096=EDGE_CURVE('',#13306,#13306,#7772,.T.); #16097=EDGE_CURVE('',#13306,#13304,#9756,.T.); #16098=EDGE_CURVE('',#13307,#13307,#7773,.T.); #16099=EDGE_CURVE('',#13307,#13308,#9757,.T.); #16100=EDGE_CURVE('',#13309,#13309,#7774,.T.); #16101=EDGE_CURVE('',#13309,#13307,#9758,.T.); #16102=EDGE_CURVE('',#13310,#13310,#7775,.T.); #16103=EDGE_CURVE('',#13310,#13311,#9759,.T.); #16104=EDGE_CURVE('',#13312,#13312,#7776,.T.); #16105=EDGE_CURVE('',#13312,#13310,#9760,.T.); #16106=EDGE_CURVE('',#13313,#13313,#7777,.T.); #16107=EDGE_CURVE('',#13313,#13279,#9761,.T.); #16108=EDGE_CURVE('',#13314,#13314,#7778,.T.); #16109=EDGE_CURVE('',#13314,#13315,#9762,.T.); #16110=EDGE_CURVE('',#13316,#13316,#7779,.T.); #16111=EDGE_CURVE('',#13316,#13314,#9763,.T.); #16112=EDGE_CURVE('',#13317,#13317,#7780,.T.); #16113=EDGE_CURVE('',#13317,#13318,#9764,.T.); #16114=EDGE_CURVE('',#13319,#13319,#7781,.T.); #16115=EDGE_CURVE('',#13319,#13317,#9765,.T.); #16116=EDGE_CURVE('',#13320,#13320,#7782,.T.); #16117=EDGE_CURVE('',#13320,#13321,#9766,.T.); #16118=EDGE_CURVE('',#13322,#13322,#7783,.T.); #16119=EDGE_CURVE('',#13322,#13320,#9767,.T.); #16120=EDGE_CURVE('',#13323,#13323,#7784,.T.); #16121=EDGE_CURVE('',#13323,#13324,#9768,.T.); #16122=EDGE_CURVE('',#13325,#13325,#7785,.T.); #16123=EDGE_CURVE('',#13325,#13323,#9769,.T.); #16124=EDGE_CURVE('',#13326,#13326,#7786,.T.); #16125=EDGE_CURVE('',#13326,#13327,#9770,.T.); #16126=EDGE_CURVE('',#13328,#13328,#7787,.T.); #16127=EDGE_CURVE('',#13328,#13326,#9771,.T.); #16128=EDGE_CURVE('',#13329,#13329,#7788,.T.); #16129=EDGE_CURVE('',#13329,#13330,#9772,.T.); #16130=EDGE_CURVE('',#13331,#13331,#7789,.T.); #16131=EDGE_CURVE('',#13331,#13329,#9773,.T.); #16132=EDGE_CURVE('',#13332,#13332,#7790,.T.); #16133=EDGE_CURVE('',#13332,#13333,#9774,.T.); #16134=EDGE_CURVE('',#13334,#13334,#7791,.T.); #16135=EDGE_CURVE('',#13334,#13332,#9775,.T.); #16136=EDGE_CURVE('',#13335,#13335,#7792,.T.); #16137=EDGE_CURVE('',#13335,#13336,#9776,.T.); #16138=EDGE_CURVE('',#13337,#13337,#7793,.T.); #16139=EDGE_CURVE('',#13337,#13335,#9777,.T.); #16140=EDGE_CURVE('',#13338,#13338,#7794,.T.); #16141=EDGE_CURVE('',#13338,#13339,#9778,.T.); #16142=EDGE_CURVE('',#13340,#13340,#7795,.T.); #16143=EDGE_CURVE('',#13340,#13338,#9779,.T.); #16144=EDGE_CURVE('',#13341,#13341,#7796,.T.); #16145=EDGE_CURVE('',#13341,#13342,#9780,.T.); #16146=EDGE_CURVE('',#13343,#13343,#7797,.T.); #16147=EDGE_CURVE('',#13343,#13341,#9781,.T.); #16148=EDGE_CURVE('',#13344,#13344,#7798,.T.); #16149=EDGE_CURVE('',#13344,#13345,#9782,.T.); #16150=EDGE_CURVE('',#13346,#13346,#7799,.T.); #16151=EDGE_CURVE('',#13346,#13344,#9783,.T.); #16152=EDGE_CURVE('',#13347,#13347,#7800,.T.); #16153=EDGE_CURVE('',#13347,#13348,#9784,.T.); #16154=EDGE_CURVE('',#13349,#13349,#7801,.T.); #16155=EDGE_CURVE('',#13349,#13347,#9785,.T.); #16156=EDGE_CURVE('',#13350,#13350,#7802,.T.); #16157=EDGE_CURVE('',#13350,#13351,#9786,.T.); #16158=EDGE_CURVE('',#13352,#13352,#7803,.T.); #16159=EDGE_CURVE('',#13352,#13350,#9787,.T.); #16160=EDGE_CURVE('',#13353,#13353,#7804,.T.); #16161=EDGE_CURVE('',#13353,#13354,#9788,.T.); #16162=EDGE_CURVE('',#13355,#13355,#7805,.T.); #16163=EDGE_CURVE('',#13355,#13353,#9789,.T.); #16164=EDGE_CURVE('',#13356,#13356,#7806,.T.); #16165=EDGE_CURVE('',#13356,#13357,#9790,.T.); #16166=EDGE_CURVE('',#13358,#13358,#7807,.T.); #16167=EDGE_CURVE('',#13358,#13356,#9791,.T.); #16168=EDGE_CURVE('',#13359,#13359,#7808,.T.); #16169=EDGE_CURVE('',#13359,#13360,#9792,.T.); #16170=EDGE_CURVE('',#13361,#13361,#7809,.T.); #16171=EDGE_CURVE('',#13361,#13359,#9793,.T.); #16172=EDGE_CURVE('',#13362,#13362,#7810,.T.); #16173=EDGE_CURVE('',#13362,#13363,#9794,.T.); #16174=EDGE_CURVE('',#13364,#13364,#7811,.T.); #16175=EDGE_CURVE('',#13364,#13362,#9795,.T.); #16176=EDGE_CURVE('',#13365,#13365,#7812,.T.); #16177=EDGE_CURVE('',#13365,#13366,#9796,.T.); #16178=EDGE_CURVE('',#13367,#13367,#7813,.T.); #16179=EDGE_CURVE('',#13367,#13365,#9797,.T.); #16180=EDGE_CURVE('',#13368,#13368,#7814,.T.); #16181=EDGE_CURVE('',#13368,#13369,#9798,.T.); #16182=EDGE_CURVE('',#13370,#13370,#7815,.T.); #16183=EDGE_CURVE('',#13370,#13368,#9799,.T.); #16184=EDGE_CURVE('',#13371,#13371,#7816,.T.); #16185=EDGE_CURVE('',#13371,#13372,#9800,.T.); #16186=EDGE_CURVE('',#13373,#13373,#7817,.T.); #16187=EDGE_CURVE('',#13373,#13371,#9801,.T.); #16188=EDGE_CURVE('',#13374,#13374,#7818,.T.); #16189=EDGE_CURVE('',#13374,#13375,#9802,.T.); #16190=EDGE_CURVE('',#13376,#13376,#7819,.T.); #16191=EDGE_CURVE('',#13376,#13374,#9803,.T.); #16192=EDGE_CURVE('',#13377,#13377,#7820,.T.); #16193=EDGE_CURVE('',#13377,#13378,#9804,.T.); #16194=EDGE_CURVE('',#13379,#13379,#7821,.T.); #16195=EDGE_CURVE('',#13379,#13377,#9805,.T.); #16196=EDGE_CURVE('',#13380,#13380,#7822,.T.); #16197=EDGE_CURVE('',#13380,#13381,#9806,.T.); #16198=EDGE_CURVE('',#13382,#13382,#7823,.T.); #16199=EDGE_CURVE('',#13382,#13380,#9807,.T.); #16200=EDGE_CURVE('',#13383,#13383,#7824,.T.); #16201=EDGE_CURVE('',#13383,#13384,#9808,.T.); #16202=EDGE_CURVE('',#13385,#13385,#7825,.T.); #16203=EDGE_CURVE('',#13385,#13383,#9809,.T.); #16204=EDGE_CURVE('',#13386,#13386,#7826,.T.); #16205=EDGE_CURVE('',#13386,#13387,#9810,.T.); #16206=EDGE_CURVE('',#13388,#13388,#7827,.T.); #16207=EDGE_CURVE('',#13388,#13386,#9811,.T.); #16208=EDGE_CURVE('',#13389,#13389,#7828,.T.); #16209=EDGE_CURVE('',#13389,#13390,#9812,.T.); #16210=EDGE_CURVE('',#13391,#13391,#7829,.T.); #16211=EDGE_CURVE('',#13391,#13389,#9813,.T.); #16212=EDGE_CURVE('',#13392,#13392,#7830,.T.); #16213=EDGE_CURVE('',#13392,#13393,#9814,.T.); #16214=EDGE_CURVE('',#13394,#13394,#7831,.T.); #16215=EDGE_CURVE('',#13394,#13392,#9815,.T.); #16216=EDGE_CURVE('',#13395,#13395,#7832,.T.); #16217=EDGE_CURVE('',#13395,#13396,#9816,.T.); #16218=EDGE_CURVE('',#13397,#13397,#7833,.T.); #16219=EDGE_CURVE('',#13397,#13395,#9817,.T.); #16220=EDGE_CURVE('',#13398,#13398,#7834,.T.); #16221=EDGE_CURVE('',#13398,#13399,#9818,.T.); #16222=EDGE_CURVE('',#13400,#13400,#7835,.T.); #16223=EDGE_CURVE('',#13400,#13398,#9819,.T.); #16224=EDGE_CURVE('',#13401,#13401,#7836,.T.); #16225=EDGE_CURVE('',#13401,#13402,#9820,.T.); #16226=EDGE_CURVE('',#13403,#13403,#7837,.T.); #16227=EDGE_CURVE('',#13403,#13401,#9821,.T.); #16228=EDGE_CURVE('',#13404,#13404,#7838,.T.); #16229=EDGE_CURVE('',#13404,#13405,#9822,.T.); #16230=EDGE_CURVE('',#13406,#13406,#7839,.T.); #16231=EDGE_CURVE('',#13406,#13404,#9823,.T.); #16232=EDGE_CURVE('',#13407,#13407,#7840,.T.); #16233=EDGE_CURVE('',#13407,#13408,#9824,.T.); #16234=EDGE_CURVE('',#13409,#13409,#7841,.T.); #16235=EDGE_CURVE('',#13409,#13407,#9825,.T.); #16236=EDGE_CURVE('',#13410,#13410,#7842,.T.); #16237=EDGE_CURVE('',#13410,#13411,#9826,.T.); #16238=EDGE_CURVE('',#13412,#13412,#7843,.T.); #16239=EDGE_CURVE('',#13412,#13410,#9827,.T.); #16240=EDGE_CURVE('',#13413,#13413,#7844,.T.); #16241=EDGE_CURVE('',#13413,#13414,#9828,.T.); #16242=EDGE_CURVE('',#13415,#13415,#7845,.T.); #16243=EDGE_CURVE('',#13415,#13413,#9829,.T.); #16244=EDGE_CURVE('',#13416,#13416,#7846,.T.); #16245=EDGE_CURVE('',#13416,#13417,#9830,.T.); #16246=EDGE_CURVE('',#13418,#13418,#7847,.T.); #16247=EDGE_CURVE('',#13418,#13416,#9831,.T.); #16248=EDGE_CURVE('',#13419,#13419,#7848,.T.); #16249=EDGE_CURVE('',#13419,#13420,#9832,.T.); #16250=EDGE_CURVE('',#13421,#13421,#7849,.T.); #16251=EDGE_CURVE('',#13421,#13419,#9833,.T.); #16252=EDGE_CURVE('',#13422,#13422,#7850,.T.); #16253=EDGE_CURVE('',#13422,#13423,#9834,.T.); #16254=EDGE_CURVE('',#13424,#13424,#7851,.T.); #16255=EDGE_CURVE('',#13424,#13422,#9835,.T.); #16256=EDGE_CURVE('',#13425,#13425,#7852,.T.); #16257=EDGE_CURVE('',#13425,#13426,#9836,.T.); #16258=EDGE_CURVE('',#13427,#13427,#7853,.T.); #16259=EDGE_CURVE('',#13427,#13425,#9837,.T.); #16260=EDGE_CURVE('',#13428,#13428,#7854,.T.); #16261=EDGE_CURVE('',#13428,#13429,#9838,.T.); #16262=EDGE_CURVE('',#13430,#13430,#7855,.T.); #16263=EDGE_CURVE('',#13430,#13428,#9839,.T.); #16264=EDGE_CURVE('',#13431,#13431,#7856,.T.); #16265=EDGE_CURVE('',#13431,#13432,#9840,.T.); #16266=EDGE_CURVE('',#13433,#13433,#7857,.T.); #16267=EDGE_CURVE('',#13433,#13431,#9841,.T.); #16268=EDGE_CURVE('',#13434,#13434,#7858,.T.); #16269=EDGE_CURVE('',#13434,#13435,#9842,.T.); #16270=EDGE_CURVE('',#13436,#13436,#7859,.T.); #16271=EDGE_CURVE('',#13436,#13434,#9843,.T.); #16272=EDGE_CURVE('',#13437,#13437,#7860,.T.); #16273=EDGE_CURVE('',#13437,#13438,#9844,.T.); #16274=EDGE_CURVE('',#13439,#13439,#7861,.T.); #16275=EDGE_CURVE('',#13439,#13437,#9845,.T.); #16276=EDGE_CURVE('',#13440,#13440,#7862,.T.); #16277=EDGE_CURVE('',#13440,#13441,#9846,.T.); #16278=EDGE_CURVE('',#13442,#13442,#7863,.T.); #16279=EDGE_CURVE('',#13442,#13440,#9847,.T.); #16280=EDGE_CURVE('',#13443,#13443,#7864,.T.); #16281=EDGE_CURVE('',#13443,#13444,#9848,.T.); #16282=EDGE_CURVE('',#13445,#13445,#7865,.T.); #16283=EDGE_CURVE('',#13445,#13443,#9849,.T.); #16284=EDGE_CURVE('',#13446,#13446,#7866,.T.); #16285=EDGE_CURVE('',#13446,#13447,#9850,.T.); #16286=EDGE_CURVE('',#13448,#13448,#7867,.T.); #16287=EDGE_CURVE('',#13448,#13446,#9851,.T.); #16288=EDGE_CURVE('',#13449,#13449,#7868,.T.); #16289=EDGE_CURVE('',#13449,#13450,#9852,.T.); #16290=EDGE_CURVE('',#13451,#13451,#7869,.T.); #16291=EDGE_CURVE('',#13451,#13449,#9853,.T.); #16292=EDGE_CURVE('',#13452,#13452,#7870,.T.); #16293=EDGE_CURVE('',#13452,#13453,#9854,.T.); #16294=EDGE_CURVE('',#13454,#13454,#7871,.T.); #16295=EDGE_CURVE('',#13454,#13452,#9855,.T.); #16296=EDGE_CURVE('',#13455,#13455,#7872,.T.); #16297=EDGE_CURVE('',#13455,#13456,#9856,.T.); #16298=EDGE_CURVE('',#13457,#13457,#7873,.T.); #16299=EDGE_CURVE('',#13457,#13455,#9857,.T.); #16300=EDGE_CURVE('',#13458,#13458,#7874,.T.); #16301=EDGE_CURVE('',#13458,#13459,#9858,.T.); #16302=EDGE_CURVE('',#13460,#13460,#7875,.T.); #16303=EDGE_CURVE('',#13460,#13458,#9859,.T.); #16304=EDGE_CURVE('',#13461,#13461,#7876,.T.); #16305=EDGE_CURVE('',#13461,#13462,#9860,.T.); #16306=EDGE_CURVE('',#13463,#13463,#7877,.T.); #16307=EDGE_CURVE('',#13463,#13461,#9861,.T.); #16308=EDGE_CURVE('',#13464,#13464,#7878,.T.); #16309=EDGE_CURVE('',#13464,#13465,#9862,.T.); #16310=EDGE_CURVE('',#13466,#13466,#7879,.T.); #16311=EDGE_CURVE('',#13466,#13464,#9863,.T.); #16312=EDGE_CURVE('',#13467,#13467,#7880,.T.); #16313=EDGE_CURVE('',#13467,#13468,#9864,.T.); #16314=EDGE_CURVE('',#13469,#13469,#7881,.T.); #16315=EDGE_CURVE('',#13469,#13467,#9865,.T.); #16316=EDGE_CURVE('',#13470,#13470,#7882,.T.); #16317=EDGE_CURVE('',#13470,#13471,#9866,.T.); #16318=EDGE_CURVE('',#13472,#13472,#7883,.T.); #16319=EDGE_CURVE('',#13472,#13470,#9867,.T.); #16320=EDGE_CURVE('',#13473,#13473,#7884,.T.); #16321=EDGE_CURVE('',#13473,#13474,#9868,.T.); #16322=EDGE_CURVE('',#13475,#13475,#7885,.T.); #16323=EDGE_CURVE('',#13475,#13473,#9869,.T.); #16324=EDGE_CURVE('',#13476,#13476,#7886,.T.); #16325=EDGE_CURVE('',#13476,#13477,#9870,.T.); #16326=EDGE_CURVE('',#13478,#13478,#7887,.T.); #16327=EDGE_CURVE('',#13478,#13476,#9871,.T.); #16328=EDGE_CURVE('',#13479,#13479,#7888,.T.); #16329=EDGE_CURVE('',#13479,#13480,#9872,.T.); #16330=EDGE_CURVE('',#13481,#13481,#7889,.T.); #16331=EDGE_CURVE('',#13481,#13479,#9873,.T.); #16332=EDGE_CURVE('',#13482,#13482,#7890,.T.); #16333=EDGE_CURVE('',#13482,#13483,#9874,.T.); #16334=EDGE_CURVE('',#13484,#13484,#7891,.T.); #16335=EDGE_CURVE('',#13484,#13482,#9875,.T.); #16336=EDGE_CURVE('',#13485,#13485,#7892,.T.); #16337=EDGE_CURVE('',#13485,#13486,#9876,.T.); #16338=EDGE_CURVE('',#13487,#13487,#7893,.T.); #16339=EDGE_CURVE('',#13487,#13485,#9877,.T.); #16340=EDGE_CURVE('',#13488,#13488,#7894,.T.); #16341=EDGE_CURVE('',#13488,#13489,#9878,.T.); #16342=EDGE_CURVE('',#13218,#13488,#9879,.T.); #16343=EDGE_CURVE('',#13490,#13490,#7895,.T.); #16344=EDGE_CURVE('',#13490,#13491,#9880,.T.); #16345=EDGE_CURVE('',#13217,#13490,#9881,.T.); #16346=EDGE_CURVE('',#13492,#13492,#7896,.T.); #16347=EDGE_CURVE('',#13492,#13493,#9882,.T.); #16348=EDGE_CURVE('',#13216,#13492,#9883,.T.); #16349=EDGE_CURVE('',#13494,#13494,#7897,.T.); #16350=EDGE_CURVE('',#13494,#13495,#9884,.T.); #16351=EDGE_CURVE('',#13215,#13494,#9885,.T.); #16352=EDGE_CURVE('',#13496,#13496,#7898,.T.); #16353=EDGE_CURVE('',#13496,#13497,#9886,.T.); #16354=EDGE_CURVE('',#13214,#13496,#9887,.T.); #16355=EDGE_CURVE('',#13498,#13498,#7899,.T.); #16356=EDGE_CURVE('',#13498,#13499,#9888,.T.); #16357=EDGE_CURVE('',#13213,#13498,#9889,.T.); #16358=EDGE_CURVE('',#13500,#13500,#7900,.T.); #16359=EDGE_CURVE('',#13500,#13501,#9890,.T.); #16360=EDGE_CURVE('',#13212,#13500,#9891,.T.); #16361=EDGE_CURVE('',#13502,#13502,#7901,.T.); #16362=EDGE_CURVE('',#13502,#13503,#9892,.T.); #16363=EDGE_CURVE('',#13211,#13502,#9893,.T.); #16364=EDGE_CURVE('',#13504,#13504,#7902,.T.); #16365=EDGE_CURVE('',#13504,#13505,#9894,.T.); #16366=EDGE_CURVE('',#13506,#13506,#7903,.T.); #16367=EDGE_CURVE('',#13506,#13504,#9895,.T.); #16368=EDGE_CURVE('',#13507,#13507,#7904,.T.); #16369=EDGE_CURVE('',#13507,#13508,#9896,.T.); #16370=EDGE_CURVE('',#13509,#13509,#7905,.T.); #16371=EDGE_CURVE('',#13509,#13507,#9897,.T.); #16372=EDGE_CURVE('',#13510,#13510,#7906,.T.); #16373=EDGE_CURVE('',#13510,#13511,#9898,.T.); #16374=EDGE_CURVE('',#13512,#13512,#7907,.T.); #16375=EDGE_CURVE('',#13512,#13510,#9899,.T.); #16376=EDGE_CURVE('',#13513,#13513,#7908,.T.); #16377=EDGE_CURVE('',#13513,#13514,#9900,.T.); #16378=EDGE_CURVE('',#13515,#13515,#7909,.T.); #16379=EDGE_CURVE('',#13515,#13513,#9901,.T.); #16380=EDGE_CURVE('',#13516,#13516,#7910,.T.); #16381=EDGE_CURVE('',#13516,#13517,#9902,.T.); #16382=EDGE_CURVE('',#13518,#13518,#7911,.T.); #16383=EDGE_CURVE('',#13518,#13516,#9903,.T.); #16384=EDGE_CURVE('',#13519,#13519,#7912,.T.); #16385=EDGE_CURVE('',#13519,#13520,#9904,.T.); #16386=EDGE_CURVE('',#13521,#13521,#7913,.T.); #16387=EDGE_CURVE('',#13521,#13519,#9905,.T.); #16388=EDGE_CURVE('',#13522,#13522,#7914,.T.); #16389=EDGE_CURVE('',#13522,#13523,#9906,.T.); #16390=EDGE_CURVE('',#13524,#13524,#7915,.T.); #16391=EDGE_CURVE('',#13524,#13522,#9907,.T.); #16392=EDGE_CURVE('',#13525,#13525,#7916,.T.); #16393=EDGE_CURVE('',#13525,#13526,#9908,.T.); #16394=EDGE_CURVE('',#13527,#13527,#7917,.T.); #16395=EDGE_CURVE('',#13527,#13525,#9909,.T.); #16396=EDGE_CURVE('',#13528,#13528,#7918,.T.); #16397=EDGE_CURVE('',#13528,#13529,#9910,.T.); #16398=EDGE_CURVE('',#13530,#13530,#7919,.T.); #16399=EDGE_CURVE('',#13530,#13528,#9911,.T.); #16400=EDGE_CURVE('',#13531,#13531,#7920,.T.); #16401=EDGE_CURVE('',#13531,#13532,#9912,.T.); #16402=EDGE_CURVE('',#13533,#13533,#7921,.T.); #16403=EDGE_CURVE('',#13533,#13531,#9913,.T.); #16404=EDGE_CURVE('',#13534,#13534,#7922,.T.); #16405=EDGE_CURVE('',#13534,#13535,#9914,.T.); #16406=EDGE_CURVE('',#13536,#13536,#7923,.T.); #16407=EDGE_CURVE('',#13536,#13534,#9915,.T.); #16408=EDGE_CURVE('',#13537,#13537,#7924,.T.); #16409=EDGE_CURVE('',#13537,#13538,#9916,.T.); #16410=EDGE_CURVE('',#13539,#13539,#7925,.T.); #16411=EDGE_CURVE('',#13539,#13537,#9917,.T.); #16412=EDGE_CURVE('',#13540,#13540,#7926,.T.); #16413=EDGE_CURVE('',#13540,#13541,#9918,.T.); #16414=EDGE_CURVE('',#13542,#13542,#7927,.T.); #16415=EDGE_CURVE('',#13542,#13540,#9919,.T.); #16416=EDGE_CURVE('',#13543,#13543,#7928,.T.); #16417=EDGE_CURVE('',#13543,#13544,#9920,.T.); #16418=EDGE_CURVE('',#13545,#13545,#7929,.T.); #16419=EDGE_CURVE('',#13545,#13543,#9921,.T.); #16420=EDGE_CURVE('',#13546,#13546,#7930,.T.); #16421=EDGE_CURVE('',#13546,#13547,#9922,.T.); #16422=EDGE_CURVE('',#13548,#13548,#7931,.T.); #16423=EDGE_CURVE('',#13548,#13546,#9923,.T.); #16424=EDGE_CURVE('',#13549,#13549,#7932,.T.); #16425=EDGE_CURVE('',#13549,#13247,#9924,.T.); #16426=EDGE_CURVE('',#13550,#13551,#9925,.T.); #16427=EDGE_CURVE('',#13210,#13550,#9926,.T.); #16428=EDGE_CURVE('',#13551,#13209,#9927,.T.); #16429=EDGE_CURVE('',#13550,#13552,#9928,.T.); #16430=EDGE_CURVE('',#13552,#13553,#9929,.T.); #16431=EDGE_CURVE('',#13553,#13554,#9930,.T.); #16432=EDGE_CURVE('',#13555,#13554,#7383,.T.); #16433=EDGE_CURVE('',#13204,#13555,#9931,.T.); #16434=EDGE_CURVE('',#13556,#13557,#7933,.T.); #16435=EDGE_CURVE('',#13556,#13558,#9932,.T.); #16436=EDGE_CURVE('',#13559,#13558,#7934,.T.); #16437=EDGE_CURVE('',#13559,#13560,#7935,.T.); #16438=EDGE_CURVE('',#13560,#13561,#7936,.T.); #16439=EDGE_CURVE('',#13561,#13562,#9933,.T.); #16440=EDGE_CURVE('',#13562,#13563,#7937,.T.); #16441=EDGE_CURVE('',#13563,#13564,#9934,.T.); #16442=EDGE_CURVE('',#13564,#13565,#7938,.T.); #16443=EDGE_CURVE('',#13565,#13566,#9935,.T.); #16444=EDGE_CURVE('',#13566,#13567,#7939,.T.); #16445=EDGE_CURVE('',#13567,#13557,#9936,.T.); #16446=EDGE_CURVE('',#13568,#13551,#9937,.T.); #16447=EDGE_CURVE('',#13552,#13568,#9938,.T.); #16448=EDGE_CURVE('',#13568,#13569,#9939,.T.); #16449=EDGE_CURVE('',#13569,#13570,#9940,.T.); #16450=EDGE_CURVE('',#13570,#13571,#9941,.T.); #16451=EDGE_CURVE('',#13571,#13553,#9942,.T.); #16452=EDGE_CURVE('',#13551,#13572,#9943,.T.); #16453=EDGE_CURVE('',#13569,#13572,#9944,.T.); #16454=EDGE_CURVE('',#13572,#13208,#9945,.T.); #16455=EDGE_CURVE('',#13573,#13205,#9946,.T.); #16456=EDGE_CURVE('',#13574,#13573,#7384,.T.); #16457=EDGE_CURVE('',#13574,#13570,#9947,.T.); #16458=EDGE_CURVE('',#13575,#13576,#7940,.T.); #16459=EDGE_CURVE('',#13575,#13577,#9948,.T.); #16460=EDGE_CURVE('',#13577,#13578,#7941,.T.); #16461=EDGE_CURVE('',#13578,#13579,#9949,.T.); #16462=EDGE_CURVE('',#13579,#13580,#7942,.T.); #16463=EDGE_CURVE('',#13580,#13581,#9950,.T.); #16464=EDGE_CURVE('',#13581,#13582,#7943,.T.); #16465=EDGE_CURVE('',#13582,#13583,#9951,.T.); #16466=EDGE_CURVE('',#13583,#13584,#7944,.T.); #16467=EDGE_CURVE('',#13584,#13585,#7945,.T.); #16468=EDGE_CURVE('',#13586,#13585,#7946,.T.); #16469=EDGE_CURVE('',#13586,#13576,#9952,.T.); #16470=EDGE_CURVE('',#13576,#13556,#9953,.T.); #16471=EDGE_CURVE('',#13557,#13575,#9954,.T.); #16472=EDGE_CURVE('',#13577,#13567,#9955,.T.); #16473=EDGE_CURVE('',#13558,#13586,#9956,.T.); #16474=EDGE_CURVE('',#13585,#13559,#9957,.T.); #16475=EDGE_CURVE('',#13578,#13566,#9958,.T.); #16476=EDGE_CURVE('',#13581,#13563,#9959,.T.); #16477=EDGE_CURVE('',#13582,#13562,#9960,.T.); #16478=EDGE_CURVE('',#13583,#13561,#9961,.T.); #16479=EDGE_CURVE('',#13560,#13584,#9962,.T.); #16480=EDGE_CURVE('',#13579,#13565,#9963,.T.); #16481=EDGE_CURVE('',#13580,#13564,#9964,.T.); #16482=EDGE_CURVE('',#13571,#13587,#9965,.T.); #16483=EDGE_CURVE('',#13554,#13587,#9966,.T.); #16484=EDGE_CURVE('',#13588,#13574,#7385,.T.); #16485=EDGE_CURVE('',#13589,#13588,#9967,.T.); #16486=EDGE_CURVE('',#13587,#13589,#7386,.T.); #16487=EDGE_CURVE('',#13590,#13589,#7387,.T.); #16488=EDGE_CURVE('',#13555,#13590,#9968,.T.); #16489=EDGE_CURVE('',#13588,#13590,#7388,.T.); #16490=EDGE_CURVE('',#13573,#13590,#9969,.T.); #16491=EDGE_CURVE('',#13591,#13591,#7947,.T.); #16492=EDGE_CURVE('',#13591,#13592,#9970,.T.); #16493=EDGE_CURVE('',#13592,#13592,#7948,.T.); #16494=EDGE_CURVE('',#13593,#13593,#7949,.T.); #16495=EDGE_CURVE('',#13593,#13594,#9971,.T.); #16496=EDGE_CURVE('',#13594,#13594,#7950,.T.); #16497=EDGE_CURVE('',#13595,#13595,#7951,.T.); #16498=EDGE_CURVE('',#13595,#13596,#9972,.T.); #16499=EDGE_CURVE('',#13596,#13596,#7952,.T.); #16500=EDGE_CURVE('',#13597,#13597,#7953,.T.); #16501=EDGE_CURVE('',#13597,#13598,#9973,.T.); #16502=EDGE_CURVE('',#13598,#13598,#7954,.T.); #16503=EDGE_CURVE('',#13599,#13599,#7955,.T.); #16504=EDGE_CURVE('',#13599,#13600,#9974,.T.); #16505=EDGE_CURVE('',#13600,#13600,#7956,.T.); #16506=EDGE_CURVE('',#13601,#13601,#7957,.T.); #16507=EDGE_CURVE('',#13601,#13602,#9975,.T.); #16508=EDGE_CURVE('',#13602,#13602,#7958,.T.); #16509=EDGE_CURVE('',#13603,#13603,#7959,.T.); #16510=EDGE_CURVE('',#13603,#13604,#9976,.T.); #16511=EDGE_CURVE('',#13604,#13604,#7960,.T.); #16512=EDGE_CURVE('',#13605,#13605,#7961,.T.); #16513=EDGE_CURVE('',#13605,#13606,#9977,.T.); #16514=EDGE_CURVE('',#13606,#13606,#7962,.T.); #16515=EDGE_CURVE('',#13607,#13607,#7963,.T.); #16516=EDGE_CURVE('',#13607,#13608,#9978,.T.); #16517=EDGE_CURVE('',#13608,#13608,#7964,.T.); #16518=EDGE_CURVE('',#13609,#13609,#7965,.T.); #16519=EDGE_CURVE('',#13609,#13610,#9979,.T.); #16520=EDGE_CURVE('',#13610,#13610,#7966,.T.); #16521=EDGE_CURVE('',#13611,#13611,#7967,.T.); #16522=EDGE_CURVE('',#13611,#13612,#9980,.T.); #16523=EDGE_CURVE('',#13612,#13612,#7968,.T.); #16524=EDGE_CURVE('',#13613,#13613,#7969,.T.); #16525=EDGE_CURVE('',#13613,#13614,#9981,.T.); #16526=EDGE_CURVE('',#13614,#13614,#7970,.T.); #16527=EDGE_CURVE('',#13615,#13615,#7971,.T.); #16528=EDGE_CURVE('',#13615,#13616,#9982,.T.); #16529=EDGE_CURVE('',#13616,#13616,#7972,.T.); #16530=EDGE_CURVE('',#13617,#13617,#7973,.T.); #16531=EDGE_CURVE('',#13617,#13618,#9983,.T.); #16532=EDGE_CURVE('',#13618,#13618,#7974,.T.); #16533=EDGE_CURVE('',#13619,#13619,#7975,.T.); #16534=EDGE_CURVE('',#13619,#13620,#9984,.T.); #16535=EDGE_CURVE('',#13620,#13620,#7976,.T.); #16536=EDGE_CURVE('',#13621,#13621,#7977,.T.); #16537=EDGE_CURVE('',#13621,#13622,#9985,.T.); #16538=EDGE_CURVE('',#13622,#13622,#7978,.T.); #16539=EDGE_CURVE('',#13623,#13623,#7979,.T.); #16540=EDGE_CURVE('',#13623,#13624,#9986,.T.); #16541=EDGE_CURVE('',#13624,#13624,#7980,.T.); #16542=EDGE_CURVE('',#13625,#13625,#7981,.T.); #16543=EDGE_CURVE('',#13625,#13626,#9987,.T.); #16544=EDGE_CURVE('',#13626,#13626,#7982,.T.); #16545=EDGE_CURVE('',#13627,#13627,#7983,.T.); #16546=EDGE_CURVE('',#13627,#13628,#9988,.T.); #16547=EDGE_CURVE('',#13628,#13628,#7984,.T.); #16548=EDGE_CURVE('',#13629,#13629,#7985,.T.); #16549=EDGE_CURVE('',#13629,#13630,#9989,.T.); #16550=EDGE_CURVE('',#13630,#13630,#7986,.T.); #16551=EDGE_CURVE('',#13631,#13631,#7987,.T.); #16552=EDGE_CURVE('',#13631,#13632,#9990,.T.); #16553=EDGE_CURVE('',#13632,#13632,#7988,.T.); #16554=EDGE_CURVE('',#13633,#13633,#7989,.T.); #16555=EDGE_CURVE('',#13633,#13634,#9991,.T.); #16556=EDGE_CURVE('',#13634,#13634,#7990,.T.); #16557=EDGE_CURVE('',#13635,#13635,#7991,.T.); #16558=EDGE_CURVE('',#13635,#13636,#9992,.T.); #16559=EDGE_CURVE('',#13636,#13636,#7992,.T.); #16560=EDGE_CURVE('',#13637,#13637,#7993,.T.); #16561=EDGE_CURVE('',#13637,#13638,#9993,.T.); #16562=EDGE_CURVE('',#13638,#13638,#7994,.T.); #16563=EDGE_CURVE('',#13639,#13639,#7995,.T.); #16564=EDGE_CURVE('',#13639,#13640,#9994,.T.); #16565=EDGE_CURVE('',#13640,#13640,#7996,.T.); #16566=EDGE_CURVE('',#13641,#13641,#7997,.T.); #16567=EDGE_CURVE('',#13641,#13642,#9995,.T.); #16568=EDGE_CURVE('',#13642,#13642,#7998,.T.); #16569=EDGE_CURVE('',#13643,#13643,#7999,.T.); #16570=EDGE_CURVE('',#13643,#13644,#9996,.T.); #16571=EDGE_CURVE('',#13644,#13644,#8000,.T.); #16572=EDGE_CURVE('',#13645,#13645,#8001,.T.); #16573=EDGE_CURVE('',#13645,#13646,#9997,.T.); #16574=EDGE_CURVE('',#13646,#13646,#8002,.T.); #16575=EDGE_CURVE('',#13647,#13647,#8003,.T.); #16576=EDGE_CURVE('',#13647,#13648,#9998,.T.); #16577=EDGE_CURVE('',#13648,#13648,#8004,.T.); #16578=EDGE_CURVE('',#13649,#13649,#8005,.T.); #16579=EDGE_CURVE('',#13649,#13650,#9999,.T.); #16580=EDGE_CURVE('',#13650,#13650,#8006,.T.); #16581=EDGE_CURVE('',#13651,#13651,#8007,.T.); #16582=EDGE_CURVE('',#13651,#13652,#10000,.T.); #16583=EDGE_CURVE('',#13652,#13652,#8008,.T.); #16584=EDGE_CURVE('',#13653,#13653,#8009,.T.); #16585=EDGE_CURVE('',#13653,#13654,#10001,.T.); #16586=EDGE_CURVE('',#13654,#13654,#8010,.T.); #16587=EDGE_CURVE('',#13655,#13655,#8011,.T.); #16588=EDGE_CURVE('',#13655,#13656,#10002,.T.); #16589=EDGE_CURVE('',#13656,#13656,#8012,.T.); #16590=EDGE_CURVE('',#13657,#13657,#8013,.T.); #16591=EDGE_CURVE('',#13657,#13658,#10003,.T.); #16592=EDGE_CURVE('',#13658,#13658,#8014,.T.); #16593=EDGE_CURVE('',#13659,#13659,#8015,.T.); #16594=EDGE_CURVE('',#13659,#13660,#10004,.T.); #16595=EDGE_CURVE('',#13660,#13660,#8016,.T.); #16596=EDGE_CURVE('',#13661,#13661,#8017,.T.); #16597=EDGE_CURVE('',#13661,#13662,#10005,.T.); #16598=EDGE_CURVE('',#13662,#13662,#8018,.T.); #16599=EDGE_CURVE('',#13663,#13663,#8019,.T.); #16600=EDGE_CURVE('',#13663,#13664,#10006,.T.); #16601=EDGE_CURVE('',#13664,#13664,#8020,.T.); #16602=EDGE_CURVE('',#13665,#13665,#8021,.T.); #16603=EDGE_CURVE('',#13665,#13666,#10007,.T.); #16604=EDGE_CURVE('',#13666,#13666,#8022,.T.); #16605=EDGE_CURVE('',#13667,#13667,#8023,.T.); #16606=EDGE_CURVE('',#13667,#13668,#10008,.T.); #16607=EDGE_CURVE('',#13668,#13668,#8024,.T.); #16608=EDGE_CURVE('',#13669,#13669,#8025,.T.); #16609=EDGE_CURVE('',#13669,#13670,#10009,.T.); #16610=EDGE_CURVE('',#13670,#13670,#8026,.T.); #16611=EDGE_CURVE('',#13671,#13671,#8027,.T.); #16612=EDGE_CURVE('',#13671,#13672,#10010,.T.); #16613=EDGE_CURVE('',#13672,#13672,#8028,.T.); #16614=EDGE_CURVE('',#13673,#13673,#8029,.T.); #16615=EDGE_CURVE('',#13673,#13674,#10011,.T.); #16616=EDGE_CURVE('',#13674,#13674,#8030,.T.); #16617=EDGE_CURVE('',#13675,#13675,#8031,.T.); #16618=EDGE_CURVE('',#13675,#13676,#10012,.T.); #16619=EDGE_CURVE('',#13676,#13676,#8032,.T.); #16620=EDGE_CURVE('',#13677,#13677,#8033,.T.); #16621=EDGE_CURVE('',#13677,#13678,#10013,.T.); #16622=EDGE_CURVE('',#13678,#13678,#8034,.T.); #16623=EDGE_CURVE('',#13679,#13679,#8035,.T.); #16624=EDGE_CURVE('',#13679,#13680,#10014,.T.); #16625=EDGE_CURVE('',#13680,#13680,#8036,.T.); #16626=EDGE_CURVE('',#13681,#13681,#8037,.T.); #16627=EDGE_CURVE('',#13681,#13682,#10015,.T.); #16628=EDGE_CURVE('',#13682,#13682,#8038,.T.); #16629=EDGE_CURVE('',#13683,#13683,#8039,.T.); #16630=EDGE_CURVE('',#13683,#13684,#10016,.T.); #16631=EDGE_CURVE('',#13684,#13684,#8040,.T.); #16632=EDGE_CURVE('',#13685,#13685,#8041,.T.); #16633=EDGE_CURVE('',#13685,#13686,#10017,.T.); #16634=EDGE_CURVE('',#13686,#13686,#8042,.T.); #16635=EDGE_CURVE('',#13687,#13687,#8043,.T.); #16636=EDGE_CURVE('',#13687,#13688,#10018,.T.); #16637=EDGE_CURVE('',#13688,#13688,#8044,.T.); #16638=EDGE_CURVE('',#13689,#13689,#8045,.T.); #16639=EDGE_CURVE('',#13689,#13690,#10019,.T.); #16640=EDGE_CURVE('',#13690,#13690,#8046,.T.); #16641=EDGE_CURVE('',#13691,#13691,#8047,.T.); #16642=EDGE_CURVE('',#13691,#13692,#10020,.T.); #16643=EDGE_CURVE('',#13692,#13692,#8048,.T.); #16644=EDGE_CURVE('',#13693,#13693,#8049,.T.); #16645=EDGE_CURVE('',#13693,#13694,#10021,.T.); #16646=EDGE_CURVE('',#13694,#13694,#8050,.T.); #16647=EDGE_CURVE('',#13695,#13695,#8051,.T.); #16648=EDGE_CURVE('',#13695,#13696,#10022,.T.); #16649=EDGE_CURVE('',#13696,#13696,#8052,.T.); #16650=EDGE_CURVE('',#13697,#13697,#8053,.T.); #16651=EDGE_CURVE('',#13697,#13698,#10023,.T.); #16652=EDGE_CURVE('',#13698,#13698,#8054,.T.); #16653=EDGE_CURVE('',#13699,#13699,#8055,.T.); #16654=EDGE_CURVE('',#13699,#13700,#10024,.T.); #16655=EDGE_CURVE('',#13700,#13700,#8056,.T.); #16656=EDGE_CURVE('',#13701,#13701,#8057,.T.); #16657=EDGE_CURVE('',#13701,#13702,#10025,.T.); #16658=EDGE_CURVE('',#13702,#13702,#8058,.T.); #16659=EDGE_CURVE('',#13703,#13703,#8059,.T.); #16660=EDGE_CURVE('',#13703,#13704,#10026,.T.); #16661=EDGE_CURVE('',#13704,#13704,#8060,.T.); #16662=EDGE_CURVE('',#13705,#13705,#8061,.T.); #16663=EDGE_CURVE('',#13705,#13706,#10027,.T.); #16664=EDGE_CURVE('',#13706,#13706,#8062,.T.); #16665=EDGE_CURVE('',#13707,#13707,#8063,.T.); #16666=EDGE_CURVE('',#13707,#13708,#10028,.T.); #16667=EDGE_CURVE('',#13708,#13708,#8064,.T.); #16668=EDGE_CURVE('',#13709,#13709,#8065,.T.); #16669=EDGE_CURVE('',#13709,#13710,#10029,.T.); #16670=EDGE_CURVE('',#13710,#13710,#8066,.T.); #16671=EDGE_CURVE('',#13711,#13711,#8067,.T.); #16672=EDGE_CURVE('',#13711,#13712,#10030,.T.); #16673=EDGE_CURVE('',#13712,#13712,#8068,.T.); #16674=EDGE_CURVE('',#13713,#13713,#8069,.T.); #16675=EDGE_CURVE('',#13713,#13714,#10031,.T.); #16676=EDGE_CURVE('',#13714,#13714,#8070,.T.); #16677=EDGE_CURVE('',#13715,#13715,#8071,.T.); #16678=EDGE_CURVE('',#13715,#13716,#10032,.T.); #16679=EDGE_CURVE('',#13716,#13716,#8072,.T.); #16680=EDGE_CURVE('',#13717,#13717,#8073,.T.); #16681=EDGE_CURVE('',#13717,#13718,#10033,.T.); #16682=EDGE_CURVE('',#13718,#13718,#8074,.T.); #16683=EDGE_CURVE('',#13719,#13719,#8075,.T.); #16684=EDGE_CURVE('',#13719,#13720,#10034,.T.); #16685=EDGE_CURVE('',#13720,#13720,#8076,.T.); #16686=EDGE_CURVE('',#13721,#13721,#8077,.T.); #16687=EDGE_CURVE('',#13721,#13722,#10035,.T.); #16688=EDGE_CURVE('',#13722,#13722,#8078,.T.); #16689=EDGE_CURVE('',#13723,#13723,#8079,.T.); #16690=EDGE_CURVE('',#13723,#13724,#10036,.T.); #16691=EDGE_CURVE('',#13724,#13724,#8080,.T.); #16692=EDGE_CURVE('',#13725,#13725,#8081,.T.); #16693=EDGE_CURVE('',#13725,#13726,#10037,.T.); #16694=EDGE_CURVE('',#13726,#13726,#8082,.T.); #16695=EDGE_CURVE('',#13727,#13727,#8083,.T.); #16696=EDGE_CURVE('',#13727,#13728,#10038,.T.); #16697=EDGE_CURVE('',#13728,#13728,#8084,.T.); #16698=EDGE_CURVE('',#13729,#13729,#8085,.T.); #16699=EDGE_CURVE('',#13729,#13730,#10039,.T.); #16700=EDGE_CURVE('',#13730,#13730,#8086,.T.); #16701=EDGE_CURVE('',#13731,#13731,#8087,.T.); #16702=EDGE_CURVE('',#13731,#13732,#10040,.T.); #16703=EDGE_CURVE('',#13732,#13732,#8088,.T.); #16704=EDGE_CURVE('',#13733,#13733,#8089,.T.); #16705=EDGE_CURVE('',#13733,#13734,#10041,.T.); #16706=EDGE_CURVE('',#13734,#13734,#8090,.T.); #16707=EDGE_CURVE('',#13735,#13735,#8091,.T.); #16708=EDGE_CURVE('',#13735,#13736,#10042,.T.); #16709=EDGE_CURVE('',#13736,#13736,#8092,.T.); #16710=EDGE_CURVE('',#13737,#13737,#8093,.T.); #16711=EDGE_CURVE('',#13737,#13738,#10043,.T.); #16712=EDGE_CURVE('',#13738,#13738,#8094,.T.); #16713=EDGE_CURVE('',#13739,#13739,#8095,.T.); #16714=EDGE_CURVE('',#13739,#13740,#10044,.T.); #16715=EDGE_CURVE('',#13740,#13740,#8096,.T.); #16716=EDGE_CURVE('',#13741,#13741,#8097,.T.); #16717=EDGE_CURVE('',#13741,#13742,#10045,.T.); #16718=EDGE_CURVE('',#13742,#13742,#8098,.T.); #16719=EDGE_CURVE('',#13743,#13743,#8099,.T.); #16720=EDGE_CURVE('',#13743,#13744,#10046,.T.); #16721=EDGE_CURVE('',#13744,#13744,#8100,.T.); #16722=EDGE_CURVE('',#13745,#13745,#8101,.T.); #16723=EDGE_CURVE('',#13745,#13746,#10047,.T.); #16724=EDGE_CURVE('',#13746,#13746,#8102,.T.); #16725=EDGE_CURVE('',#13747,#13747,#8103,.T.); #16726=EDGE_CURVE('',#13747,#13748,#10048,.T.); #16727=EDGE_CURVE('',#13748,#13748,#8104,.T.); #16728=EDGE_CURVE('',#13749,#13749,#8105,.T.); #16729=EDGE_CURVE('',#13749,#13750,#10049,.T.); #16730=EDGE_CURVE('',#13750,#13750,#8106,.T.); #16731=EDGE_CURVE('',#13751,#13751,#8107,.T.); #16732=EDGE_CURVE('',#13751,#13752,#10050,.T.); #16733=EDGE_CURVE('',#13752,#13752,#8108,.T.); #16734=EDGE_CURVE('',#13753,#13753,#8109,.T.); #16735=EDGE_CURVE('',#13753,#13754,#10051,.T.); #16736=EDGE_CURVE('',#13754,#13754,#8110,.T.); #16737=EDGE_CURVE('',#13755,#13755,#8111,.T.); #16738=EDGE_CURVE('',#13755,#13756,#10052,.T.); #16739=EDGE_CURVE('',#13756,#13756,#8112,.T.); #16740=EDGE_CURVE('',#13757,#13757,#8113,.T.); #16741=EDGE_CURVE('',#13757,#13758,#10053,.T.); #16742=EDGE_CURVE('',#13758,#13758,#8114,.T.); #16743=EDGE_CURVE('',#13759,#13759,#8115,.T.); #16744=EDGE_CURVE('',#13759,#13760,#10054,.T.); #16745=EDGE_CURVE('',#13760,#13760,#8116,.T.); #16746=EDGE_CURVE('',#13761,#13761,#8117,.T.); #16747=EDGE_CURVE('',#13761,#13762,#10055,.T.); #16748=EDGE_CURVE('',#13762,#13762,#8118,.T.); #16749=EDGE_CURVE('',#13763,#13763,#8119,.T.); #16750=EDGE_CURVE('',#13763,#13764,#10056,.T.); #16751=EDGE_CURVE('',#13764,#13764,#8120,.T.); #16752=EDGE_CURVE('',#13765,#13765,#8121,.T.); #16753=EDGE_CURVE('',#13765,#13766,#10057,.T.); #16754=EDGE_CURVE('',#13766,#13766,#8122,.T.); #16755=EDGE_CURVE('',#13767,#13767,#8123,.T.); #16756=EDGE_CURVE('',#13767,#13768,#10058,.T.); #16757=EDGE_CURVE('',#13768,#13768,#8124,.T.); #16758=EDGE_CURVE('',#13769,#13769,#8125,.T.); #16759=EDGE_CURVE('',#13769,#13770,#10059,.T.); #16760=EDGE_CURVE('',#13770,#13770,#8126,.T.); #16761=EDGE_CURVE('',#13771,#13771,#8127,.T.); #16762=EDGE_CURVE('',#13771,#13772,#10060,.T.); #16763=EDGE_CURVE('',#13772,#13772,#8128,.T.); #16764=EDGE_CURVE('',#13773,#13773,#8129,.T.); #16765=EDGE_CURVE('',#13773,#13774,#10061,.T.); #16766=EDGE_CURVE('',#13774,#13774,#8130,.T.); #16767=EDGE_CURVE('',#13775,#13775,#8131,.T.); #16768=EDGE_CURVE('',#13775,#13776,#10062,.T.); #16769=EDGE_CURVE('',#13776,#13776,#8132,.T.); #16770=EDGE_CURVE('',#13777,#13777,#8133,.T.); #16771=EDGE_CURVE('',#13777,#13778,#10063,.T.); #16772=EDGE_CURVE('',#13778,#13778,#8134,.T.); #16773=EDGE_CURVE('',#13779,#13779,#8135,.T.); #16774=EDGE_CURVE('',#13779,#13780,#10064,.T.); #16775=EDGE_CURVE('',#13780,#13780,#8136,.T.); #16776=EDGE_CURVE('',#13781,#13781,#8137,.T.); #16777=EDGE_CURVE('',#13781,#13782,#10065,.T.); #16778=EDGE_CURVE('',#13782,#13782,#8138,.T.); #16779=EDGE_CURVE('',#13783,#13784,#8139,.T.); #16780=EDGE_CURVE('',#13784,#13785,#10066,.T.); #16781=EDGE_CURVE('',#13786,#13785,#8140,.T.); #16782=EDGE_CURVE('',#13783,#13786,#10067,.T.); #16783=EDGE_CURVE('',#13787,#13783,#10068,.T.); #16784=EDGE_CURVE('',#13788,#13786,#10069,.T.); #16785=EDGE_CURVE('',#13787,#13788,#10070,.T.); #16786=EDGE_CURVE('',#13789,#13787,#8141,.T.); #16787=EDGE_CURVE('',#13790,#13788,#8142,.T.); #16788=EDGE_CURVE('',#13789,#13790,#10071,.T.); #16789=EDGE_CURVE('',#13784,#13789,#10072,.T.); #16790=EDGE_CURVE('',#13785,#13790,#10073,.T.); #16791=EDGE_CURVE('',#13791,#13791,#8143,.T.); #16792=EDGE_CURVE('',#13791,#13792,#10074,.T.); #16793=EDGE_CURVE('',#13792,#13792,#8144,.T.); #16794=EDGE_CURVE('',#13793,#13793,#8145,.T.); #16795=EDGE_CURVE('',#13793,#13794,#10075,.T.); #16796=EDGE_CURVE('',#13794,#13794,#8146,.T.); #16797=EDGE_CURVE('',#13795,#13795,#8147,.T.); #16798=EDGE_CURVE('',#13795,#13796,#10076,.T.); #16799=EDGE_CURVE('',#13796,#13796,#8148,.T.); #16800=EDGE_CURVE('',#13797,#13797,#8149,.T.); #16801=EDGE_CURVE('',#13797,#13798,#10077,.T.); #16802=EDGE_CURVE('',#13798,#13798,#8150,.T.); #16803=EDGE_CURVE('',#13799,#13799,#8151,.T.); #16804=EDGE_CURVE('',#13800,#13800,#8152,.T.); #16805=EDGE_CURVE('',#13801,#13801,#8153,.T.); #16806=EDGE_CURVE('',#13801,#13799,#10078,.T.); #16807=EDGE_CURVE('',#13800,#13802,#10079,.T.); #16808=EDGE_CURVE('',#13802,#13802,#8154,.T.); #16809=EDGE_CURVE('',#13803,#13803,#8155,.T.); #16810=EDGE_CURVE('',#13804,#13804,#8156,.T.); #16811=EDGE_CURVE('',#13805,#13805,#8157,.T.); #16812=EDGE_CURVE('',#13805,#13803,#10080,.T.); #16813=EDGE_CURVE('',#13804,#13806,#10081,.T.); #16814=EDGE_CURVE('',#13806,#13806,#8158,.T.); #16815=EDGE_CURVE('',#13807,#13807,#8159,.T.); #16816=EDGE_CURVE('',#13807,#13808,#10082,.T.); #16817=EDGE_CURVE('',#13808,#13808,#8160,.T.); #16818=EDGE_CURVE('',#13809,#13809,#8161,.T.); #16819=EDGE_CURVE('',#13809,#13810,#10083,.T.); #16820=EDGE_CURVE('',#13810,#13810,#8162,.T.); #16821=EDGE_CURVE('',#13811,#13811,#8163,.T.); #16822=EDGE_CURVE('',#13812,#13812,#8164,.T.); #16823=EDGE_CURVE('',#13813,#13813,#8165,.T.); #16824=EDGE_CURVE('',#13813,#13811,#10084,.T.); #16825=EDGE_CURVE('',#13812,#13814,#10085,.T.); #16826=EDGE_CURVE('',#13814,#13814,#8166,.T.); #16827=EDGE_CURVE('',#13815,#13815,#8167,.T.); #16828=EDGE_CURVE('',#13815,#13816,#10086,.T.); #16829=EDGE_CURVE('',#13816,#13816,#8168,.T.); #16830=EDGE_CURVE('',#13817,#13817,#8169,.T.); #16831=EDGE_CURVE('',#13817,#13818,#10087,.T.); #16832=EDGE_CURVE('',#13818,#13818,#8170,.T.); #16833=EDGE_CURVE('',#13819,#13819,#8171,.T.); #16834=EDGE_CURVE('',#13820,#13820,#8172,.T.); #16835=EDGE_CURVE('',#13821,#13821,#8173,.T.); #16836=EDGE_CURVE('',#13821,#13819,#10088,.T.); #16837=EDGE_CURVE('',#13820,#13822,#10089,.T.); #16838=EDGE_CURVE('',#13822,#13822,#8174,.T.); #16839=EDGE_CURVE('',#13823,#13823,#8175,.T.); #16840=EDGE_CURVE('',#13823,#13824,#10090,.T.); #16841=EDGE_CURVE('',#13824,#13824,#8176,.T.); #16842=EDGE_CURVE('',#13825,#13825,#8177,.T.); #16843=EDGE_CURVE('',#13825,#13826,#10091,.T.); #16844=EDGE_CURVE('',#13826,#13826,#8178,.T.); #16845=EDGE_CURVE('',#13827,#13827,#8179,.T.); #16846=EDGE_CURVE('',#13828,#13828,#8180,.T.); #16847=EDGE_CURVE('',#13829,#13829,#8181,.T.); #16848=EDGE_CURVE('',#13829,#13827,#10092,.T.); #16849=EDGE_CURVE('',#13828,#13830,#10093,.T.); #16850=EDGE_CURVE('',#13830,#13830,#8182,.T.); #16851=EDGE_CURVE('',#13831,#13831,#8183,.T.); #16852=EDGE_CURVE('',#13831,#13832,#10094,.T.); #16853=EDGE_CURVE('',#13832,#13832,#8184,.T.); #16854=EDGE_CURVE('',#13833,#13833,#8185,.T.); #16855=EDGE_CURVE('',#13833,#13834,#10095,.T.); #16856=EDGE_CURVE('',#13834,#13834,#8186,.T.); #16857=EDGE_CURVE('',#13835,#13835,#8187,.T.); #16858=EDGE_CURVE('',#13836,#13836,#8188,.T.); #16859=EDGE_CURVE('',#13837,#13837,#8189,.T.); #16860=EDGE_CURVE('',#13837,#13835,#10096,.T.); #16861=EDGE_CURVE('',#13836,#13838,#10097,.T.); #16862=EDGE_CURVE('',#13838,#13838,#8190,.T.); #16863=EDGE_CURVE('',#13839,#13839,#8191,.T.); #16864=EDGE_CURVE('',#13839,#13840,#10098,.T.); #16865=EDGE_CURVE('',#13840,#13840,#8192,.T.); #16866=EDGE_CURVE('',#13841,#13841,#8193,.T.); #16867=EDGE_CURVE('',#13841,#13842,#10099,.T.); #16868=EDGE_CURVE('',#13842,#13842,#8194,.T.); #16869=EDGE_CURVE('',#13843,#13843,#8195,.T.); #16870=EDGE_CURVE('',#13844,#13844,#8196,.T.); #16871=EDGE_CURVE('',#13845,#13845,#8197,.T.); #16872=EDGE_CURVE('',#13845,#13843,#10100,.T.); #16873=EDGE_CURVE('',#13844,#13846,#10101,.T.); #16874=EDGE_CURVE('',#13846,#13846,#8198,.T.); #16875=EDGE_CURVE('',#13847,#13847,#8199,.T.); #16876=EDGE_CURVE('',#13847,#13848,#10102,.T.); #16877=EDGE_CURVE('',#13848,#13848,#8200,.T.); #16878=EDGE_CURVE('',#13849,#13849,#8201,.T.); #16879=EDGE_CURVE('',#13849,#13850,#10103,.T.); #16880=EDGE_CURVE('',#13850,#13850,#8202,.T.); #16881=EDGE_CURVE('',#13851,#13851,#8203,.T.); #16882=EDGE_CURVE('',#13852,#13852,#8204,.T.); #16883=EDGE_CURVE('',#13853,#13853,#8205,.T.); #16884=EDGE_CURVE('',#13853,#13851,#10104,.T.); #16885=EDGE_CURVE('',#13852,#13854,#10105,.T.); #16886=EDGE_CURVE('',#13854,#13854,#8206,.T.); #16887=EDGE_CURVE('',#13855,#13855,#8207,.T.); #16888=EDGE_CURVE('',#13855,#13856,#10106,.T.); #16889=EDGE_CURVE('',#13856,#13856,#8208,.T.); #16890=EDGE_CURVE('',#13857,#13857,#8209,.T.); #16891=EDGE_CURVE('',#13857,#13858,#10107,.T.); #16892=EDGE_CURVE('',#13858,#13858,#8210,.T.); #16893=EDGE_CURVE('',#13859,#13859,#8211,.T.); #16894=EDGE_CURVE('',#13860,#13860,#8212,.T.); #16895=EDGE_CURVE('',#13861,#13861,#8213,.T.); #16896=EDGE_CURVE('',#13861,#13859,#10108,.T.); #16897=EDGE_CURVE('',#13860,#13862,#10109,.T.); #16898=EDGE_CURVE('',#13862,#13862,#8214,.T.); #16899=EDGE_CURVE('',#13863,#13863,#8215,.T.); #16900=EDGE_CURVE('',#13864,#13864,#8216,.T.); #16901=EDGE_CURVE('',#13865,#13865,#8217,.T.); #16902=EDGE_CURVE('',#13865,#13863,#10110,.T.); #16903=EDGE_CURVE('',#13864,#13866,#10111,.T.); #16904=EDGE_CURVE('',#13866,#13866,#8218,.T.); #16905=EDGE_CURVE('',#13867,#13867,#8219,.T.); #16906=EDGE_CURVE('',#13868,#13868,#8220,.T.); #16907=EDGE_CURVE('',#13869,#13869,#8221,.T.); #16908=EDGE_CURVE('',#13869,#13867,#10112,.T.); #16909=EDGE_CURVE('',#13868,#13870,#10113,.T.); #16910=EDGE_CURVE('',#13870,#13870,#8222,.T.); #16911=EDGE_CURVE('',#13871,#13871,#8223,.T.); #16912=EDGE_CURVE('',#13872,#13872,#8224,.T.); #16913=EDGE_CURVE('',#13873,#13873,#8225,.T.); #16914=EDGE_CURVE('',#13873,#13871,#10114,.T.); #16915=EDGE_CURVE('',#13872,#13874,#10115,.T.); #16916=EDGE_CURVE('',#13874,#13874,#8226,.T.); #16917=EDGE_CURVE('',#13875,#13875,#8227,.T.); #16918=EDGE_CURVE('',#13876,#13876,#8228,.T.); #16919=EDGE_CURVE('',#13877,#13877,#8229,.T.); #16920=EDGE_CURVE('',#13877,#13875,#10116,.T.); #16921=EDGE_CURVE('',#13876,#13878,#10117,.T.); #16922=EDGE_CURVE('',#13878,#13878,#8230,.T.); #16923=EDGE_CURVE('',#13879,#13879,#8231,.T.); #16924=EDGE_CURVE('',#13880,#13880,#8232,.T.); #16925=EDGE_CURVE('',#13881,#13881,#8233,.T.); #16926=EDGE_CURVE('',#13881,#13879,#10118,.T.); #16927=EDGE_CURVE('',#13880,#13882,#10119,.T.); #16928=EDGE_CURVE('',#13882,#13882,#8234,.T.); #16929=EDGE_CURVE('',#13883,#13883,#8235,.T.); #16930=EDGE_CURVE('',#13884,#13884,#8236,.T.); #16931=EDGE_CURVE('',#13885,#13885,#8237,.T.); #16932=EDGE_CURVE('',#13885,#13883,#10120,.T.); #16933=EDGE_CURVE('',#13884,#13886,#10121,.T.); #16934=EDGE_CURVE('',#13886,#13886,#8238,.T.); #16935=EDGE_CURVE('',#13887,#13887,#8239,.T.); #16936=EDGE_CURVE('',#13888,#13888,#8240,.T.); #16937=EDGE_CURVE('',#13889,#13889,#8241,.T.); #16938=EDGE_CURVE('',#13889,#13887,#10122,.T.); #16939=EDGE_CURVE('',#13888,#13890,#10123,.T.); #16940=EDGE_CURVE('',#13890,#13890,#8242,.T.); #16941=EDGE_CURVE('',#13891,#13891,#8243,.T.); #16942=EDGE_CURVE('',#13892,#13892,#8244,.T.); #16943=EDGE_CURVE('',#13893,#13893,#8245,.T.); #16944=EDGE_CURVE('',#13893,#13891,#10124,.T.); #16945=EDGE_CURVE('',#13892,#13894,#10125,.T.); #16946=EDGE_CURVE('',#13894,#13894,#8246,.T.); #16947=EDGE_CURVE('',#13895,#13895,#8247,.T.); #16948=EDGE_CURVE('',#13896,#13896,#8248,.T.); #16949=EDGE_CURVE('',#13897,#13897,#8249,.T.); #16950=EDGE_CURVE('',#13897,#13895,#10126,.T.); #16951=EDGE_CURVE('',#13896,#13898,#10127,.T.); #16952=EDGE_CURVE('',#13898,#13898,#8250,.T.); #16953=EDGE_CURVE('',#13899,#13899,#8251,.T.); #16954=EDGE_CURVE('',#13900,#13900,#8252,.T.); #16955=EDGE_CURVE('',#13901,#13901,#8253,.T.); #16956=EDGE_CURVE('',#13901,#13899,#10128,.T.); #16957=EDGE_CURVE('',#13900,#13902,#10129,.T.); #16958=EDGE_CURVE('',#13902,#13902,#8254,.T.); #16959=EDGE_CURVE('',#13903,#13903,#8255,.T.); #16960=EDGE_CURVE('',#13904,#13904,#8256,.T.); #16961=EDGE_CURVE('',#13905,#13905,#8257,.T.); #16962=EDGE_CURVE('',#13905,#13903,#10130,.T.); #16963=EDGE_CURVE('',#13904,#13906,#10131,.T.); #16964=EDGE_CURVE('',#13906,#13906,#8258,.T.); #16965=EDGE_CURVE('',#13907,#13907,#8259,.T.); #16966=EDGE_CURVE('',#13908,#13908,#8260,.T.); #16967=EDGE_CURVE('',#13909,#13909,#8261,.T.); #16968=EDGE_CURVE('',#13909,#13907,#10132,.T.); #16969=EDGE_CURVE('',#13908,#13910,#10133,.T.); #16970=EDGE_CURVE('',#13910,#13910,#8262,.T.); #16971=EDGE_CURVE('',#13911,#13911,#8263,.T.); #16972=EDGE_CURVE('',#13912,#13912,#8264,.T.); #16973=EDGE_CURVE('',#13913,#13913,#8265,.T.); #16974=EDGE_CURVE('',#13913,#13911,#10134,.T.); #16975=EDGE_CURVE('',#13912,#13914,#10135,.T.); #16976=EDGE_CURVE('',#13914,#13914,#8266,.T.); #16977=EDGE_CURVE('',#13915,#13915,#8267,.T.); #16978=EDGE_CURVE('',#13916,#13916,#8268,.T.); #16979=EDGE_CURVE('',#13917,#13917,#8269,.T.); #16980=EDGE_CURVE('',#13917,#13915,#10136,.T.); #16981=EDGE_CURVE('',#13916,#13918,#10137,.T.); #16982=EDGE_CURVE('',#13918,#13918,#8270,.T.); #16983=EDGE_CURVE('',#13919,#13919,#8271,.T.); #16984=EDGE_CURVE('',#13919,#13920,#10138,.T.); #16985=EDGE_CURVE('',#13920,#13920,#8272,.T.); #16986=EDGE_CURVE('',#13921,#13921,#8273,.T.); #16987=EDGE_CURVE('',#13921,#13922,#10139,.T.); #16988=EDGE_CURVE('',#13922,#13922,#8274,.T.); #16989=EDGE_CURVE('',#13923,#13923,#8275,.T.); #16990=EDGE_CURVE('',#13923,#13924,#10140,.T.); #16991=EDGE_CURVE('',#13925,#13925,#8276,.T.); #16992=EDGE_CURVE('',#13925,#13923,#10141,.T.); #16993=EDGE_CURVE('',#13926,#13926,#8277,.T.); #16994=EDGE_CURVE('',#13926,#13927,#10142,.T.); #16995=EDGE_CURVE('',#13928,#13928,#8278,.T.); #16996=EDGE_CURVE('',#13928,#13926,#10143,.T.); #16997=EDGE_CURVE('',#13929,#13929,#8279,.T.); #16998=EDGE_CURVE('',#13929,#13930,#10144,.T.); #16999=EDGE_CURVE('',#13931,#13931,#8280,.T.); #17000=EDGE_CURVE('',#13931,#13929,#10145,.T.); #17001=EDGE_CURVE('',#13932,#13932,#8281,.T.); #17002=EDGE_CURVE('',#13932,#13933,#10146,.T.); #17003=EDGE_CURVE('',#13934,#13934,#8282,.T.); #17004=EDGE_CURVE('',#13934,#13932,#10147,.T.); #17005=EDGE_CURVE('',#13935,#13935,#8283,.T.); #17006=EDGE_CURVE('',#13935,#13936,#10148,.T.); #17007=EDGE_CURVE('',#13937,#13937,#8284,.T.); #17008=EDGE_CURVE('',#13937,#13935,#10149,.T.); #17009=EDGE_CURVE('',#13938,#13938,#8285,.T.); #17010=EDGE_CURVE('',#13938,#13939,#10150,.T.); #17011=EDGE_CURVE('',#13940,#13940,#8286,.T.); #17012=EDGE_CURVE('',#13940,#13938,#10151,.T.); #17013=EDGE_CURVE('',#13941,#13941,#8287,.T.); #17014=EDGE_CURVE('',#13941,#13942,#10152,.T.); #17015=EDGE_CURVE('',#13943,#13943,#8288,.T.); #17016=EDGE_CURVE('',#13943,#13941,#10153,.T.); #17017=EDGE_CURVE('',#13944,#13944,#8289,.T.); #17018=EDGE_CURVE('',#13944,#13945,#10154,.T.); #17019=EDGE_CURVE('',#13946,#13946,#8290,.T.); #17020=EDGE_CURVE('',#13946,#13944,#10155,.T.); #17021=EDGE_CURVE('',#13947,#13947,#8291,.T.); #17022=EDGE_CURVE('',#13947,#13948,#10156,.T.); #17023=EDGE_CURVE('',#13949,#13949,#8292,.T.); #17024=EDGE_CURVE('',#13949,#13947,#10157,.T.); #17025=EDGE_CURVE('',#13950,#13950,#8293,.T.); #17026=EDGE_CURVE('',#13950,#13951,#10158,.T.); #17027=EDGE_CURVE('',#13952,#13952,#8294,.T.); #17028=EDGE_CURVE('',#13952,#13950,#10159,.T.); #17029=EDGE_CURVE('',#13953,#13953,#8295,.T.); #17030=EDGE_CURVE('',#13953,#13954,#10160,.T.); #17031=EDGE_CURVE('',#13955,#13955,#8296,.T.); #17032=EDGE_CURVE('',#13955,#13953,#10161,.T.); #17033=EDGE_CURVE('',#13956,#13956,#8297,.T.); #17034=EDGE_CURVE('',#13956,#13957,#10162,.T.); #17035=EDGE_CURVE('',#13958,#13958,#8298,.T.); #17036=EDGE_CURVE('',#13958,#13956,#10163,.T.); #17037=EDGE_CURVE('',#13959,#13959,#8299,.T.); #17038=EDGE_CURVE('',#13959,#13960,#10164,.T.); #17039=EDGE_CURVE('',#13961,#13961,#8300,.T.); #17040=EDGE_CURVE('',#13961,#13959,#10165,.T.); #17041=EDGE_CURVE('',#13962,#13962,#8301,.T.); #17042=EDGE_CURVE('',#13962,#13963,#10166,.T.); #17043=EDGE_CURVE('',#13964,#13964,#8302,.T.); #17044=EDGE_CURVE('',#13964,#13962,#10167,.T.); #17045=EDGE_CURVE('',#13965,#13965,#8303,.T.); #17046=EDGE_CURVE('',#13965,#13966,#10168,.T.); #17047=EDGE_CURVE('',#13967,#13967,#8304,.T.); #17048=EDGE_CURVE('',#13967,#13965,#10169,.T.); #17049=EDGE_CURVE('',#13968,#13968,#8305,.T.); #17050=EDGE_CURVE('',#13968,#13969,#10170,.T.); #17051=EDGE_CURVE('',#13970,#13970,#8306,.T.); #17052=EDGE_CURVE('',#13970,#13968,#10171,.T.); #17053=EDGE_CURVE('',#13971,#13971,#8307,.T.); #17054=EDGE_CURVE('',#13971,#13972,#10172,.T.); #17055=EDGE_CURVE('',#13973,#13973,#8308,.T.); #17056=EDGE_CURVE('',#13973,#13971,#10173,.T.); #17057=EDGE_CURVE('',#13974,#13974,#8309,.T.); #17058=EDGE_CURVE('',#13974,#13975,#10174,.T.); #17059=EDGE_CURVE('',#13976,#13976,#8310,.T.); #17060=EDGE_CURVE('',#13976,#13974,#10175,.T.); #17061=EDGE_CURVE('',#13977,#13977,#8311,.T.); #17062=EDGE_CURVE('',#13977,#13978,#10176,.T.); #17063=EDGE_CURVE('',#13979,#13979,#8312,.T.); #17064=EDGE_CURVE('',#13979,#13977,#10177,.T.); #17065=EDGE_CURVE('',#13980,#13980,#8313,.T.); #17066=EDGE_CURVE('',#13980,#13981,#10178,.T.); #17067=EDGE_CURVE('',#13982,#13982,#8314,.T.); #17068=EDGE_CURVE('',#13982,#13980,#10179,.T.); #17069=EDGE_CURVE('',#13983,#13983,#8315,.T.); #17070=EDGE_CURVE('',#13983,#13984,#10180,.T.); #17071=EDGE_CURVE('',#13985,#13985,#8316,.T.); #17072=EDGE_CURVE('',#13985,#13983,#10181,.T.); #17073=EDGE_CURVE('',#13986,#13986,#8317,.T.); #17074=EDGE_CURVE('',#13986,#13987,#10182,.T.); #17075=EDGE_CURVE('',#13987,#13987,#8318,.T.); #17076=EDGE_CURVE('',#13988,#13988,#8319,.T.); #17077=EDGE_CURVE('',#13988,#13989,#10183,.T.); #17078=EDGE_CURVE('',#13989,#13989,#8320,.T.); #17079=EDGE_CURVE('',#13990,#13990,#8321,.T.); #17080=EDGE_CURVE('',#13990,#13991,#10184,.T.); #17081=EDGE_CURVE('',#13991,#13991,#8322,.T.); #17082=EDGE_CURVE('',#13992,#13992,#8323,.T.); #17083=EDGE_CURVE('',#13992,#13993,#10185,.T.); #17084=EDGE_CURVE('',#13993,#13993,#8324,.T.); #17085=EDGE_CURVE('',#13994,#13994,#8325,.T.); #17086=EDGE_CURVE('',#13994,#13995,#10186,.T.); #17087=EDGE_CURVE('',#13995,#13995,#8326,.T.); #17088=EDGE_CURVE('',#13996,#13996,#8327,.T.); #17089=EDGE_CURVE('',#13996,#13997,#10187,.T.); #17090=EDGE_CURVE('',#13997,#13997,#8328,.T.); #17091=EDGE_CURVE('',#13998,#13998,#8329,.T.); #17092=EDGE_CURVE('',#13998,#13999,#10188,.T.); #17093=EDGE_CURVE('',#13999,#13999,#8330,.T.); #17094=EDGE_CURVE('',#14000,#14000,#8331,.T.); #17095=EDGE_CURVE('',#14000,#14001,#10189,.T.); #17096=EDGE_CURVE('',#14001,#14001,#8332,.T.); #17097=EDGE_CURVE('',#14002,#14002,#8333,.T.); #17098=EDGE_CURVE('',#14002,#14003,#10190,.T.); #17099=EDGE_CURVE('',#14003,#14003,#8334,.T.); #17100=EDGE_CURVE('',#14004,#14004,#8335,.T.); #17101=EDGE_CURVE('',#14004,#14005,#10191,.T.); #17102=EDGE_CURVE('',#14005,#14005,#8336,.T.); #17103=EDGE_CURVE('',#14006,#14007,#8337,.T.); #17104=EDGE_CURVE('',#14007,#14008,#8338,.T.); #17105=EDGE_CURVE('',#14008,#14009,#8339,.T.); #17106=EDGE_CURVE('',#14009,#14006,#8340,.T.); #17107=EDGE_CURVE('',#14010,#14011,#8341,.T.); #17108=EDGE_CURVE('',#14011,#14009,#10192,.T.); #17109=EDGE_CURVE('',#14008,#14010,#10193,.T.); #17110=EDGE_CURVE('',#14012,#14013,#8342,.T.); #17111=EDGE_CURVE('',#14013,#14011,#8343,.T.); #17112=EDGE_CURVE('',#14010,#14012,#8344,.T.); #17113=EDGE_CURVE('',#14014,#14015,#8345,.T.); #17114=EDGE_CURVE('',#14015,#14013,#8346,.T.); #17115=EDGE_CURVE('',#14012,#14014,#8347,.T.); #17116=EDGE_CURVE('',#14016,#14017,#8348,.T.); #17117=EDGE_CURVE('',#14017,#14015,#8349,.T.); #17118=EDGE_CURVE('',#14014,#14016,#8350,.T.); #17119=EDGE_CURVE('',#14018,#14019,#8351,.T.); #17120=EDGE_CURVE('',#14019,#14017,#10194,.T.); #17121=EDGE_CURVE('',#14016,#14018,#10195,.T.); #17122=EDGE_CURVE('',#14020,#14021,#8352,.T.); #17123=EDGE_CURVE('',#14021,#14019,#8353,.T.); #17124=EDGE_CURVE('',#14018,#14020,#8354,.T.); #17125=EDGE_CURVE('',#14006,#14021,#8355,.T.); #17126=EDGE_CURVE('',#14020,#14007,#8356,.T.); #17127=EDGE_CURVE('',#14012,#14022,#10196,.T.); #17128=EDGE_CURVE('',#14023,#14022,#8357,.T.); #17129=EDGE_CURVE('',#14014,#14023,#10197,.T.); #17130=EDGE_CURVE('',#14010,#14024,#10198,.T.); #17131=EDGE_CURVE('',#14022,#14024,#8358,.T.); #17132=EDGE_CURVE('',#14008,#14025,#10199,.T.); #17133=EDGE_CURVE('',#14024,#14025,#10200,.T.); #17134=EDGE_CURVE('',#14007,#14026,#10201,.T.); #17135=EDGE_CURVE('',#14025,#14026,#8359,.T.); #17136=EDGE_CURVE('',#14027,#14028,#8360,.T.); #17137=EDGE_CURVE('',#14028,#14029,#10202,.T.); #17138=EDGE_CURVE('',#14029,#14030,#8361,.T.); #17139=EDGE_CURVE('',#14030,#14031,#8362,.T.); #17140=EDGE_CURVE('',#14031,#14032,#8363,.T.); #17141=EDGE_CURVE('',#14032,#14033,#10203,.T.); #17142=EDGE_CURVE('',#14033,#14034,#8364,.T.); #17143=EDGE_CURVE('',#14034,#14027,#8365,.T.); #17144=EDGE_CURVE('',#14035,#14023,#8366,.T.); #17145=EDGE_CURVE('',#14016,#14035,#10204,.T.); #17146=EDGE_CURVE('',#14036,#14035,#10205,.T.); #17147=EDGE_CURVE('',#14018,#14036,#10206,.T.); #17148=EDGE_CURVE('',#14037,#14036,#8367,.T.); #17149=EDGE_CURVE('',#14020,#14037,#10207,.T.); #17150=EDGE_CURVE('',#14026,#14037,#8368,.T.); #17151=EDGE_CURVE('',#14038,#14038,#8369,.T.); #17152=EDGE_CURVE('',#14038,#14039,#10208,.T.); #17153=EDGE_CURVE('',#14039,#14039,#8370,.T.); #17154=EDGE_CURVE('',#14040,#14040,#8371,.T.); #17155=EDGE_CURVE('',#14040,#14041,#10209,.T.); #17156=EDGE_CURVE('',#14041,#14041,#8372,.T.); #17157=EDGE_CURVE('',#14042,#14042,#8373,.T.); #17158=EDGE_CURVE('',#14042,#14043,#10210,.T.); #17159=EDGE_CURVE('',#14043,#14043,#8374,.T.); #17160=EDGE_CURVE('',#14044,#14044,#8375,.T.); #17161=EDGE_CURVE('',#14044,#14045,#10211,.T.); #17162=EDGE_CURVE('',#14045,#14045,#8376,.T.); #17163=EDGE_CURVE('',#14046,#14046,#8377,.T.); #17164=EDGE_CURVE('',#14046,#14047,#10212,.T.); #17165=EDGE_CURVE('',#14047,#14047,#8378,.T.); #17166=EDGE_CURVE('',#14048,#14048,#8379,.T.); #17167=EDGE_CURVE('',#14048,#14049,#10213,.T.); #17168=EDGE_CURVE('',#14049,#14049,#8380,.T.); #17169=EDGE_CURVE('',#14050,#14050,#8381,.T.); #17170=EDGE_CURVE('',#14050,#14051,#10214,.T.); #17171=EDGE_CURVE('',#14051,#14051,#8382,.T.); #17172=EDGE_CURVE('',#14052,#14052,#8383,.T.); #17173=EDGE_CURVE('',#14052,#14053,#10215,.T.); #17174=EDGE_CURVE('',#14053,#14053,#8384,.T.); #17175=EDGE_CURVE('',#14054,#14054,#8385,.T.); #17176=EDGE_CURVE('',#14054,#14055,#10216,.T.); #17177=EDGE_CURVE('',#14055,#14055,#8386,.T.); #17178=EDGE_CURVE('',#14056,#14056,#8387,.T.); #17179=EDGE_CURVE('',#14056,#14057,#10217,.T.); #17180=EDGE_CURVE('',#14057,#14057,#8388,.T.); #17181=EDGE_CURVE('',#14058,#14058,#8389,.T.); #17182=EDGE_CURVE('',#14058,#14059,#10218,.T.); #17183=EDGE_CURVE('',#14059,#14059,#8390,.T.); #17184=EDGE_CURVE('',#14060,#14060,#8391,.T.); #17185=EDGE_CURVE('',#14060,#14061,#10219,.T.); #17186=EDGE_CURVE('',#14061,#14061,#8392,.T.); #17187=EDGE_CURVE('',#14062,#14062,#8393,.T.); #17188=EDGE_CURVE('',#14062,#14063,#10220,.T.); #17189=EDGE_CURVE('',#14063,#14063,#8394,.T.); #17190=EDGE_CURVE('',#14064,#14064,#8395,.T.); #17191=EDGE_CURVE('',#14064,#14065,#10221,.T.); #17192=EDGE_CURVE('',#14065,#14065,#8396,.T.); #17193=EDGE_CURVE('',#14066,#14066,#8397,.T.); #17194=EDGE_CURVE('',#14066,#14067,#10222,.T.); #17195=EDGE_CURVE('',#14067,#14067,#8398,.T.); #17196=EDGE_CURVE('',#14068,#14068,#8399,.T.); #17197=EDGE_CURVE('',#14068,#14069,#10223,.T.); #17198=EDGE_CURVE('',#14069,#14069,#8400,.T.); #17199=EDGE_CURVE('',#14070,#14070,#8401,.T.); #17200=EDGE_CURVE('',#14070,#14071,#10224,.T.); #17201=EDGE_CURVE('',#14071,#14071,#8402,.T.); #17202=EDGE_CURVE('',#14072,#14072,#8403,.T.); #17203=EDGE_CURVE('',#14072,#14073,#10225,.T.); #17204=EDGE_CURVE('',#14073,#14073,#8404,.T.); #17205=EDGE_CURVE('',#14074,#14074,#8405,.T.); #17206=EDGE_CURVE('',#14074,#14075,#10226,.T.); #17207=EDGE_CURVE('',#14075,#14075,#8406,.T.); #17208=EDGE_CURVE('',#14076,#14076,#8407,.T.); #17209=EDGE_CURVE('',#14076,#14077,#10227,.T.); #17210=EDGE_CURVE('',#14077,#14077,#8408,.T.); #17211=EDGE_CURVE('',#14078,#14078,#8409,.T.); #17212=EDGE_CURVE('',#14078,#14079,#10228,.T.); #17213=EDGE_CURVE('',#14079,#14079,#8410,.T.); #17214=EDGE_CURVE('',#14080,#14080,#8411,.T.); #17215=EDGE_CURVE('',#14080,#14081,#10229,.T.); #17216=EDGE_CURVE('',#14081,#14081,#8412,.T.); #17217=EDGE_CURVE('',#14082,#14082,#8413,.T.); #17218=EDGE_CURVE('',#14082,#14083,#10230,.T.); #17219=EDGE_CURVE('',#14083,#14083,#8414,.T.); #17220=EDGE_CURVE('',#14084,#14084,#8415,.T.); #17221=EDGE_CURVE('',#14084,#14085,#10231,.T.); #17222=EDGE_CURVE('',#14085,#14085,#8416,.T.); #17223=EDGE_CURVE('',#14086,#14086,#8417,.T.); #17224=EDGE_CURVE('',#14086,#14087,#10232,.T.); #17225=EDGE_CURVE('',#14087,#14087,#8418,.T.); #17226=EDGE_CURVE('',#14088,#14088,#8419,.T.); #17227=EDGE_CURVE('',#14088,#14089,#10233,.T.); #17228=EDGE_CURVE('',#14089,#14089,#8420,.T.); #17229=EDGE_CURVE('',#14090,#14090,#8421,.T.); #17230=EDGE_CURVE('',#14090,#14091,#10234,.T.); #17231=EDGE_CURVE('',#14091,#14091,#8422,.T.); #17232=EDGE_CURVE('',#14092,#14092,#8423,.T.); #17233=EDGE_CURVE('',#14092,#14093,#10235,.T.); #17234=EDGE_CURVE('',#14093,#14093,#8424,.T.); #17235=EDGE_CURVE('',#14094,#14094,#8425,.T.); #17236=EDGE_CURVE('',#14094,#14095,#10236,.T.); #17237=EDGE_CURVE('',#14095,#14095,#8426,.T.); #17238=EDGE_CURVE('',#14096,#14096,#8427,.T.); #17239=EDGE_CURVE('',#14096,#14097,#10237,.T.); #17240=EDGE_CURVE('',#14097,#14097,#8428,.T.); #17241=EDGE_CURVE('',#14098,#14098,#8429,.T.); #17242=EDGE_CURVE('',#14098,#14099,#10238,.T.); #17243=EDGE_CURVE('',#14099,#14099,#8430,.T.); #17244=EDGE_CURVE('',#14100,#14100,#8431,.T.); #17245=EDGE_CURVE('',#14100,#14101,#10239,.T.); #17246=EDGE_CURVE('',#14101,#14101,#8432,.T.); #17247=EDGE_CURVE('',#14102,#14102,#8433,.T.); #17248=EDGE_CURVE('',#14102,#14103,#10240,.T.); #17249=EDGE_CURVE('',#14103,#14103,#8434,.T.); #17250=EDGE_CURVE('',#14104,#14104,#8435,.T.); #17251=EDGE_CURVE('',#14104,#14105,#10241,.T.); #17252=EDGE_CURVE('',#14105,#14105,#8436,.T.); #17253=EDGE_CURVE('',#14106,#14106,#8437,.T.); #17254=EDGE_CURVE('',#14106,#14107,#10242,.T.); #17255=EDGE_CURVE('',#14107,#14107,#8438,.T.); #17256=EDGE_CURVE('',#14108,#14108,#8439,.T.); #17257=EDGE_CURVE('',#14108,#14109,#10243,.T.); #17258=EDGE_CURVE('',#14109,#14109,#8440,.T.); #17259=EDGE_CURVE('',#14110,#14110,#8441,.T.); #17260=EDGE_CURVE('',#14110,#14111,#10244,.T.); #17261=EDGE_CURVE('',#14111,#14111,#8442,.T.); #17262=EDGE_CURVE('',#14112,#14112,#8443,.T.); #17263=EDGE_CURVE('',#14112,#14113,#10245,.T.); #17264=EDGE_CURVE('',#14113,#14113,#8444,.T.); #17265=EDGE_CURVE('',#14114,#14114,#8445,.T.); #17266=EDGE_CURVE('',#14114,#14115,#10246,.T.); #17267=EDGE_CURVE('',#14115,#14115,#8446,.T.); #17268=EDGE_CURVE('',#14116,#14116,#8447,.T.); #17269=EDGE_CURVE('',#14116,#14117,#10247,.T.); #17270=EDGE_CURVE('',#14117,#14117,#8448,.T.); #17271=EDGE_CURVE('',#14118,#14118,#8449,.T.); #17272=EDGE_CURVE('',#14118,#14119,#10248,.T.); #17273=EDGE_CURVE('',#14119,#14119,#8450,.T.); #17274=EDGE_CURVE('',#14120,#14120,#8451,.T.); #17275=EDGE_CURVE('',#14120,#14121,#10249,.T.); #17276=EDGE_CURVE('',#14121,#14121,#8452,.T.); #17277=EDGE_CURVE('',#14122,#14122,#8453,.T.); #17278=EDGE_CURVE('',#14122,#14123,#10250,.T.); #17279=EDGE_CURVE('',#14123,#14123,#8454,.T.); #17280=EDGE_CURVE('',#14124,#14124,#8455,.T.); #17281=EDGE_CURVE('',#14124,#14125,#10251,.T.); #17282=EDGE_CURVE('',#14125,#14125,#8456,.T.); #17283=EDGE_CURVE('',#14126,#14126,#8457,.T.); #17284=EDGE_CURVE('',#14126,#14127,#10252,.T.); #17285=EDGE_CURVE('',#14127,#14127,#8458,.T.); #17286=EDGE_CURVE('',#14128,#14128,#8459,.T.); #17287=EDGE_CURVE('',#14128,#14129,#10253,.T.); #17288=EDGE_CURVE('',#14129,#14129,#8460,.T.); #17289=EDGE_CURVE('',#14130,#14130,#8461,.T.); #17290=EDGE_CURVE('',#14130,#14131,#10254,.T.); #17291=EDGE_CURVE('',#14131,#14131,#8462,.T.); #17292=EDGE_CURVE('',#14132,#14132,#8463,.T.); #17293=EDGE_CURVE('',#14132,#14133,#10255,.T.); #17294=EDGE_CURVE('',#14133,#14133,#8464,.T.); #17295=EDGE_CURVE('',#14134,#14134,#8465,.T.); #17296=EDGE_CURVE('',#14134,#14135,#10256,.T.); #17297=EDGE_CURVE('',#14135,#14135,#8466,.T.); #17298=EDGE_CURVE('',#14136,#14136,#8467,.T.); #17299=EDGE_CURVE('',#14136,#14137,#10257,.T.); #17300=EDGE_CURVE('',#14137,#14137,#8468,.T.); #17301=EDGE_CURVE('',#14138,#14138,#8469,.T.); #17302=EDGE_CURVE('',#14138,#14139,#10258,.T.); #17303=EDGE_CURVE('',#14139,#14139,#8470,.T.); #17304=EDGE_CURVE('',#14140,#14140,#8471,.T.); #17305=EDGE_CURVE('',#14140,#14141,#10259,.T.); #17306=EDGE_CURVE('',#14141,#14141,#8472,.T.); #17307=EDGE_CURVE('',#14142,#14142,#8473,.T.); #17308=EDGE_CURVE('',#14142,#14143,#10260,.T.); #17309=EDGE_CURVE('',#14143,#14143,#8474,.T.); #17310=EDGE_CURVE('',#14144,#14144,#8475,.T.); #17311=EDGE_CURVE('',#14144,#14145,#10261,.T.); #17312=EDGE_CURVE('',#14145,#14145,#8476,.T.); #17313=EDGE_CURVE('',#14146,#14146,#8477,.T.); #17314=EDGE_CURVE('',#14146,#14147,#10262,.T.); #17315=EDGE_CURVE('',#14147,#14147,#8478,.T.); #17316=EDGE_CURVE('',#14148,#14149,#8479,.T.); #17317=EDGE_CURVE('',#14150,#14148,#10263,.T.); #17318=EDGE_CURVE('',#14150,#14151,#8480,.T.); #17319=EDGE_CURVE('',#14151,#14149,#10264,.T.); #17320=EDGE_CURVE('',#14149,#14152,#8481,.T.); #17321=EDGE_CURVE('',#14151,#14153,#8482,.T.); #17322=EDGE_CURVE('',#14153,#14152,#10265,.T.); #17323=EDGE_CURVE('',#14152,#14154,#8483,.T.); #17324=EDGE_CURVE('',#14153,#14155,#8484,.T.); #17325=EDGE_CURVE('',#14155,#14154,#10266,.T.); #17326=EDGE_CURVE('',#14154,#14156,#10267,.T.); #17327=EDGE_CURVE('',#14155,#14157,#10268,.T.); #17328=EDGE_CURVE('',#14157,#14156,#10269,.T.); #17329=EDGE_CURVE('',#14031,#14158,#10270,.T.); #17330=EDGE_CURVE('',#14159,#14158,#8485,.T.); #17331=EDGE_CURVE('',#14032,#14159,#10271,.T.); #17332=EDGE_CURVE('',#14160,#14159,#10272,.T.); #17333=EDGE_CURVE('',#14033,#14160,#10273,.T.); #17334=EDGE_CURVE('',#14161,#14160,#8486,.T.); #17335=EDGE_CURVE('',#14034,#14161,#10274,.T.); #17336=EDGE_CURVE('',#14162,#14161,#8487,.T.); #17337=EDGE_CURVE('',#14162,#14027,#10275,.T.); #17338=EDGE_CURVE('',#14156,#14163,#8488,.T.); #17339=EDGE_CURVE('',#14157,#14164,#8489,.T.); #17340=EDGE_CURVE('',#14163,#14164,#10276,.T.); #17341=EDGE_CURVE('',#14165,#14162,#8490,.T.); #17342=EDGE_CURVE('',#14028,#14165,#10277,.T.); #17343=EDGE_CURVE('',#14166,#14165,#10278,.T.); #17344=EDGE_CURVE('',#14029,#14166,#10279,.T.); #17345=EDGE_CURVE('',#14167,#14166,#8491,.T.); #17346=EDGE_CURVE('',#14030,#14167,#10280,.T.); #17347=EDGE_CURVE('',#14158,#14167,#8492,.T.); #17348=EDGE_CURVE('',#14168,#14148,#10281,.T.); #17349=EDGE_CURVE('',#14169,#14168,#10282,.T.); #17350=EDGE_CURVE('',#14169,#14150,#10283,.T.); #17351=EDGE_CURVE('',#14170,#14169,#8493,.T.); #17352=EDGE_CURVE('',#14164,#14170,#8494,.T.); #17353=EDGE_CURVE('',#14171,#14168,#8495,.T.); #17354=EDGE_CURVE('',#14170,#14171,#10284,.T.); #17355=EDGE_CURVE('',#14163,#14171,#8496,.T.); #17356=EDGE_CURVE('',#14172,#14172,#8497,.T.); #17357=EDGE_CURVE('',#14172,#14173,#10285,.T.); #17358=EDGE_CURVE('',#14174,#14174,#8498,.T.); #17359=EDGE_CURVE('',#14174,#14172,#10286,.T.); #17360=EDGE_CURVE('',#14175,#14175,#8499,.T.); #17361=EDGE_CURVE('',#14175,#14176,#10287,.T.); #17362=EDGE_CURVE('',#14177,#14177,#8500,.T.); #17363=EDGE_CURVE('',#14177,#14175,#10288,.T.); #17364=EDGE_CURVE('',#14178,#14178,#8501,.T.); #17365=EDGE_CURVE('',#14178,#14179,#10289,.T.); #17366=EDGE_CURVE('',#14180,#14180,#8502,.T.); #17367=EDGE_CURVE('',#14180,#14178,#10290,.T.); #17368=EDGE_CURVE('',#14181,#14181,#8503,.T.); #17369=EDGE_CURVE('',#14181,#14182,#10291,.T.); #17370=EDGE_CURVE('',#14183,#14183,#8504,.T.); #17371=EDGE_CURVE('',#14183,#14181,#10292,.T.); #17372=EDGE_CURVE('',#14184,#14184,#8505,.T.); #17373=EDGE_CURVE('',#14184,#14185,#10293,.T.); #17374=EDGE_CURVE('',#14186,#14186,#8506,.T.); #17375=EDGE_CURVE('',#14186,#14184,#10294,.T.); #17376=EDGE_CURVE('',#14187,#14187,#8507,.T.); #17377=EDGE_CURVE('',#14187,#14188,#10295,.T.); #17378=EDGE_CURVE('',#14189,#14189,#8508,.T.); #17379=EDGE_CURVE('',#14189,#14187,#10296,.T.); #17380=EDGE_CURVE('',#14190,#14190,#8509,.T.); #17381=EDGE_CURVE('',#14190,#14191,#10297,.T.); #17382=EDGE_CURVE('',#14192,#14192,#8510,.T.); #17383=EDGE_CURVE('',#14192,#14190,#10298,.T.); #17384=EDGE_CURVE('',#14193,#14193,#8511,.T.); #17385=EDGE_CURVE('',#14193,#14194,#10299,.T.); #17386=EDGE_CURVE('',#14195,#14195,#8512,.T.); #17387=EDGE_CURVE('',#14195,#14193,#10300,.T.); #17388=EDGE_CURVE('',#14196,#14196,#8513,.T.); #17389=EDGE_CURVE('',#14196,#14197,#10301,.T.); #17390=EDGE_CURVE('',#14198,#14198,#8514,.T.); #17391=EDGE_CURVE('',#14198,#14196,#10302,.T.); #17392=EDGE_CURVE('',#14199,#14199,#8515,.T.); #17393=EDGE_CURVE('',#14199,#14200,#10303,.T.); #17394=EDGE_CURVE('',#14201,#14201,#8516,.T.); #17395=EDGE_CURVE('',#14201,#14199,#10304,.T.); #17396=EDGE_CURVE('',#14202,#14202,#8517,.T.); #17397=EDGE_CURVE('',#14202,#14203,#10305,.T.); #17398=EDGE_CURVE('',#14204,#14204,#8518,.T.); #17399=EDGE_CURVE('',#14204,#14202,#10306,.T.); #17400=EDGE_CURVE('',#14205,#14205,#8519,.T.); #17401=EDGE_CURVE('',#14205,#14206,#10307,.T.); #17402=EDGE_CURVE('',#14207,#14207,#8520,.T.); #17403=EDGE_CURVE('',#14207,#14205,#10308,.T.); #17404=EDGE_CURVE('',#14208,#14208,#8521,.T.); #17405=EDGE_CURVE('',#14208,#14209,#10309,.T.); #17406=EDGE_CURVE('',#14210,#14210,#8522,.T.); #17407=EDGE_CURVE('',#14210,#14208,#10310,.T.); #17408=EDGE_CURVE('',#14211,#14211,#8523,.T.); #17409=EDGE_CURVE('',#14211,#14212,#10311,.T.); #17410=EDGE_CURVE('',#14213,#14213,#8524,.T.); #17411=EDGE_CURVE('',#14213,#14211,#10312,.T.); #17412=EDGE_CURVE('',#14214,#14214,#8525,.T.); #17413=EDGE_CURVE('',#14214,#14215,#10313,.T.); #17414=EDGE_CURVE('',#14216,#14216,#8526,.T.); #17415=EDGE_CURVE('',#14216,#14214,#10314,.T.); #17416=EDGE_CURVE('',#14217,#14217,#8527,.T.); #17417=EDGE_CURVE('',#14217,#14218,#10315,.T.); #17418=EDGE_CURVE('',#14219,#14219,#8528,.T.); #17419=EDGE_CURVE('',#14219,#14217,#10316,.T.); #17420=EDGE_CURVE('',#14220,#14220,#8529,.T.); #17421=EDGE_CURVE('',#14220,#14221,#10317,.T.); #17422=EDGE_CURVE('',#14222,#14222,#8530,.T.); #17423=EDGE_CURVE('',#14222,#14220,#10318,.T.); #17424=EDGE_CURVE('',#14223,#14223,#8531,.T.); #17425=EDGE_CURVE('',#14223,#14224,#10319,.T.); #17426=EDGE_CURVE('',#14225,#14225,#8532,.T.); #17427=EDGE_CURVE('',#14225,#14223,#10320,.T.); #17428=EDGE_CURVE('',#14226,#14226,#8533,.T.); #17429=EDGE_CURVE('',#14226,#14227,#10321,.T.); #17430=EDGE_CURVE('',#14228,#14228,#8534,.T.); #17431=EDGE_CURVE('',#14228,#14226,#10322,.T.); #17432=EDGE_CURVE('',#14229,#14229,#8535,.T.); #17433=EDGE_CURVE('',#14229,#14230,#10323,.T.); #17434=EDGE_CURVE('',#14231,#14231,#8536,.T.); #17435=EDGE_CURVE('',#14231,#14229,#10324,.T.); #17436=EDGE_CURVE('',#14232,#14232,#8537,.T.); #17437=EDGE_CURVE('',#14232,#14233,#10325,.T.); #17438=EDGE_CURVE('',#14234,#14234,#8538,.T.); #17439=EDGE_CURVE('',#14234,#14232,#10326,.T.); #17440=EDGE_CURVE('',#14235,#14235,#8539,.T.); #17441=EDGE_CURVE('',#14235,#14236,#10327,.T.); #17442=EDGE_CURVE('',#14237,#14237,#8540,.T.); #17443=EDGE_CURVE('',#14237,#14235,#10328,.T.); #17444=EDGE_CURVE('',#14238,#14238,#8541,.T.); #17445=EDGE_CURVE('',#14238,#14239,#10329,.T.); #17446=EDGE_CURVE('',#14240,#14240,#8542,.T.); #17447=EDGE_CURVE('',#14240,#14238,#10330,.T.); #17448=EDGE_CURVE('',#14241,#14241,#8543,.T.); #17449=EDGE_CURVE('',#14241,#14242,#10331,.T.); #17450=EDGE_CURVE('',#14243,#14243,#8544,.T.); #17451=EDGE_CURVE('',#14243,#14241,#10332,.T.); #17452=EDGE_CURVE('',#14244,#14244,#8545,.T.); #17453=EDGE_CURVE('',#14244,#14245,#10333,.T.); #17454=EDGE_CURVE('',#14246,#14246,#8546,.T.); #17455=EDGE_CURVE('',#14246,#14244,#10334,.T.); #17456=EDGE_CURVE('',#14247,#14247,#8547,.T.); #17457=EDGE_CURVE('',#14247,#14248,#10335,.T.); #17458=EDGE_CURVE('',#14249,#14249,#8548,.T.); #17459=EDGE_CURVE('',#14249,#14247,#10336,.T.); #17460=EDGE_CURVE('',#14250,#14250,#8549,.T.); #17461=EDGE_CURVE('',#14250,#14251,#10337,.T.); #17462=EDGE_CURVE('',#14252,#14252,#8550,.T.); #17463=EDGE_CURVE('',#14252,#14250,#10338,.T.); #17464=EDGE_CURVE('',#14253,#14253,#8551,.T.); #17465=EDGE_CURVE('',#14253,#14254,#10339,.T.); #17466=EDGE_CURVE('',#14255,#14255,#8552,.T.); #17467=EDGE_CURVE('',#14255,#14253,#10340,.T.); #17468=EDGE_CURVE('',#14256,#14256,#8553,.T.); #17469=EDGE_CURVE('',#14256,#14257,#10341,.T.); #17470=EDGE_CURVE('',#14258,#14258,#8554,.T.); #17471=EDGE_CURVE('',#14258,#14256,#10342,.T.); #17472=EDGE_CURVE('',#14259,#14259,#8555,.T.); #17473=EDGE_CURVE('',#14259,#14260,#10343,.T.); #17474=EDGE_CURVE('',#14261,#14261,#8556,.T.); #17475=EDGE_CURVE('',#14261,#14259,#10344,.T.); #17476=EDGE_CURVE('',#14262,#14262,#8557,.T.); #17477=EDGE_CURVE('',#14262,#14263,#10345,.T.); #17478=EDGE_CURVE('',#14264,#14264,#8558,.T.); #17479=EDGE_CURVE('',#14264,#14262,#10346,.T.); #17480=EDGE_CURVE('',#14265,#14265,#8559,.T.); #17481=EDGE_CURVE('',#14265,#14266,#10347,.T.); #17482=EDGE_CURVE('',#14267,#14267,#8560,.T.); #17483=EDGE_CURVE('',#14267,#14265,#10348,.T.); #17484=EDGE_CURVE('',#14268,#14268,#8561,.T.); #17485=EDGE_CURVE('',#14268,#14269,#10349,.T.); #17486=EDGE_CURVE('',#14270,#14270,#8562,.T.); #17487=EDGE_CURVE('',#14270,#14268,#10350,.T.); #17488=EDGE_CURVE('',#14271,#14271,#8563,.T.); #17489=EDGE_CURVE('',#14271,#14272,#10351,.T.); #17490=EDGE_CURVE('',#14273,#14273,#8564,.T.); #17491=EDGE_CURVE('',#14273,#14271,#10352,.T.); #17492=EDGE_CURVE('',#14274,#14274,#8565,.T.); #17493=EDGE_CURVE('',#14274,#14275,#10353,.T.); #17494=EDGE_CURVE('',#14276,#14276,#8566,.T.); #17495=EDGE_CURVE('',#14276,#14274,#10354,.T.); #17496=EDGE_CURVE('',#14277,#14278,#10355,.T.); #17497=EDGE_CURVE('',#14279,#14277,#10356,.T.); #17498=EDGE_CURVE('',#14280,#14279,#10357,.T.); #17499=EDGE_CURVE('',#14278,#14280,#10358,.T.); #17500=EDGE_CURVE('',#14279,#14281,#10359,.T.); #17501=EDGE_CURVE('',#14281,#14282,#10360,.T.); #17502=EDGE_CURVE('',#14282,#14283,#10361,.T.); #17503=EDGE_CURVE('',#14283,#14284,#8567,.T.); #17504=EDGE_CURVE('',#14284,#14280,#10362,.T.); #17505=EDGE_CURVE('',#14285,#14277,#10363,.T.); #17506=EDGE_CURVE('',#14285,#14281,#10364,.T.); #17507=EDGE_CURVE('',#14286,#14285,#10365,.T.); #17508=EDGE_CURVE('',#14282,#14286,#10366,.T.); #17509=EDGE_CURVE('',#14287,#14286,#10367,.T.); #17510=EDGE_CURVE('',#14287,#14283,#10368,.T.); #17511=EDGE_CURVE('',#14278,#14288,#10369,.T.); #17512=EDGE_CURVE('',#14288,#14287,#8568,.T.); #17513=EDGE_CURVE('',#14288,#14284,#10370,.T.); #17514=EDGE_CURVE('',#14289,#14289,#8569,.T.); #17515=EDGE_CURVE('',#14289,#14290,#10371,.T.); #17516=EDGE_CURVE('',#14290,#14290,#8570,.T.); #17517=EDGE_CURVE('',#14291,#14291,#8571,.T.); #17518=EDGE_CURVE('',#14291,#14292,#10372,.T.); #17519=EDGE_CURVE('',#14292,#14292,#8572,.T.); #17520=EDGE_CURVE('',#14293,#14293,#8573,.T.); #17521=EDGE_CURVE('',#14293,#14294,#10373,.T.); #17522=EDGE_CURVE('',#14294,#14294,#8574,.T.); #17523=EDGE_CURVE('',#14295,#14295,#8575,.T.); #17524=EDGE_CURVE('',#14295,#14296,#10374,.T.); #17525=EDGE_CURVE('',#14296,#14296,#8576,.T.); #17526=EDGE_CURVE('',#14297,#14297,#8577,.T.); #17527=EDGE_CURVE('',#14297,#14298,#10375,.T.); #17528=EDGE_CURVE('',#14299,#14299,#8578,.T.); #17529=EDGE_CURVE('',#14299,#14297,#10376,.T.); #17530=EDGE_CURVE('',#14300,#14300,#8579,.T.); #17531=EDGE_CURVE('',#14300,#14301,#10377,.T.); #17532=EDGE_CURVE('',#14302,#14302,#8580,.T.); #17533=EDGE_CURVE('',#14302,#14300,#10378,.T.); #17534=EDGE_CURVE('',#14303,#14303,#8581,.T.); #17535=EDGE_CURVE('',#14303,#14304,#10379,.T.); #17536=EDGE_CURVE('',#14305,#14305,#8582,.T.); #17537=EDGE_CURVE('',#14305,#14303,#10380,.T.); #17538=EDGE_CURVE('',#14306,#14307,#10381,.T.); #17539=EDGE_CURVE('',#14308,#14306,#10382,.T.); #17540=EDGE_CURVE('',#14309,#14308,#10383,.T.); #17541=EDGE_CURVE('',#14307,#14309,#10384,.T.); #17542=EDGE_CURVE('',#14310,#14310,#8583,.T.); #17543=EDGE_CURVE('',#14311,#14311,#8584,.T.); #17544=EDGE_CURVE('',#14312,#14312,#8585,.T.); #17545=EDGE_CURVE('',#14313,#14313,#8586,.T.); #17546=EDGE_CURVE('',#14314,#14314,#8587,.T.); #17547=EDGE_CURVE('',#14308,#14315,#10385,.T.); #17548=EDGE_CURVE('',#14315,#14316,#10386,.T.); #17549=EDGE_CURVE('',#14316,#14309,#10387,.T.); #17550=EDGE_CURVE('',#14310,#14317,#10388,.T.); #17551=EDGE_CURVE('',#14317,#14317,#8588,.T.); #17552=EDGE_CURVE('',#14311,#14318,#10389,.T.); #17553=EDGE_CURVE('',#14318,#14318,#8589,.T.); #17554=EDGE_CURVE('',#14312,#14319,#10390,.T.); #17555=EDGE_CURVE('',#14319,#14319,#8590,.T.); #17556=EDGE_CURVE('',#14313,#14320,#10391,.T.); #17557=EDGE_CURVE('',#14320,#14320,#8591,.T.); #17558=EDGE_CURVE('',#14314,#14321,#10392,.T.); #17559=EDGE_CURVE('',#14321,#14321,#8592,.T.); #17560=EDGE_CURVE('',#14322,#14306,#10393,.T.); #17561=EDGE_CURVE('',#14322,#14315,#10394,.T.); #17562=EDGE_CURVE('',#14323,#14323,#7389,.T.); #17563=EDGE_CURVE('',#14324,#14324,#7390,.T.); #17564=EDGE_CURVE('',#14325,#14325,#7391,.T.); #17565=EDGE_CURVE('',#14307,#14326,#10395,.T.); #17566=EDGE_CURVE('',#14326,#14322,#10396,.T.); #17567=EDGE_CURVE('',#14327,#14327,#8593,.T.); #17568=EDGE_CURVE('',#14327,#14328,#10397,.T.); #17569=EDGE_CURVE('',#14323,#14327,#10398,.T.); #17570=EDGE_CURVE('',#14329,#14329,#8594,.T.); #17571=EDGE_CURVE('',#14329,#14330,#10399,.T.); #17572=EDGE_CURVE('',#14324,#14329,#10400,.T.); #17573=EDGE_CURVE('',#14325,#14331,#10401,.T.); #17574=EDGE_CURVE('',#14332,#14331,#8595,.T.); #17575=EDGE_CURVE('',#14333,#14332,#7392,.T.); #17576=EDGE_CURVE('',#14331,#14333,#8596,.T.); #17577=EDGE_CURVE('',#14332,#14333,#831,.T.); #17578=EDGE_CURVE('',#14331,#14334,#10402,.T.); #17579=EDGE_CURVE('',#14326,#14316,#10403,.T.); #17580=EDGE_CURVE('',#14335,#14336,#10404,.T.); #17581=EDGE_CURVE('',#14336,#14337,#10405,.T.); #17582=EDGE_CURVE('',#14337,#14338,#10406,.T.); #17583=EDGE_CURVE('',#14338,#14335,#10407,.T.); #17584=EDGE_CURVE('',#14339,#14339,#8597,.T.); #17585=EDGE_CURVE('',#14340,#14340,#8598,.T.); #17586=EDGE_CURVE('',#14341,#14341,#8599,.T.); #17587=EDGE_CURVE('',#14341,#14339,#10408,.T.); #17588=EDGE_CURVE('',#14340,#14342,#10409,.T.); #17589=EDGE_CURVE('',#14342,#14342,#8600,.T.); #17590=EDGE_CURVE('',#14343,#14343,#8601,.T.); #17591=EDGE_CURVE('',#14344,#14344,#8602,.T.); #17592=EDGE_CURVE('',#14345,#14345,#8603,.T.); #17593=EDGE_CURVE('',#14345,#14343,#10410,.T.); #17594=EDGE_CURVE('',#14344,#14346,#10411,.T.); #17595=EDGE_CURVE('',#14346,#14346,#8604,.T.); #17596=EDGE_CURVE('',#14347,#14347,#8605,.T.); #17597=EDGE_CURVE('',#14348,#14348,#8606,.T.); #17598=EDGE_CURVE('',#14349,#14349,#8607,.T.); #17599=EDGE_CURVE('',#14349,#14347,#10412,.T.); #17600=EDGE_CURVE('',#14348,#14350,#10413,.T.); #17601=EDGE_CURVE('',#14350,#14350,#8608,.T.); #17602=EDGE_CURVE('',#14351,#14351,#8609,.T.); #17603=EDGE_CURVE('',#14352,#14352,#8610,.T.); #17604=EDGE_CURVE('',#14353,#14353,#8611,.T.); #17605=EDGE_CURVE('',#14353,#14351,#10414,.T.); #17606=EDGE_CURVE('',#14352,#14354,#10415,.T.); #17607=EDGE_CURVE('',#14354,#14354,#8612,.T.); #17608=EDGE_CURVE('',#14355,#14355,#8613,.T.); #17609=EDGE_CURVE('',#14356,#14356,#8614,.T.); #17610=EDGE_CURVE('',#14357,#14357,#8615,.T.); #17611=EDGE_CURVE('',#14357,#14355,#10416,.T.); #17612=EDGE_CURVE('',#14356,#14358,#10417,.T.); #17613=EDGE_CURVE('',#14358,#14358,#8616,.T.); #17614=EDGE_CURVE('',#14359,#14359,#8617,.T.); #17615=EDGE_CURVE('',#14360,#14360,#8618,.T.); #17616=EDGE_CURVE('',#14361,#14361,#8619,.T.); #17617=EDGE_CURVE('',#14361,#14359,#10418,.T.); #17618=EDGE_CURVE('',#14360,#14362,#10419,.T.); #17619=EDGE_CURVE('',#14362,#14362,#8620,.T.); #17620=EDGE_CURVE('',#14363,#14363,#8621,.T.); #17621=EDGE_CURVE('',#14364,#14364,#8622,.T.); #17622=EDGE_CURVE('',#14365,#14365,#8623,.T.); #17623=EDGE_CURVE('',#14365,#14363,#10420,.T.); #17624=EDGE_CURVE('',#14364,#14366,#10421,.T.); #17625=EDGE_CURVE('',#14366,#14366,#8624,.T.); #17626=EDGE_CURVE('',#14367,#14367,#8625,.T.); #17627=EDGE_CURVE('',#14368,#14368,#8626,.T.); #17628=EDGE_CURVE('',#14369,#14369,#8627,.T.); #17629=EDGE_CURVE('',#14369,#14367,#10422,.T.); #17630=EDGE_CURVE('',#14368,#14370,#10423,.T.); #17631=EDGE_CURVE('',#14370,#14370,#8628,.T.); #17632=EDGE_CURVE('',#14371,#14371,#8629,.T.); #17633=EDGE_CURVE('',#14372,#14372,#8630,.T.); #17634=EDGE_CURVE('',#14373,#14373,#8631,.T.); #17635=EDGE_CURVE('',#14373,#14371,#10424,.T.); #17636=EDGE_CURVE('',#14372,#14374,#10425,.T.); #17637=EDGE_CURVE('',#14374,#14374,#8632,.T.); #17638=EDGE_CURVE('',#14375,#14375,#8633,.T.); #17639=EDGE_CURVE('',#14376,#14376,#8634,.T.); #17640=EDGE_CURVE('',#14377,#14377,#8635,.T.); #17641=EDGE_CURVE('',#14377,#14375,#10426,.T.); #17642=EDGE_CURVE('',#14376,#14378,#10427,.T.); #17643=EDGE_CURVE('',#14378,#14378,#8636,.T.); #17644=EDGE_CURVE('',#14379,#14380,#10428,.T.); #17645=EDGE_CURVE('',#14380,#14381,#10429,.T.); #17646=EDGE_CURVE('',#14382,#14381,#10430,.T.); #17647=EDGE_CURVE('',#14379,#14382,#10431,.T.); #17648=EDGE_CURVE('',#14379,#14336,#10432,.T.); #17649=EDGE_CURVE('',#14382,#14337,#10433,.T.); #17650=EDGE_CURVE('',#14380,#14335,#10434,.T.); #17651=EDGE_CURVE('',#14381,#14338,#10435,.T.); #17652=EDGE_CURVE('',#14383,#14383,#8637,.T.); #17653=EDGE_CURVE('',#14383,#14384,#10436,.T.); #17654=EDGE_CURVE('',#14385,#14385,#8638,.T.); #17655=EDGE_CURVE('',#14385,#14383,#10437,.T.); #17656=EDGE_CURVE('',#14386,#14386,#8639,.T.); #17657=EDGE_CURVE('',#14386,#14387,#10438,.T.); #17658=EDGE_CURVE('',#14388,#14388,#8640,.T.); #17659=EDGE_CURVE('',#14388,#14386,#10439,.T.); #17660=EDGE_CURVE('',#14389,#14389,#8641,.T.); #17661=EDGE_CURVE('',#14389,#14390,#10440,.T.); #17662=EDGE_CURVE('',#14391,#14391,#8642,.T.); #17663=EDGE_CURVE('',#14391,#14389,#10441,.T.); #17664=EDGE_CURVE('',#14392,#14392,#8643,.T.); #17665=EDGE_CURVE('',#14392,#14393,#10442,.T.); #17666=EDGE_CURVE('',#14394,#14394,#8644,.T.); #17667=EDGE_CURVE('',#14394,#14392,#10443,.T.); #17668=EDGE_CURVE('',#14395,#14395,#8645,.T.); #17669=EDGE_CURVE('',#14395,#14396,#10444,.T.); #17670=EDGE_CURVE('',#14397,#14397,#8646,.T.); #17671=EDGE_CURVE('',#14397,#14395,#10445,.T.); #17672=EDGE_CURVE('',#14398,#14398,#8647,.T.); #17673=EDGE_CURVE('',#14398,#14399,#10446,.T.); #17674=EDGE_CURVE('',#14400,#14400,#8648,.T.); #17675=EDGE_CURVE('',#14400,#14398,#10447,.T.); #17676=EDGE_CURVE('',#14401,#14401,#8649,.T.); #17677=EDGE_CURVE('',#14401,#14402,#10448,.T.); #17678=EDGE_CURVE('',#14403,#14403,#8650,.T.); #17679=EDGE_CURVE('',#14403,#14401,#10449,.T.); #17680=EDGE_CURVE('',#14404,#14404,#8651,.T.); #17681=EDGE_CURVE('',#14404,#14405,#10450,.T.); #17682=EDGE_CURVE('',#14406,#14406,#8652,.T.); #17683=EDGE_CURVE('',#14406,#14404,#10451,.T.); #17684=EDGE_CURVE('',#14407,#14407,#8653,.T.); #17685=EDGE_CURVE('',#14407,#14408,#10452,.T.); #17686=EDGE_CURVE('',#14409,#14409,#8654,.T.); #17687=EDGE_CURVE('',#14409,#14407,#10453,.T.); #17688=EDGE_CURVE('',#14410,#14410,#8655,.T.); #17689=EDGE_CURVE('',#14410,#14411,#10454,.T.); #17690=EDGE_CURVE('',#14412,#14412,#8656,.T.); #17691=EDGE_CURVE('',#14412,#14410,#10455,.T.); #17692=EDGE_CURVE('',#14413,#14413,#8657,.T.); #17693=EDGE_CURVE('',#14413,#14414,#10456,.T.); #17694=EDGE_CURVE('',#14415,#14415,#8658,.T.); #17695=EDGE_CURVE('',#14415,#14413,#10457,.T.); #17696=EDGE_CURVE('',#14416,#14416,#8659,.T.); #17697=EDGE_CURVE('',#14416,#14417,#10458,.T.); #17698=EDGE_CURVE('',#14418,#14418,#8660,.T.); #17699=EDGE_CURVE('',#14418,#14416,#10459,.T.); #17700=EDGE_CURVE('',#14419,#14419,#8661,.T.); #17701=EDGE_CURVE('',#14419,#14420,#10460,.T.); #17702=EDGE_CURVE('',#14421,#14421,#8662,.T.); #17703=EDGE_CURVE('',#14421,#14419,#10461,.T.); #17704=EDGE_CURVE('',#14422,#14422,#8663,.T.); #17705=EDGE_CURVE('',#14422,#14423,#10462,.T.); #17706=EDGE_CURVE('',#14424,#14424,#8664,.T.); #17707=EDGE_CURVE('',#14424,#14422,#10463,.T.); #17708=EDGE_CURVE('',#14425,#14425,#8665,.T.); #17709=EDGE_CURVE('',#14425,#14426,#10464,.T.); #17710=EDGE_CURVE('',#14427,#14427,#8666,.T.); #17711=EDGE_CURVE('',#14427,#14425,#10465,.T.); #17712=EDGE_CURVE('',#14428,#14428,#8667,.T.); #17713=EDGE_CURVE('',#14428,#14429,#10466,.T.); #17714=EDGE_CURVE('',#14430,#14430,#8668,.T.); #17715=EDGE_CURVE('',#14430,#14428,#10467,.T.); #17716=EDGE_CURVE('',#14431,#14431,#8669,.T.); #17717=EDGE_CURVE('',#14431,#14432,#10468,.T.); #17718=EDGE_CURVE('',#14433,#14433,#8670,.T.); #17719=EDGE_CURVE('',#14433,#14431,#10469,.T.); #17720=EDGE_CURVE('',#14434,#14434,#8671,.T.); #17721=EDGE_CURVE('',#14434,#14435,#10470,.T.); #17722=EDGE_CURVE('',#14436,#14436,#8672,.T.); #17723=EDGE_CURVE('',#14436,#14434,#10471,.T.); #17724=EDGE_CURVE('',#14437,#14437,#8673,.T.); #17725=EDGE_CURVE('',#14437,#14438,#10472,.T.); #17726=EDGE_CURVE('',#14439,#14439,#8674,.T.); #17727=EDGE_CURVE('',#14439,#14437,#10473,.T.); #17728=EDGE_CURVE('',#14440,#14440,#8675,.T.); #17729=EDGE_CURVE('',#14440,#14441,#10474,.T.); #17730=EDGE_CURVE('',#14442,#14442,#8676,.T.); #17731=EDGE_CURVE('',#14442,#14440,#10475,.T.); #17732=EDGE_CURVE('',#14443,#14443,#8677,.T.); #17733=EDGE_CURVE('',#14443,#14444,#10476,.T.); #17734=EDGE_CURVE('',#14445,#14445,#8678,.T.); #17735=EDGE_CURVE('',#14445,#14443,#10477,.T.); #17736=EDGE_CURVE('',#14446,#14446,#8679,.T.); #17737=EDGE_CURVE('',#14446,#14447,#10478,.T.); #17738=EDGE_CURVE('',#14448,#14448,#8680,.T.); #17739=EDGE_CURVE('',#14448,#14446,#10479,.T.); #17740=EDGE_CURVE('',#14449,#14449,#8681,.T.); #17741=EDGE_CURVE('',#14449,#14450,#10480,.T.); #17742=EDGE_CURVE('',#14451,#14451,#8682,.T.); #17743=EDGE_CURVE('',#14451,#14449,#10481,.T.); #17744=EDGE_CURVE('',#14452,#14452,#8683,.T.); #17745=EDGE_CURVE('',#14452,#14453,#10482,.T.); #17746=EDGE_CURVE('',#14454,#14454,#8684,.T.); #17747=EDGE_CURVE('',#14454,#14452,#10483,.T.); #17748=EDGE_CURVE('',#14455,#14455,#8685,.T.); #17749=EDGE_CURVE('',#14455,#14456,#10484,.T.); #17750=EDGE_CURVE('',#14457,#14457,#8686,.T.); #17751=EDGE_CURVE('',#14457,#14455,#10485,.T.); #17752=EDGE_CURVE('',#14458,#14458,#8687,.T.); #17753=EDGE_CURVE('',#14458,#14459,#10486,.T.); #17754=EDGE_CURVE('',#14460,#14460,#8688,.T.); #17755=EDGE_CURVE('',#14460,#14458,#10487,.T.); #17756=EDGE_CURVE('',#14461,#14461,#8689,.T.); #17757=EDGE_CURVE('',#14461,#14462,#10488,.T.); #17758=EDGE_CURVE('',#14463,#14463,#8690,.T.); #17759=EDGE_CURVE('',#14463,#14461,#10489,.T.); #17760=EDGE_CURVE('',#14464,#14464,#8691,.T.); #17761=EDGE_CURVE('',#14464,#14465,#10490,.T.); #17762=EDGE_CURVE('',#14466,#14466,#8692,.T.); #17763=EDGE_CURVE('',#14466,#14464,#10491,.T.); #17764=EDGE_CURVE('',#14467,#14467,#8693,.T.); #17765=EDGE_CURVE('',#14467,#14468,#10492,.T.); #17766=EDGE_CURVE('',#14469,#14469,#8694,.T.); #17767=EDGE_CURVE('',#14469,#14467,#10493,.T.); #17768=EDGE_CURVE('',#14470,#14470,#8695,.T.); #17769=EDGE_CURVE('',#14470,#14471,#10494,.T.); #17770=EDGE_CURVE('',#14472,#14472,#8696,.T.); #17771=EDGE_CURVE('',#14472,#14470,#10495,.T.); #17772=EDGE_CURVE('',#14473,#14473,#8697,.T.); #17773=EDGE_CURVE('',#14473,#14474,#10496,.T.); #17774=EDGE_CURVE('',#14475,#14475,#8698,.T.); #17775=EDGE_CURVE('',#14475,#14473,#10497,.T.); #17776=EDGE_CURVE('',#14476,#14476,#8699,.T.); #17777=EDGE_CURVE('',#14476,#14477,#10498,.T.); #17778=EDGE_CURVE('',#14478,#14478,#8700,.T.); #17779=EDGE_CURVE('',#14478,#14476,#10499,.T.); #17780=EDGE_CURVE('',#14479,#14479,#8701,.T.); #17781=EDGE_CURVE('',#14479,#14480,#10500,.T.); #17782=EDGE_CURVE('',#14481,#14481,#8702,.T.); #17783=EDGE_CURVE('',#14481,#14479,#10501,.T.); #17784=EDGE_CURVE('',#14482,#14482,#8703,.T.); #17785=EDGE_CURVE('',#14482,#14483,#10502,.T.); #17786=EDGE_CURVE('',#14484,#14484,#8704,.T.); #17787=EDGE_CURVE('',#14484,#14482,#10503,.T.); #17788=EDGE_CURVE('',#14485,#14485,#8705,.T.); #17789=EDGE_CURVE('',#14485,#14486,#10504,.T.); #17790=EDGE_CURVE('',#14487,#14487,#8706,.T.); #17791=EDGE_CURVE('',#14487,#14485,#10505,.T.); #17792=EDGE_CURVE('',#14488,#14488,#8707,.T.); #17793=EDGE_CURVE('',#14488,#14489,#10506,.T.); #17794=EDGE_CURVE('',#14490,#14490,#8708,.T.); #17795=EDGE_CURVE('',#14490,#14488,#10507,.T.); #17796=EDGE_CURVE('',#14491,#14492,#10508,.T.); #17797=EDGE_CURVE('',#14493,#14492,#10509,.T.); #17798=EDGE_CURVE('',#14494,#14493,#10510,.T.); #17799=EDGE_CURVE('',#14491,#14494,#10511,.T.); #17800=EDGE_CURVE('',#14492,#14495,#10512,.T.); #17801=EDGE_CURVE('',#14496,#14495,#10513,.T.); #17802=EDGE_CURVE('',#14496,#14497,#10514,.T.); #17803=EDGE_CURVE('',#14493,#14497,#10515,.T.); #17804=EDGE_CURVE('',#14498,#14491,#10516,.T.); #17805=EDGE_CURVE('',#14495,#14498,#10517,.T.); #17806=EDGE_CURVE('',#14498,#14499,#10518,.T.); #17807=EDGE_CURVE('',#14499,#14500,#10519,.T.); #17808=EDGE_CURVE('',#14500,#14501,#10520,.T.); #17809=EDGE_CURVE('',#14502,#14501,#8709,.T.); #17810=EDGE_CURVE('',#14502,#14503,#10521,.T.); #17811=EDGE_CURVE('',#14503,#14496,#10522,.T.); #17812=EDGE_CURVE('',#14491,#14504,#10523,.T.); #17813=EDGE_CURVE('',#14499,#14504,#10524,.T.); #17814=EDGE_CURVE('',#14504,#14505,#10525,.T.); #17815=EDGE_CURVE('',#14506,#14505,#10526,.T.); #17816=EDGE_CURVE('',#14500,#14506,#10527,.T.); #17817=EDGE_CURVE('',#14505,#14494,#10528,.T.); #17818=EDGE_CURVE('',#14501,#14507,#10529,.T.); #17819=EDGE_CURVE('',#14507,#14508,#8710,.T.); #17820=EDGE_CURVE('',#14508,#14502,#10530,.T.); #17821=EDGE_CURVE('',#14507,#14506,#10531,.T.); #17822=EDGE_CURVE('',#14509,#14508,#10532,.T.); #17823=EDGE_CURVE('',#14509,#14503,#10533,.T.); #17824=EDGE_CURVE('',#14497,#14509,#10534,.T.); #17825=EDGE_CURVE('',#14510,#14510,#8711,.T.); #17826=EDGE_CURVE('',#14510,#14511,#10535,.T.); #17827=EDGE_CURVE('',#14512,#14512,#8712,.T.); #17828=EDGE_CURVE('',#14512,#14510,#10536,.T.); #17829=EDGE_CURVE('',#14513,#14513,#8713,.T.); #17830=EDGE_CURVE('',#14513,#14514,#10537,.T.); #17831=EDGE_CURVE('',#14515,#14515,#8714,.T.); #17832=EDGE_CURVE('',#14515,#14513,#10538,.T.); #17833=EDGE_CURVE('',#14516,#14516,#8715,.T.); #17834=EDGE_CURVE('',#14516,#14517,#10539,.T.); #17835=EDGE_CURVE('',#14518,#14518,#8716,.T.); #17836=EDGE_CURVE('',#14518,#14516,#10540,.T.); #17837=EDGE_CURVE('',#14519,#14519,#8717,.T.); #17838=EDGE_CURVE('',#14519,#14520,#10541,.T.); #17839=EDGE_CURVE('',#14521,#14521,#8718,.T.); #17840=EDGE_CURVE('',#14521,#14519,#10542,.T.); #17841=EDGE_CURVE('',#14522,#14522,#8719,.T.); #17842=EDGE_CURVE('',#14522,#14523,#10543,.T.); #17843=EDGE_CURVE('',#14524,#14524,#8720,.T.); #17844=EDGE_CURVE('',#14524,#14522,#10544,.T.); #17845=EDGE_CURVE('',#14525,#14525,#8721,.T.); #17846=EDGE_CURVE('',#14525,#14526,#10545,.T.); #17847=EDGE_CURVE('',#14526,#14526,#8722,.T.); #17848=EDGE_CURVE('',#14527,#14527,#8723,.T.); #17849=EDGE_CURVE('',#14527,#14528,#10546,.T.); #17850=EDGE_CURVE('',#14528,#14528,#8724,.T.); #17851=EDGE_CURVE('',#14529,#14529,#8725,.T.); #17852=EDGE_CURVE('',#14529,#14530,#10547,.T.); #17853=EDGE_CURVE('',#14530,#14530,#8726,.T.); #17854=EDGE_CURVE('',#14531,#14531,#8727,.T.); #17855=EDGE_CURVE('',#14531,#14532,#10548,.T.); #17856=EDGE_CURVE('',#14532,#14532,#8728,.T.); #17857=EDGE_CURVE('',#14533,#14533,#8729,.T.); #17858=EDGE_CURVE('',#14533,#14534,#10549,.T.); #17859=EDGE_CURVE('',#14534,#14534,#8730,.T.); #17860=EDGE_CURVE('',#14535,#14535,#8731,.T.); #17861=EDGE_CURVE('',#14535,#14536,#10550,.T.); #17862=EDGE_CURVE('',#14536,#14536,#8732,.T.); #17863=EDGE_CURVE('',#14537,#14537,#8733,.T.); #17864=EDGE_CURVE('',#14537,#14538,#10551,.T.); #17865=EDGE_CURVE('',#14538,#14538,#8734,.T.); #17866=EDGE_CURVE('',#14539,#14539,#8735,.T.); #17867=EDGE_CURVE('',#14539,#14540,#10552,.T.); #17868=EDGE_CURVE('',#14540,#14540,#8736,.T.); #17869=EDGE_CURVE('',#14541,#14541,#8737,.T.); #17870=EDGE_CURVE('',#14541,#14542,#10553,.T.); #17871=EDGE_CURVE('',#14542,#14542,#8738,.T.); #17872=EDGE_CURVE('',#14543,#14543,#8739,.T.); #17873=EDGE_CURVE('',#14543,#14544,#10554,.T.); #17874=EDGE_CURVE('',#14544,#14544,#8740,.T.); #17875=EDGE_CURVE('',#14545,#14545,#8741,.T.); #17876=EDGE_CURVE('',#14545,#14546,#10555,.T.); #17877=EDGE_CURVE('',#14546,#14546,#8742,.T.); #17878=EDGE_CURVE('',#14547,#14547,#8743,.T.); #17879=EDGE_CURVE('',#14547,#14548,#10556,.T.); #17880=EDGE_CURVE('',#14548,#14548,#8744,.T.); #17881=EDGE_CURVE('',#14549,#14549,#8745,.T.); #17882=EDGE_CURVE('',#14549,#14550,#10557,.T.); #17883=EDGE_CURVE('',#14550,#14550,#8746,.T.); #17884=EDGE_CURVE('',#14551,#14551,#8747,.T.); #17885=EDGE_CURVE('',#14551,#14552,#10558,.T.); #17886=EDGE_CURVE('',#14552,#14552,#8748,.T.); #17887=EDGE_CURVE('',#14553,#14553,#8749,.T.); #17888=EDGE_CURVE('',#14553,#14554,#10559,.T.); #17889=EDGE_CURVE('',#14554,#14554,#8750,.T.); #17890=EDGE_CURVE('',#14555,#14555,#8751,.T.); #17891=EDGE_CURVE('',#14555,#14556,#10560,.T.); #17892=EDGE_CURVE('',#14556,#14556,#8752,.T.); #17893=EDGE_CURVE('',#14557,#14557,#8753,.T.); #17894=EDGE_CURVE('',#14557,#14558,#10561,.T.); #17895=EDGE_CURVE('',#14558,#14558,#8754,.T.); #17896=EDGE_CURVE('',#14559,#14560,#10562,.T.); #17897=EDGE_CURVE('',#14561,#14560,#10563,.T.); #17898=EDGE_CURVE('',#14562,#14561,#10564,.T.); #17899=EDGE_CURVE('',#14559,#14562,#7393,.T.); #17900=EDGE_CURVE('',#14560,#14563,#10565,.T.); #17901=EDGE_CURVE('',#14564,#14563,#10566,.T.); #17902=EDGE_CURVE('',#14564,#14565,#10567,.T.); #17903=EDGE_CURVE('',#14565,#14566,#10568,.T.); #17904=EDGE_CURVE('',#14566,#14561,#10569,.T.); #17905=EDGE_CURVE('',#14559,#14567,#7394,.T.); #17906=EDGE_CURVE('',#14563,#14567,#10570,.T.); #17907=EDGE_CURVE('',#14562,#14568,#7395,.T.); #17908=EDGE_CURVE('',#14568,#14569,#7396,.T.); #17909=EDGE_CURVE('',#14569,#14570,#7397,.T.); #17910=EDGE_CURVE('',#14567,#14570,#7398,.T.); #17911=EDGE_CURVE('',#14566,#14568,#10571,.T.); #17912=EDGE_CURVE('',#14569,#14565,#10572,.T.); #17913=EDGE_CURVE('',#14570,#14564,#10573,.T.); #17914=EDGE_CURVE('',#14571,#14571,#8755,.T.); #17915=EDGE_CURVE('',#14571,#14572,#10574,.T.); #17916=EDGE_CURVE('',#14573,#14573,#8756,.T.); #17917=EDGE_CURVE('',#14573,#14571,#10575,.T.); #17918=EDGE_CURVE('',#14574,#14574,#8757,.T.); #17919=EDGE_CURVE('',#14574,#14575,#10576,.T.); #17920=EDGE_CURVE('',#14576,#14576,#8758,.T.); #17921=EDGE_CURVE('',#14576,#14574,#10577,.T.); #17922=EDGE_CURVE('',#14577,#14577,#8759,.T.); #17923=EDGE_CURVE('',#14577,#14578,#10578,.T.); #17924=EDGE_CURVE('',#14579,#14579,#8760,.T.); #17925=EDGE_CURVE('',#14579,#14577,#10579,.T.); #17926=EDGE_CURVE('',#14580,#14580,#8761,.T.); #17927=EDGE_CURVE('',#14580,#14581,#10580,.T.); #17928=EDGE_CURVE('',#14582,#14582,#8762,.T.); #17929=EDGE_CURVE('',#14582,#14580,#10581,.T.); #17930=EDGE_CURVE('',#14583,#14583,#8763,.T.); #17931=EDGE_CURVE('',#14583,#14584,#10582,.T.); #17932=EDGE_CURVE('',#14585,#14585,#8764,.T.); #17933=EDGE_CURVE('',#14585,#14583,#10583,.T.); #17934=EDGE_CURVE('',#14586,#14586,#8765,.T.); #17935=EDGE_CURVE('',#14586,#14587,#10584,.T.); #17936=EDGE_CURVE('',#14588,#14588,#8766,.T.); #17937=EDGE_CURVE('',#14588,#14586,#10585,.T.); #17938=EDGE_CURVE('',#14589,#14589,#8767,.T.); #17939=EDGE_CURVE('',#14589,#14590,#10586,.T.); #17940=EDGE_CURVE('',#14591,#14591,#8768,.T.); #17941=EDGE_CURVE('',#14591,#14589,#10587,.T.); #17942=EDGE_CURVE('',#14592,#14592,#8769,.T.); #17943=EDGE_CURVE('',#14592,#14593,#10588,.T.); #17944=EDGE_CURVE('',#14593,#14593,#8770,.T.); #17945=EDGE_CURVE('',#14594,#14594,#8771,.T.); #17946=EDGE_CURVE('',#14594,#14595,#10589,.T.); #17947=EDGE_CURVE('',#14595,#14595,#8772,.T.); #17948=EDGE_CURVE('',#14596,#14596,#8773,.T.); #17949=EDGE_CURVE('',#14596,#14597,#10590,.T.); #17950=EDGE_CURVE('',#14597,#14597,#8774,.T.); #17951=EDGE_CURVE('',#14598,#14598,#8775,.T.); #17952=EDGE_CURVE('',#14598,#14599,#10591,.T.); #17953=EDGE_CURVE('',#14599,#14599,#8776,.T.); #17954=EDGE_CURVE('',#14600,#14600,#8777,.T.); #17955=EDGE_CURVE('',#14600,#14601,#10592,.T.); #17956=EDGE_CURVE('',#14601,#14601,#8778,.T.); #17957=EDGE_CURVE('',#14602,#14602,#8779,.T.); #17958=EDGE_CURVE('',#14602,#14603,#10593,.T.); #17959=EDGE_CURVE('',#14603,#14603,#8780,.T.); #17960=EDGE_CURVE('',#14604,#14604,#8781,.T.); #17961=EDGE_CURVE('',#14604,#14605,#10594,.T.); #17962=EDGE_CURVE('',#14605,#14605,#8782,.T.); #17963=EDGE_CURVE('',#14606,#14606,#8783,.T.); #17964=EDGE_CURVE('',#14606,#14607,#10595,.T.); #17965=EDGE_CURVE('',#14607,#14607,#8784,.T.); #17966=EDGE_CURVE('',#14608,#14608,#8785,.T.); #17967=EDGE_CURVE('',#14608,#14609,#10596,.T.); #17968=EDGE_CURVE('',#14609,#14609,#8786,.T.); #17969=EDGE_CURVE('',#14610,#14610,#8787,.T.); #17970=EDGE_CURVE('',#14610,#14611,#10597,.T.); #17971=EDGE_CURVE('',#14611,#14611,#8788,.T.); #17972=EDGE_CURVE('',#14612,#14612,#8789,.T.); #17973=EDGE_CURVE('',#14612,#14613,#10598,.T.); #17974=EDGE_CURVE('',#14613,#14613,#8790,.T.); #17975=EDGE_CURVE('',#14614,#14614,#8791,.T.); #17976=EDGE_CURVE('',#14614,#14615,#10599,.T.); #17977=EDGE_CURVE('',#14615,#14615,#8792,.T.); #17978=EDGE_CURVE('',#14616,#14616,#8793,.T.); #17979=EDGE_CURVE('',#14616,#14617,#10600,.T.); #17980=EDGE_CURVE('',#14617,#14617,#8794,.T.); #17981=EDGE_CURVE('',#14618,#14618,#8795,.T.); #17982=EDGE_CURVE('',#14618,#14619,#10601,.T.); #17983=EDGE_CURVE('',#14619,#14619,#8796,.T.); #17984=EDGE_CURVE('',#14620,#14620,#8797,.T.); #17985=EDGE_CURVE('',#14620,#14621,#10602,.T.); #17986=EDGE_CURVE('',#14621,#14621,#8798,.T.); #17987=EDGE_CURVE('',#14622,#14622,#8799,.T.); #17988=EDGE_CURVE('',#14622,#14623,#10603,.T.); #17989=EDGE_CURVE('',#14623,#14623,#8800,.T.); #17990=EDGE_CURVE('',#14624,#14625,#10604,.T.); #17991=EDGE_CURVE('',#14624,#14626,#10605,.T.); #17992=EDGE_CURVE('',#14627,#14626,#7399,.T.); #17993=EDGE_CURVE('',#14627,#14625,#10606,.T.); #17994=EDGE_CURVE('',#14628,#14625,#10607,.T.); #17995=EDGE_CURVE('',#14627,#14629,#10608,.T.); #17996=EDGE_CURVE('',#14628,#14629,#10609,.T.); #17997=EDGE_CURVE('',#14630,#14626,#7400,.T.); #17998=EDGE_CURVE('',#14624,#14631,#10610,.T.); #17999=EDGE_CURVE('',#14630,#14631,#10611,.T.); #18000=EDGE_CURVE('',#14632,#14630,#7401,.T.); #18001=EDGE_CURVE('',#14633,#14632,#7402,.T.); #18002=EDGE_CURVE('',#14634,#14633,#10612,.T.); #18003=EDGE_CURVE('',#14635,#14634,#7403,.T.); #18004=EDGE_CURVE('',#14635,#14636,#7404,.T.); #18005=EDGE_CURVE('',#14636,#14637,#7405,.T.); #18006=EDGE_CURVE('',#14637,#14629,#7406,.T.); #18007=EDGE_CURVE('',#14636,#14638,#10613,.T.); #18008=EDGE_CURVE('',#14639,#14638,#10614,.T.); #18009=EDGE_CURVE('',#14637,#14639,#10615,.T.); #18010=EDGE_CURVE('',#14628,#14639,#10616,.T.); #18011=EDGE_CURVE('',#14638,#14640,#10617,.T.); #18012=EDGE_CURVE('',#14641,#14640,#10618,.T.); #18013=EDGE_CURVE('',#14642,#14641,#10619,.T.); #18014=EDGE_CURVE('',#14643,#14642,#10620,.T.); #18015=EDGE_CURVE('',#14631,#14643,#10621,.T.); #18016=EDGE_CURVE('',#14640,#14635,#10622,.T.); #18017=EDGE_CURVE('',#14641,#14634,#10623,.T.); #18018=EDGE_CURVE('',#14633,#14642,#10624,.T.); #18019=EDGE_CURVE('',#14643,#14632,#10625,.T.); #18020=EDGE_CURVE('',#14644,#14644,#8801,.T.); #18021=EDGE_CURVE('',#14644,#14645,#10626,.T.); #18022=EDGE_CURVE('',#14646,#14646,#8802,.T.); #18023=EDGE_CURVE('',#14646,#14644,#10627,.T.); #18024=EDGE_CURVE('',#14647,#14647,#8803,.T.); #18025=EDGE_CURVE('',#14647,#14648,#10628,.T.); #18026=EDGE_CURVE('',#14649,#14649,#8804,.T.); #18027=EDGE_CURVE('',#14649,#14647,#10629,.T.); #18028=EDGE_CURVE('',#14650,#14650,#8805,.T.); #18029=EDGE_CURVE('',#14650,#14651,#10630,.T.); #18030=EDGE_CURVE('',#14652,#14652,#8806,.T.); #18031=EDGE_CURVE('',#14652,#14650,#10631,.T.); #18032=EDGE_CURVE('',#14653,#14653,#8807,.T.); #18033=EDGE_CURVE('',#14653,#14654,#10632,.T.); #18034=EDGE_CURVE('',#14655,#14655,#8808,.T.); #18035=EDGE_CURVE('',#14655,#14653,#10633,.T.); #18036=EDGE_CURVE('',#14656,#14656,#8809,.T.); #18037=EDGE_CURVE('',#14656,#14657,#10634,.T.); #18038=EDGE_CURVE('',#14658,#14658,#8810,.T.); #18039=EDGE_CURVE('',#14658,#14656,#10635,.T.); #18040=EDGE_CURVE('',#14659,#14659,#8811,.T.); #18041=EDGE_CURVE('',#14659,#14660,#10636,.T.); #18042=EDGE_CURVE('',#14660,#14660,#8812,.T.); #18043=EDGE_CURVE('',#14661,#14661,#8813,.T.); #18044=EDGE_CURVE('',#14661,#14662,#10637,.T.); #18045=EDGE_CURVE('',#14662,#14662,#8814,.T.); #18046=EDGE_CURVE('',#14663,#14663,#8815,.T.); #18047=EDGE_CURVE('',#14663,#14664,#10638,.T.); #18048=EDGE_CURVE('',#14664,#14664,#8816,.T.); #18049=EDGE_CURVE('',#14665,#14665,#8817,.T.); #18050=EDGE_CURVE('',#14665,#14666,#10639,.T.); #18051=EDGE_CURVE('',#14666,#14666,#8818,.T.); #18052=EDGE_CURVE('',#14667,#14667,#8819,.T.); #18053=EDGE_CURVE('',#14667,#14668,#10640,.T.); #18054=EDGE_CURVE('',#14668,#14668,#8820,.T.); #18055=EDGE_CURVE('',#14669,#14669,#8821,.T.); #18056=EDGE_CURVE('',#14669,#14670,#10641,.T.); #18057=EDGE_CURVE('',#14670,#14670,#8822,.T.); #18058=EDGE_CURVE('',#14671,#14671,#8823,.T.); #18059=EDGE_CURVE('',#14671,#14672,#10642,.T.); #18060=EDGE_CURVE('',#14672,#14672,#8824,.T.); #18061=EDGE_CURVE('',#14673,#14673,#8825,.T.); #18062=EDGE_CURVE('',#14673,#14674,#10643,.T.); #18063=EDGE_CURVE('',#14674,#14674,#8826,.T.); #18064=EDGE_CURVE('',#14675,#14675,#8827,.T.); #18065=EDGE_CURVE('',#14675,#14676,#10644,.T.); #18066=EDGE_CURVE('',#14676,#14676,#8828,.T.); #18067=EDGE_CURVE('',#14677,#14677,#8829,.T.); #18068=EDGE_CURVE('',#14677,#14678,#10645,.T.); #18069=EDGE_CURVE('',#14678,#14678,#8830,.T.); #18070=EDGE_CURVE('',#14679,#14679,#8831,.T.); #18071=EDGE_CURVE('',#14679,#14680,#10646,.T.); #18072=EDGE_CURVE('',#14680,#14680,#8832,.T.); #18073=EDGE_CURVE('',#14681,#14681,#8833,.T.); #18074=EDGE_CURVE('',#14681,#14682,#10647,.T.); #18075=EDGE_CURVE('',#14682,#14682,#8834,.T.); #18076=EDGE_CURVE('',#14683,#14683,#8835,.T.); #18077=EDGE_CURVE('',#14683,#14684,#10648,.T.); #18078=EDGE_CURVE('',#14684,#14684,#8836,.T.); #18079=EDGE_CURVE('',#14685,#14685,#8837,.T.); #18080=EDGE_CURVE('',#14685,#14686,#10649,.T.); #18081=EDGE_CURVE('',#14686,#14686,#8838,.T.); #18082=EDGE_CURVE('',#14687,#14687,#8839,.T.); #18083=EDGE_CURVE('',#14687,#14688,#10650,.T.); #18084=EDGE_CURVE('',#14688,#14688,#8840,.T.); #18085=EDGE_CURVE('',#14689,#14689,#8841,.T.); #18086=EDGE_CURVE('',#14689,#14690,#10651,.T.); #18087=EDGE_CURVE('',#14690,#14690,#8842,.T.); #18088=EDGE_CURVE('',#14691,#14691,#8843,.T.); #18089=EDGE_CURVE('',#14691,#14692,#10652,.T.); #18090=EDGE_CURVE('',#14692,#14692,#8844,.T.); #18091=EDGE_CURVE('',#14693,#14694,#7407,.T.); #18092=EDGE_CURVE('',#14695,#14693,#10653,.T.); #18093=EDGE_CURVE('',#14696,#14695,#10654,.T.); #18094=EDGE_CURVE('',#14696,#14694,#10655,.T.); #18095=EDGE_CURVE('',#14697,#14693,#7408,.T.); #18096=EDGE_CURVE('',#14698,#14697,#10656,.T.); #18097=EDGE_CURVE('',#14695,#14698,#10657,.T.); #18098=EDGE_CURVE('',#14699,#14700,#7409,.T.); #18099=EDGE_CURVE('',#14700,#14701,#10658,.T.); #18100=EDGE_CURVE('',#14702,#14701,#10659,.T.); #18101=EDGE_CURVE('',#14699,#14702,#10660,.T.); #18102=EDGE_CURVE('',#14694,#14700,#7410,.T.); #18103=EDGE_CURVE('',#14701,#14696,#10661,.T.); #18104=EDGE_CURVE('',#14698,#14703,#10662,.T.); #18105=EDGE_CURVE('',#14702,#14703,#10663,.T.); #18106=EDGE_CURVE('',#14704,#14699,#7411,.T.); #18107=EDGE_CURVE('',#14697,#14704,#7412,.T.); #18108=EDGE_CURVE('',#14703,#14704,#10664,.T.); #18109=EDGE_CURVE('',#14705,#14706,#10665,.T.); #18110=EDGE_CURVE('',#14707,#14706,#10666,.T.); #18111=EDGE_CURVE('',#14707,#14708,#10667,.T.); #18112=EDGE_CURVE('',#14708,#14705,#10668,.T.); #18113=EDGE_CURVE('',#14706,#14709,#7413,.T.); #18114=EDGE_CURVE('',#14709,#14710,#10669,.T.); #18115=EDGE_CURVE('',#14710,#14707,#7414,.T.); #18116=EDGE_CURVE('',#14711,#14705,#7415,.T.); #18117=EDGE_CURVE('',#14708,#14712,#7416,.T.); #18118=EDGE_CURVE('',#14711,#14712,#10670,.T.); #18119=EDGE_CURVE('',#14713,#14713,#8845,.T.); #18120=EDGE_CURVE('',#14713,#14714,#10671,.T.); #18121=EDGE_CURVE('',#14714,#14714,#8846,.T.); #18122=EDGE_CURVE('',#14715,#14715,#8847,.T.); #18123=EDGE_CURVE('',#14715,#14716,#10672,.T.); #18124=EDGE_CURVE('',#14716,#14716,#8848,.T.); #18125=EDGE_CURVE('',#14710,#14717,#10673,.T.); #18126=EDGE_CURVE('',#14717,#14718,#10674,.T.); #18127=EDGE_CURVE('',#14712,#14718,#10675,.T.); #18128=EDGE_CURVE('',#14719,#14709,#10676,.T.); #18129=EDGE_CURVE('',#14720,#14711,#10677,.T.); #18130=EDGE_CURVE('',#14719,#14720,#10678,.T.); #18131=EDGE_CURVE('',#14717,#14719,#8849,.T.); #18132=EDGE_CURVE('',#14718,#14720,#8850,.T.); #18133=EDGE_CURVE('',#14721,#14721,#8851,.T.); #18134=EDGE_CURVE('',#14721,#14722,#10679,.T.); #18135=EDGE_CURVE('',#14723,#14723,#8852,.T.); #18136=EDGE_CURVE('',#14723,#14721,#10680,.T.); #18137=EDGE_CURVE('',#14724,#14724,#8853,.T.); #18138=EDGE_CURVE('',#14724,#14725,#10681,.T.); #18139=EDGE_CURVE('',#14726,#14726,#8854,.T.); #18140=EDGE_CURVE('',#14726,#14724,#10682,.T.); #18141=EDGE_CURVE('',#14727,#14727,#8855,.T.); #18142=EDGE_CURVE('',#14727,#14728,#10683,.T.); #18143=EDGE_CURVE('',#14729,#14729,#8856,.T.); #18144=EDGE_CURVE('',#14729,#14727,#10684,.T.); #18145=EDGE_CURVE('',#14730,#14730,#8857,.T.); #18146=EDGE_CURVE('',#14730,#14731,#10685,.T.); #18147=EDGE_CURVE('',#14732,#14732,#8858,.T.); #18148=EDGE_CURVE('',#14732,#14730,#10686,.T.); #18149=EDGE_CURVE('',#14733,#14733,#8859,.T.); #18150=EDGE_CURVE('',#14733,#14734,#10687,.T.); #18151=EDGE_CURVE('',#14735,#14735,#8860,.T.); #18152=EDGE_CURVE('',#14735,#14733,#10688,.T.); #18153=EDGE_CURVE('',#14736,#14736,#8861,.T.); #18154=EDGE_CURVE('',#14736,#14737,#10689,.T.); #18155=EDGE_CURVE('',#14738,#14738,#8862,.T.); #18156=EDGE_CURVE('',#14738,#14736,#10690,.T.); #18157=EDGE_CURVE('',#14739,#14739,#8863,.T.); #18158=EDGE_CURVE('',#14739,#14740,#10691,.T.); #18159=EDGE_CURVE('',#14741,#14741,#8864,.T.); #18160=EDGE_CURVE('',#14741,#14739,#10692,.T.); #18161=EDGE_CURVE('',#14742,#14742,#8865,.T.); #18162=EDGE_CURVE('',#14742,#14743,#10693,.T.); #18163=EDGE_CURVE('',#14744,#14744,#8866,.T.); #18164=EDGE_CURVE('',#14744,#14742,#10694,.T.); #18165=EDGE_CURVE('',#14745,#14745,#8867,.T.); #18166=EDGE_CURVE('',#14745,#14746,#10695,.T.); #18167=EDGE_CURVE('',#14747,#14747,#8868,.T.); #18168=EDGE_CURVE('',#14747,#14745,#10696,.T.); #18169=EDGE_CURVE('',#14748,#14748,#8869,.T.); #18170=EDGE_CURVE('',#14748,#14749,#10697,.T.); #18171=EDGE_CURVE('',#14750,#14750,#8870,.T.); #18172=EDGE_CURVE('',#14750,#14748,#10698,.T.); #18173=EDGE_CURVE('',#14751,#14751,#8871,.T.); #18174=EDGE_CURVE('',#14751,#14752,#10699,.T.); #18175=EDGE_CURVE('',#14753,#14753,#8872,.T.); #18176=EDGE_CURVE('',#14753,#14751,#10700,.T.); #18177=EDGE_CURVE('',#14754,#14754,#8873,.T.); #18178=EDGE_CURVE('',#14754,#14755,#10701,.T.); #18179=EDGE_CURVE('',#14756,#14756,#8874,.T.); #18180=EDGE_CURVE('',#14756,#14754,#10702,.T.); #18181=EDGE_CURVE('',#14757,#14757,#8875,.T.); #18182=EDGE_CURVE('',#14757,#14758,#10703,.T.); #18183=EDGE_CURVE('',#14759,#14759,#8876,.T.); #18184=EDGE_CURVE('',#14759,#14757,#10704,.T.); #18185=EDGE_CURVE('',#14760,#14760,#8877,.T.); #18186=EDGE_CURVE('',#14760,#14761,#10705,.T.); #18187=EDGE_CURVE('',#14762,#14762,#8878,.T.); #18188=EDGE_CURVE('',#14762,#14760,#10706,.T.); #18189=EDGE_CURVE('',#14763,#14763,#8879,.T.); #18190=EDGE_CURVE('',#14763,#14764,#10707,.T.); #18191=EDGE_CURVE('',#14765,#14765,#8880,.T.); #18192=EDGE_CURVE('',#14765,#14763,#10708,.T.); #18193=EDGE_CURVE('',#14766,#14766,#8881,.T.); #18194=EDGE_CURVE('',#14766,#14767,#10709,.T.); #18195=EDGE_CURVE('',#14768,#14768,#8882,.T.); #18196=EDGE_CURVE('',#14768,#14766,#10710,.T.); #18197=EDGE_CURVE('',#14769,#14769,#8883,.T.); #18198=EDGE_CURVE('',#14769,#14770,#10711,.T.); #18199=EDGE_CURVE('',#14771,#14771,#8884,.T.); #18200=EDGE_CURVE('',#14771,#14769,#10712,.T.); #18201=EDGE_CURVE('',#14772,#14772,#8885,.T.); #18202=EDGE_CURVE('',#14772,#14773,#10713,.T.); #18203=EDGE_CURVE('',#14774,#14774,#8886,.T.); #18204=EDGE_CURVE('',#14774,#14772,#10714,.T.); #18205=EDGE_CURVE('',#14775,#14775,#8887,.T.); #18206=EDGE_CURVE('',#14775,#14776,#10715,.T.); #18207=EDGE_CURVE('',#14777,#14777,#8888,.T.); #18208=EDGE_CURVE('',#14777,#14775,#10716,.T.); #18209=EDGE_CURVE('',#14778,#14778,#8889,.T.); #18210=EDGE_CURVE('',#14778,#14779,#10717,.T.); #18211=EDGE_CURVE('',#14780,#14780,#8890,.T.); #18212=EDGE_CURVE('',#14780,#14778,#10718,.T.); #18213=EDGE_CURVE('',#14781,#14781,#8891,.T.); #18214=EDGE_CURVE('',#14781,#14782,#10719,.T.); #18215=EDGE_CURVE('',#14783,#14783,#8892,.T.); #18216=EDGE_CURVE('',#14783,#14781,#10720,.T.); #18217=EDGE_CURVE('',#14784,#14784,#8893,.T.); #18218=EDGE_CURVE('',#14784,#14785,#10721,.T.); #18219=EDGE_CURVE('',#14786,#14786,#8894,.T.); #18220=EDGE_CURVE('',#14786,#14784,#10722,.T.); #18221=EDGE_CURVE('',#14787,#14787,#8895,.T.); #18222=EDGE_CURVE('',#14787,#14788,#10723,.T.); #18223=EDGE_CURVE('',#14789,#14789,#8896,.T.); #18224=EDGE_CURVE('',#14789,#14787,#10724,.T.); #18225=EDGE_CURVE('',#14790,#14790,#8897,.T.); #18226=EDGE_CURVE('',#14790,#14791,#10725,.T.); #18227=EDGE_CURVE('',#14792,#14792,#8898,.T.); #18228=EDGE_CURVE('',#14792,#14790,#10726,.T.); #18229=EDGE_CURVE('',#14793,#14793,#8899,.T.); #18230=EDGE_CURVE('',#14793,#14794,#10727,.T.); #18231=EDGE_CURVE('',#14795,#14795,#8900,.T.); #18232=EDGE_CURVE('',#14795,#14793,#10728,.T.); #18233=EDGE_CURVE('',#14796,#14797,#8901,.T.); #18234=EDGE_CURVE('',#14798,#14797,#10729,.T.); #18235=EDGE_CURVE('',#14799,#14798,#8902,.T.); #18236=EDGE_CURVE('',#14796,#14799,#10730,.T.); #18237=EDGE_CURVE('',#14796,#14800,#10731,.T.); #18238=EDGE_CURVE('',#14800,#14801,#8903,.T.); #18239=EDGE_CURVE('',#14801,#14802,#10732,.T.); #18240=EDGE_CURVE('',#14803,#14802,#10733,.T.); #18241=EDGE_CURVE('',#14803,#14804,#10734,.T.); #18242=EDGE_CURVE('',#14804,#14805,#10735,.T.); #18243=EDGE_CURVE('',#14805,#14806,#10736,.T.); #18244=EDGE_CURVE('',#14807,#14806,#10737,.T.); #18245=EDGE_CURVE('',#14807,#14797,#10738,.T.); #18246=EDGE_CURVE('',#14808,#14808,#8904,.T.); #18247=EDGE_CURVE('',#14809,#14809,#8905,.T.); #18248=EDGE_CURVE('',#14810,#14810,#8906,.T.); #18249=EDGE_CURVE('',#14811,#14811,#8907,.T.); #18250=EDGE_CURVE('',#14812,#14812,#8908,.T.); #18251=EDGE_CURVE('',#14813,#14813,#8909,.T.); #18252=EDGE_CURVE('',#14814,#14814,#8910,.T.); #18253=EDGE_CURVE('',#14815,#14815,#8911,.T.); #18254=EDGE_CURVE('',#14816,#14816,#8912,.T.); #18255=EDGE_CURVE('',#14817,#14817,#8913,.T.); #18256=EDGE_CURVE('',#14818,#14818,#8914,.T.); #18257=EDGE_CURVE('',#14819,#14819,#8915,.T.); #18258=EDGE_CURVE('',#14820,#14820,#8916,.T.); #18259=EDGE_CURVE('',#14821,#14821,#8917,.T.); #18260=EDGE_CURVE('',#14822,#14822,#8918,.T.); #18261=EDGE_CURVE('',#14823,#14823,#8919,.T.); #18262=EDGE_CURVE('',#14824,#14824,#8920,.T.); #18263=EDGE_CURVE('',#14825,#14825,#8921,.T.); #18264=EDGE_CURVE('',#14826,#14826,#8922,.T.); #18265=EDGE_CURVE('',#14827,#14827,#8923,.T.); #18266=EDGE_CURVE('',#14828,#14828,#8924,.T.); #18267=EDGE_CURVE('',#14829,#14799,#10739,.T.); #18268=EDGE_CURVE('',#14829,#14800,#10740,.T.); #18269=EDGE_CURVE('',#14830,#14829,#8925,.T.); #18270=EDGE_CURVE('',#14801,#14830,#10741,.T.); #18271=EDGE_CURVE('',#14831,#14830,#10742,.T.); #18272=EDGE_CURVE('',#14802,#14831,#10743,.T.); #18273=EDGE_CURVE('',#14798,#14832,#10744,.T.); #18274=EDGE_CURVE('',#14832,#14833,#10745,.T.); #18275=EDGE_CURVE('',#14833,#14834,#8926,.T.); #18276=EDGE_CURVE('',#14834,#14831,#10746,.T.); #18277=EDGE_CURVE('',#14835,#14835,#8927,.T.); #18278=EDGE_CURVE('',#14836,#14836,#8928,.T.); #18279=EDGE_CURVE('',#14837,#14837,#8929,.T.); #18280=EDGE_CURVE('',#14838,#14838,#8930,.T.); #18281=EDGE_CURVE('',#14839,#14839,#8931,.T.); #18282=EDGE_CURVE('',#14840,#14840,#8932,.T.); #18283=EDGE_CURVE('',#14841,#14841,#8933,.T.); #18284=EDGE_CURVE('',#14842,#14842,#8934,.T.); #18285=EDGE_CURVE('',#14843,#14843,#8935,.T.); #18286=EDGE_CURVE('',#14844,#14844,#8936,.T.); #18287=EDGE_CURVE('',#14845,#14845,#8937,.T.); #18288=EDGE_CURVE('',#14846,#14846,#8938,.T.); #18289=EDGE_CURVE('',#14847,#14803,#10747,.T.); #18290=EDGE_CURVE('',#14848,#14847,#10748,.T.); #18291=EDGE_CURVE('',#14848,#14804,#10749,.T.); #18292=EDGE_CURVE('',#14849,#14848,#10750,.T.); #18293=EDGE_CURVE('',#14849,#14805,#10751,.T.); #18294=EDGE_CURVE('',#14850,#14849,#10752,.T.); #18295=EDGE_CURVE('',#14806,#14850,#10753,.T.); #18296=EDGE_CURVE('',#14832,#14807,#10754,.T.); #18297=EDGE_CURVE('',#14847,#14851,#10755,.T.); #18298=EDGE_CURVE('',#14851,#14852,#10756,.T.); #18299=EDGE_CURVE('',#14852,#14853,#10757,.T.); #18300=EDGE_CURVE('',#14853,#14854,#8939,.T.); #18301=EDGE_CURVE('',#14854,#14855,#10758,.T.); #18302=EDGE_CURVE('',#14855,#14856,#10759,.T.); #18303=EDGE_CURVE('',#14856,#14850,#10760,.T.); #18304=EDGE_CURVE('',#14857,#14857,#8940,.T.); #18305=EDGE_CURVE('',#14858,#14858,#8941,.T.); #18306=EDGE_CURVE('',#14859,#14859,#8942,.T.); #18307=EDGE_CURVE('',#14860,#14860,#8943,.T.); #18308=EDGE_CURVE('',#14861,#14861,#8944,.T.); #18309=EDGE_CURVE('',#14862,#14862,#8945,.T.); #18310=EDGE_CURVE('',#14863,#14863,#8946,.T.); #18311=EDGE_CURVE('',#14864,#14864,#8947,.T.); #18312=EDGE_CURVE('',#14834,#14865,#10761,.T.); #18313=EDGE_CURVE('',#14866,#14865,#10762,.T.); #18314=EDGE_CURVE('',#14866,#14851,#10763,.T.); #18315=EDGE_CURVE('',#14867,#14867,#8948,.T.); #18316=EDGE_CURVE('',#14867,#14835,#10764,.T.); #18317=EDGE_CURVE('',#14868,#14868,#8949,.T.); #18318=EDGE_CURVE('',#14868,#14836,#10765,.T.); #18319=EDGE_CURVE('',#14869,#14869,#8950,.T.); #18320=EDGE_CURVE('',#14869,#14837,#10766,.T.); #18321=EDGE_CURVE('',#14870,#14870,#8951,.T.); #18322=EDGE_CURVE('',#14870,#14838,#10767,.T.); #18323=EDGE_CURVE('',#14871,#14871,#8952,.T.); #18324=EDGE_CURVE('',#14871,#14839,#10768,.T.); #18325=EDGE_CURVE('',#14872,#14872,#8953,.T.); #18326=EDGE_CURVE('',#14872,#14840,#10769,.T.); #18327=EDGE_CURVE('',#14873,#14873,#8954,.T.); #18328=EDGE_CURVE('',#14873,#14841,#10770,.T.); #18329=EDGE_CURVE('',#14874,#14874,#8955,.T.); #18330=EDGE_CURVE('',#14874,#14842,#10771,.T.); #18331=EDGE_CURVE('',#14875,#14875,#8956,.T.); #18332=EDGE_CURVE('',#14875,#14843,#10772,.T.); #18333=EDGE_CURVE('',#14876,#14876,#8957,.T.); #18334=EDGE_CURVE('',#14876,#14844,#10773,.T.); #18335=EDGE_CURVE('',#14877,#14877,#8958,.T.); #18336=EDGE_CURVE('',#14877,#14845,#10774,.T.); #18337=EDGE_CURVE('',#14878,#14878,#8959,.T.); #18338=EDGE_CURVE('',#14878,#14846,#10775,.T.); #18339=EDGE_CURVE('',#14879,#14833,#10776,.T.); #18340=EDGE_CURVE('',#14865,#14879,#8960,.T.); #18341=EDGE_CURVE('',#14879,#14880,#10777,.T.); #18342=EDGE_CURVE('',#14880,#14881,#10778,.T.); #18343=EDGE_CURVE('',#14881,#14882,#10779,.T.); #18344=EDGE_CURVE('',#14882,#14883,#8961,.T.); #18345=EDGE_CURVE('',#14883,#14884,#10780,.T.); #18346=EDGE_CURVE('',#14884,#14866,#10781,.T.); #18347=EDGE_CURVE('',#14885,#14885,#8962,.T.); #18348=EDGE_CURVE('',#14886,#14886,#8963,.T.); #18349=EDGE_CURVE('',#14887,#14887,#8964,.T.); #18350=EDGE_CURVE('',#14888,#14888,#8965,.T.); #18351=EDGE_CURVE('',#14889,#14889,#8966,.T.); #18352=EDGE_CURVE('',#14890,#14890,#8967,.T.); #18353=EDGE_CURVE('',#14891,#14891,#8968,.T.); #18354=EDGE_CURVE('',#14892,#14892,#8969,.T.); #18355=EDGE_CURVE('',#14893,#14893,#8970,.T.); #18356=EDGE_CURVE('',#14894,#14894,#8971,.T.); #18357=EDGE_CURVE('',#14895,#14895,#8972,.T.); #18358=EDGE_CURVE('',#14896,#14896,#8973,.T.); #18359=EDGE_CURVE('',#14897,#14897,#8974,.T.); #18360=EDGE_CURVE('',#14898,#14898,#8975,.T.); #18361=EDGE_CURVE('',#14899,#14899,#8976,.T.); #18362=EDGE_CURVE('',#14900,#14900,#8977,.T.); #18363=EDGE_CURVE('',#14901,#14901,#8978,.T.); #18364=EDGE_CURVE('',#14902,#14902,#8979,.T.); #18365=EDGE_CURVE('',#14903,#14903,#8980,.T.); #18366=EDGE_CURVE('',#14904,#14904,#8981,.T.); #18367=EDGE_CURVE('',#14905,#14905,#8982,.T.); #18368=EDGE_CURVE('',#14906,#14906,#8983,.T.); #18369=EDGE_CURVE('',#14907,#14907,#8984,.T.); #18370=EDGE_CURVE('',#14908,#14908,#8985,.T.); #18371=EDGE_CURVE('',#14909,#14909,#8986,.T.); #18372=EDGE_CURVE('',#14910,#14910,#8987,.T.); #18373=EDGE_CURVE('',#14911,#14911,#8988,.T.); #18374=EDGE_CURVE('',#14912,#14912,#8989,.T.); #18375=EDGE_CURVE('',#14913,#14913,#8990,.T.); #18376=EDGE_CURVE('',#14913,#14864,#10782,.T.); #18377=EDGE_CURVE('',#14912,#14863,#10783,.T.); #18378=EDGE_CURVE('',#14911,#14862,#10784,.T.); #18379=EDGE_CURVE('',#14910,#14861,#10785,.T.); #18380=EDGE_CURVE('',#14909,#14828,#10786,.T.); #18381=EDGE_CURVE('',#14908,#14827,#10787,.T.); #18382=EDGE_CURVE('',#14907,#14826,#10788,.T.); #18383=EDGE_CURVE('',#14906,#14825,#10789,.T.); #18384=EDGE_CURVE('',#14905,#14824,#10790,.T.); #18385=EDGE_CURVE('',#14904,#14823,#10791,.T.); #18386=EDGE_CURVE('',#14903,#14822,#10792,.T.); #18387=EDGE_CURVE('',#14902,#14821,#10793,.T.); #18388=EDGE_CURVE('',#14901,#14820,#10794,.T.); #18389=EDGE_CURVE('',#14900,#14819,#10795,.T.); #18390=EDGE_CURVE('',#14899,#14818,#10796,.T.); #18391=EDGE_CURVE('',#14898,#14817,#10797,.T.); #18392=EDGE_CURVE('',#14897,#14816,#10798,.T.); #18393=EDGE_CURVE('',#14896,#14815,#10799,.T.); #18394=EDGE_CURVE('',#14895,#14814,#10800,.T.); #18395=EDGE_CURVE('',#14894,#14813,#10801,.T.); #18396=EDGE_CURVE('',#14893,#14812,#10802,.T.); #18397=EDGE_CURVE('',#14892,#14811,#10803,.T.); #18398=EDGE_CURVE('',#14891,#14810,#10804,.T.); #18399=EDGE_CURVE('',#14890,#14809,#10805,.T.); #18400=EDGE_CURVE('',#14889,#14808,#10806,.T.); #18401=EDGE_CURVE('',#14888,#14860,#10807,.T.); #18402=EDGE_CURVE('',#14887,#14859,#10808,.T.); #18403=EDGE_CURVE('',#14886,#14858,#10809,.T.); #18404=EDGE_CURVE('',#14885,#14857,#10810,.T.); #18405=EDGE_CURVE('',#14880,#14856,#10811,.T.); #18406=EDGE_CURVE('',#14882,#14854,#10812,.T.); #18407=EDGE_CURVE('',#14853,#14883,#10813,.T.); #18408=EDGE_CURVE('',#14881,#14855,#10814,.T.); #18409=EDGE_CURVE('',#14852,#14884,#10815,.T.); #18410=EDGE_CURVE('',#14914,#14914,#8991,.T.); #18411=EDGE_CURVE('',#14914,#14915,#10816,.T.); #18412=EDGE_CURVE('',#14915,#14915,#8992,.T.); #18413=EDGE_CURVE('',#14916,#14916,#8993,.T.); #18414=EDGE_CURVE('',#14916,#14917,#10817,.T.); #18415=EDGE_CURVE('',#14917,#14917,#8994,.T.); #18416=EDGE_CURVE('',#14918,#14918,#8995,.T.); #18417=EDGE_CURVE('',#14918,#14919,#10818,.T.); #18418=EDGE_CURVE('',#14919,#14919,#8996,.T.); #18419=EDGE_CURVE('',#14920,#14920,#8997,.T.); #18420=EDGE_CURVE('',#14920,#14921,#10819,.T.); #18421=EDGE_CURVE('',#14921,#14921,#8998,.T.); #18422=EDGE_CURVE('',#14922,#14922,#8999,.T.); #18423=EDGE_CURVE('',#14922,#14923,#10820,.T.); #18424=EDGE_CURVE('',#14923,#14923,#9000,.T.); #18425=EDGE_CURVE('',#14924,#14924,#9001,.T.); #18426=EDGE_CURVE('',#14924,#14925,#10821,.T.); #18427=EDGE_CURVE('',#14925,#14925,#9002,.T.); #18428=EDGE_CURVE('',#14926,#14926,#9003,.T.); #18429=EDGE_CURVE('',#14926,#14927,#10822,.T.); #18430=EDGE_CURVE('',#14927,#14927,#9004,.T.); #18431=EDGE_CURVE('',#14928,#14928,#9005,.T.); #18432=EDGE_CURVE('',#14928,#14929,#10823,.T.); #18433=EDGE_CURVE('',#14929,#14929,#9006,.T.); #18434=EDGE_CURVE('',#14930,#14930,#9007,.T.); #18435=EDGE_CURVE('',#14930,#14931,#10824,.T.); #18436=EDGE_CURVE('',#14931,#14931,#9008,.T.); #18437=EDGE_CURVE('',#14932,#14932,#9009,.T.); #18438=EDGE_CURVE('',#14932,#14933,#10825,.T.); #18439=EDGE_CURVE('',#14933,#14933,#9010,.T.); #18440=EDGE_CURVE('',#14934,#14934,#9011,.T.); #18441=EDGE_CURVE('',#14934,#14935,#10826,.T.); #18442=EDGE_CURVE('',#14935,#14935,#9012,.T.); #18443=EDGE_CURVE('',#14936,#14936,#9013,.T.); #18444=EDGE_CURVE('',#14936,#14937,#10827,.T.); #18445=EDGE_CURVE('',#14937,#14937,#9014,.T.); #18446=EDGE_CURVE('',#14938,#14938,#9015,.T.); #18447=EDGE_CURVE('',#14938,#14939,#10828,.T.); #18448=EDGE_CURVE('',#14939,#14939,#9016,.T.); #18449=EDGE_CURVE('',#14940,#14940,#9017,.T.); #18450=EDGE_CURVE('',#14940,#14941,#10829,.T.); #18451=EDGE_CURVE('',#14941,#14941,#9018,.T.); #18452=EDGE_CURVE('',#14942,#14942,#9019,.T.); #18453=EDGE_CURVE('',#14942,#14943,#10830,.T.); #18454=EDGE_CURVE('',#14943,#14943,#9020,.T.); #18455=EDGE_CURVE('',#14944,#14944,#9021,.T.); #18456=EDGE_CURVE('',#14944,#14945,#10831,.T.); #18457=EDGE_CURVE('',#14945,#14945,#9022,.T.); #18458=EDGE_CURVE('',#14946,#14946,#9023,.T.); #18459=EDGE_CURVE('',#14946,#14947,#10832,.T.); #18460=EDGE_CURVE('',#14947,#14947,#9024,.T.); #18461=EDGE_CURVE('',#14948,#14948,#9025,.T.); #18462=EDGE_CURVE('',#14948,#14949,#10833,.T.); #18463=EDGE_CURVE('',#14949,#14949,#9026,.T.); #18464=EDGE_CURVE('',#14950,#14950,#9027,.T.); #18465=EDGE_CURVE('',#14950,#14951,#10834,.T.); #18466=EDGE_CURVE('',#14951,#14951,#9028,.T.); #18467=EDGE_CURVE('',#14952,#14952,#9029,.T.); #18468=EDGE_CURVE('',#14952,#14953,#10835,.T.); #18469=EDGE_CURVE('',#14953,#14953,#9030,.T.); #18470=EDGE_CURVE('',#14954,#14954,#9031,.T.); #18471=EDGE_CURVE('',#14954,#14955,#10836,.T.); #18472=EDGE_CURVE('',#14955,#14955,#9032,.T.); #18473=EDGE_CURVE('',#14956,#14956,#9033,.T.); #18474=EDGE_CURVE('',#14956,#14957,#10837,.T.); #18475=EDGE_CURVE('',#14957,#14957,#9034,.T.); #18476=EDGE_CURVE('',#14958,#14958,#9035,.T.); #18477=EDGE_CURVE('',#14958,#14959,#10838,.T.); #18478=EDGE_CURVE('',#14959,#14959,#9036,.T.); #18479=EDGE_CURVE('',#14960,#14960,#9037,.T.); #18480=EDGE_CURVE('',#14960,#14961,#10839,.T.); #18481=EDGE_CURVE('',#14961,#14961,#9038,.T.); #18482=EDGE_CURVE('',#14962,#14962,#9039,.T.); #18483=EDGE_CURVE('',#14962,#14963,#10840,.T.); #18484=EDGE_CURVE('',#14963,#14963,#9040,.T.); #18485=EDGE_CURVE('',#14964,#14964,#9041,.T.); #18486=EDGE_CURVE('',#14964,#14965,#10841,.T.); #18487=EDGE_CURVE('',#14965,#14965,#9042,.T.); #18488=EDGE_CURVE('',#14966,#14966,#9043,.T.); #18489=EDGE_CURVE('',#14966,#14967,#10842,.T.); #18490=EDGE_CURVE('',#14967,#14967,#9044,.T.); #18491=EDGE_CURVE('',#14968,#14968,#9045,.T.); #18492=EDGE_CURVE('',#14968,#14969,#10843,.T.); #18493=EDGE_CURVE('',#14969,#14969,#9046,.T.); #18494=EDGE_CURVE('',#14970,#14970,#9047,.T.); #18495=EDGE_CURVE('',#14970,#14971,#10844,.T.); #18496=EDGE_CURVE('',#14971,#14971,#9048,.T.); #18497=EDGE_CURVE('',#14972,#14972,#9049,.T.); #18498=EDGE_CURVE('',#14972,#14973,#10845,.T.); #18499=EDGE_CURVE('',#14973,#14973,#9050,.T.); #18500=EDGE_CURVE('',#14974,#14974,#9051,.T.); #18501=EDGE_CURVE('',#14974,#14975,#10846,.T.); #18502=EDGE_CURVE('',#14975,#14975,#9052,.T.); #18503=EDGE_CURVE('',#14976,#14976,#9053,.T.); #18504=EDGE_CURVE('',#14976,#14977,#10847,.T.); #18505=EDGE_CURVE('',#14977,#14977,#9054,.T.); #18506=EDGE_CURVE('',#14978,#14978,#9055,.T.); #18507=EDGE_CURVE('',#14978,#14979,#10848,.T.); #18508=EDGE_CURVE('',#14979,#14979,#9056,.T.); #18509=EDGE_CURVE('',#14980,#14980,#9057,.T.); #18510=EDGE_CURVE('',#14980,#14981,#10849,.T.); #18511=EDGE_CURVE('',#14981,#14981,#9058,.T.); #18512=EDGE_CURVE('',#14982,#14982,#9059,.T.); #18513=EDGE_CURVE('',#14982,#14983,#10850,.T.); #18514=EDGE_CURVE('',#14983,#14983,#9060,.T.); #18515=EDGE_CURVE('',#14984,#14984,#9061,.T.); #18516=EDGE_CURVE('',#14984,#14985,#10851,.T.); #18517=EDGE_CURVE('',#14985,#14985,#9062,.T.); #18518=EDGE_CURVE('',#14986,#14986,#9063,.T.); #18519=EDGE_CURVE('',#14986,#14987,#10852,.T.); #18520=EDGE_CURVE('',#14987,#14987,#9064,.T.); #18521=EDGE_CURVE('',#14988,#14988,#9065,.T.); #18522=EDGE_CURVE('',#14988,#14989,#10853,.T.); #18523=EDGE_CURVE('',#14989,#14989,#9066,.T.); #18524=EDGE_CURVE('',#14990,#14990,#9067,.T.); #18525=EDGE_CURVE('',#14990,#14991,#10854,.T.); #18526=EDGE_CURVE('',#14991,#14991,#9068,.T.); #18527=EDGE_CURVE('',#14992,#14992,#9069,.T.); #18528=EDGE_CURVE('',#14992,#14993,#10855,.T.); #18529=EDGE_CURVE('',#14993,#14993,#9070,.T.); #18530=EDGE_CURVE('',#14994,#14994,#9071,.T.); #18531=EDGE_CURVE('',#14994,#14995,#10856,.T.); #18532=EDGE_CURVE('',#14995,#14995,#9072,.T.); #18533=EDGE_CURVE('',#14996,#14996,#9073,.T.); #18534=EDGE_CURVE('',#14996,#14997,#10857,.T.); #18535=EDGE_CURVE('',#14997,#14997,#9074,.T.); #18536=EDGE_CURVE('',#14998,#14998,#9075,.T.); #18537=EDGE_CURVE('',#14998,#14999,#10858,.T.); #18538=EDGE_CURVE('',#14999,#14999,#9076,.T.); #18539=EDGE_CURVE('',#15000,#15000,#9077,.T.); #18540=EDGE_CURVE('',#15000,#15001,#10859,.T.); #18541=EDGE_CURVE('',#15001,#15001,#9078,.T.); #18542=EDGE_CURVE('',#15002,#15002,#9079,.T.); #18543=EDGE_CURVE('',#15002,#15003,#10860,.T.); #18544=EDGE_CURVE('',#15003,#15003,#9080,.T.); #18545=EDGE_CURVE('',#15004,#15004,#9081,.T.); #18546=EDGE_CURVE('',#15004,#15005,#10861,.T.); #18547=EDGE_CURVE('',#15005,#15005,#9082,.T.); #18548=EDGE_CURVE('',#15006,#15006,#9083,.T.); #18549=EDGE_CURVE('',#15006,#15007,#10862,.T.); #18550=EDGE_CURVE('',#15007,#15007,#9084,.T.); #18551=EDGE_CURVE('',#15008,#15008,#9085,.T.); #18552=EDGE_CURVE('',#15008,#15009,#10863,.T.); #18553=EDGE_CURVE('',#15009,#15009,#9086,.T.); #18554=EDGE_CURVE('',#15010,#15010,#9087,.T.); #18555=EDGE_CURVE('',#15010,#15011,#10864,.T.); #18556=EDGE_CURVE('',#15011,#15011,#9088,.T.); #18557=EDGE_CURVE('',#15012,#15012,#9089,.T.); #18558=EDGE_CURVE('',#15012,#15013,#10865,.T.); #18559=EDGE_CURVE('',#15013,#15013,#9090,.T.); #18560=EDGE_CURVE('',#15014,#15014,#9091,.T.); #18561=EDGE_CURVE('',#15014,#15015,#10866,.T.); #18562=EDGE_CURVE('',#15015,#15015,#9092,.T.); #18563=EDGE_CURVE('',#15016,#15016,#9093,.T.); #18564=EDGE_CURVE('',#15016,#15017,#10867,.T.); #18565=EDGE_CURVE('',#15017,#15017,#9094,.T.); #18566=EDGE_CURVE('',#15018,#15018,#9095,.T.); #18567=EDGE_CURVE('',#15018,#15019,#10868,.T.); #18568=EDGE_CURVE('',#15019,#15019,#9096,.T.); #18569=EDGE_CURVE('',#15020,#15020,#9097,.T.); #18570=EDGE_CURVE('',#15020,#15021,#10869,.T.); #18571=EDGE_CURVE('',#15021,#15021,#9098,.T.); #18572=EDGE_CURVE('',#15022,#15022,#9099,.T.); #18573=EDGE_CURVE('',#15022,#15023,#10870,.T.); #18574=EDGE_CURVE('',#15023,#15023,#9100,.T.); #18575=EDGE_CURVE('',#15024,#15024,#9101,.T.); #18576=EDGE_CURVE('',#15024,#15025,#10871,.T.); #18577=EDGE_CURVE('',#15025,#15025,#9102,.T.); #18578=EDGE_CURVE('',#15026,#15026,#9103,.T.); #18579=EDGE_CURVE('',#15026,#15027,#10872,.T.); #18580=EDGE_CURVE('',#15027,#15027,#9104,.T.); #18581=EDGE_CURVE('',#15028,#15028,#9105,.T.); #18582=EDGE_CURVE('',#15028,#15029,#10873,.T.); #18583=EDGE_CURVE('',#15029,#15029,#9106,.T.); #18584=EDGE_CURVE('',#15030,#15030,#9107,.T.); #18585=EDGE_CURVE('',#15030,#15031,#10874,.T.); #18586=EDGE_CURVE('',#15031,#15031,#9108,.T.); #18587=EDGE_CURVE('',#15032,#15032,#9109,.T.); #18588=EDGE_CURVE('',#15032,#15033,#10875,.T.); #18589=EDGE_CURVE('',#15033,#15033,#9110,.T.); #18590=EDGE_CURVE('',#15034,#15034,#9111,.T.); #18591=EDGE_CURVE('',#15034,#15035,#10876,.T.); #18592=EDGE_CURVE('',#15035,#15035,#9112,.T.); #18593=EDGE_CURVE('',#15036,#15036,#9113,.T.); #18594=EDGE_CURVE('',#15036,#15037,#10877,.T.); #18595=EDGE_CURVE('',#15037,#15037,#9114,.T.); #18596=EDGE_CURVE('',#15038,#15038,#9115,.T.); #18597=EDGE_CURVE('',#15038,#15039,#10878,.T.); #18598=EDGE_CURVE('',#15039,#15039,#9116,.T.); #18599=EDGE_CURVE('',#15040,#15040,#9117,.T.); #18600=EDGE_CURVE('',#15040,#15041,#10879,.T.); #18601=EDGE_CURVE('',#15041,#15041,#9118,.T.); #18602=EDGE_CURVE('',#15042,#15042,#9119,.T.); #18603=EDGE_CURVE('',#15042,#15043,#10880,.T.); #18604=EDGE_CURVE('',#15043,#15043,#9120,.T.); #18605=EDGE_CURVE('',#15044,#15044,#9121,.T.); #18606=EDGE_CURVE('',#15044,#15045,#10881,.T.); #18607=EDGE_CURVE('',#15045,#15045,#9122,.T.); #18608=EDGE_CURVE('',#15046,#15046,#9123,.T.); #18609=EDGE_CURVE('',#15046,#15047,#10882,.T.); #18610=EDGE_CURVE('',#15047,#15047,#9124,.T.); #18611=EDGE_CURVE('',#15048,#15048,#9125,.T.); #18612=EDGE_CURVE('',#15048,#15049,#10883,.T.); #18613=EDGE_CURVE('',#15049,#15049,#9126,.T.); #18614=EDGE_CURVE('',#15050,#15050,#9127,.T.); #18615=EDGE_CURVE('',#15050,#15051,#10884,.T.); #18616=EDGE_CURVE('',#15051,#15051,#9128,.T.); #18617=EDGE_CURVE('',#15052,#15052,#9129,.T.); #18618=EDGE_CURVE('',#15052,#15053,#10885,.T.); #18619=EDGE_CURVE('',#15053,#15053,#9130,.T.); #18620=EDGE_CURVE('',#15054,#15054,#9131,.T.); #18621=EDGE_CURVE('',#15054,#15055,#10886,.T.); #18622=EDGE_CURVE('',#15055,#15055,#9132,.T.); #18623=EDGE_CURVE('',#15056,#15056,#9133,.T.); #18624=EDGE_CURVE('',#15056,#15057,#10887,.T.); #18625=EDGE_CURVE('',#15057,#15057,#9134,.T.); #18626=EDGE_CURVE('',#15058,#15058,#9135,.T.); #18627=EDGE_CURVE('',#15058,#15059,#10888,.T.); #18628=EDGE_CURVE('',#15059,#15059,#9136,.T.); #18629=EDGE_CURVE('',#15060,#15060,#9137,.T.); #18630=EDGE_CURVE('',#15060,#15061,#10889,.T.); #18631=EDGE_CURVE('',#15061,#15061,#9138,.T.); #18632=EDGE_CURVE('',#15062,#15062,#9139,.T.); #18633=EDGE_CURVE('',#15062,#15063,#10890,.T.); #18634=EDGE_CURVE('',#15063,#15063,#9140,.T.); #18635=EDGE_CURVE('',#15064,#15064,#9141,.T.); #18636=EDGE_CURVE('',#15064,#15065,#10891,.T.); #18637=EDGE_CURVE('',#15065,#15065,#9142,.T.); #18638=EDGE_CURVE('',#15066,#15066,#9143,.T.); #18639=EDGE_CURVE('',#15066,#15067,#10892,.T.); #18640=EDGE_CURVE('',#15067,#15067,#9144,.T.); #18641=EDGE_CURVE('',#15068,#15068,#9145,.T.); #18642=EDGE_CURVE('',#15068,#15069,#10893,.T.); #18643=EDGE_CURVE('',#15069,#15069,#9146,.T.); #18644=EDGE_CURVE('',#15070,#15070,#9147,.T.); #18645=EDGE_CURVE('',#15070,#15071,#10894,.T.); #18646=EDGE_CURVE('',#15071,#15071,#9148,.T.); #18647=EDGE_CURVE('',#15072,#15072,#9149,.T.); #18648=EDGE_CURVE('',#15072,#15073,#10895,.T.); #18649=EDGE_CURVE('',#15073,#15073,#9150,.T.); #18650=EDGE_CURVE('',#15074,#15074,#9151,.T.); #18651=EDGE_CURVE('',#15074,#15075,#10896,.T.); #18652=EDGE_CURVE('',#15075,#15075,#9152,.T.); #18653=EDGE_CURVE('',#15076,#15076,#9153,.T.); #18654=EDGE_CURVE('',#15076,#15077,#10897,.T.); #18655=EDGE_CURVE('',#15077,#15077,#9154,.T.); #18656=EDGE_CURVE('',#15078,#15078,#9155,.T.); #18657=EDGE_CURVE('',#15078,#15079,#10898,.T.); #18658=EDGE_CURVE('',#15079,#15079,#9156,.T.); #18659=EDGE_CURVE('',#15080,#15080,#9157,.T.); #18660=EDGE_CURVE('',#15080,#15081,#10899,.T.); #18661=EDGE_CURVE('',#15081,#15081,#9158,.T.); #18662=EDGE_CURVE('',#15082,#15082,#9159,.T.); #18663=EDGE_CURVE('',#15082,#15083,#10900,.T.); #18664=EDGE_CURVE('',#15083,#15083,#9160,.T.); #18665=EDGE_CURVE('',#15084,#15084,#9161,.T.); #18666=EDGE_CURVE('',#15084,#15085,#10901,.T.); #18667=EDGE_CURVE('',#15085,#15085,#9162,.T.); #18668=EDGE_CURVE('',#15086,#15086,#9163,.T.); #18669=EDGE_CURVE('',#15086,#15087,#10902,.T.); #18670=EDGE_CURVE('',#15087,#15087,#9164,.T.); #18671=EDGE_CURVE('',#15088,#15088,#9165,.T.); #18672=EDGE_CURVE('',#15088,#15089,#10903,.T.); #18673=EDGE_CURVE('',#15089,#15089,#9166,.T.); #18674=EDGE_CURVE('',#15090,#15090,#9167,.T.); #18675=EDGE_CURVE('',#15090,#15091,#10904,.T.); #18676=EDGE_CURVE('',#15091,#15091,#9168,.T.); #18677=EDGE_CURVE('',#15092,#15092,#9169,.T.); #18678=EDGE_CURVE('',#15092,#15093,#10905,.T.); #18679=EDGE_CURVE('',#15093,#15093,#9170,.T.); #18680=EDGE_CURVE('',#15094,#15094,#9171,.T.); #18681=EDGE_CURVE('',#15094,#15095,#10906,.T.); #18682=EDGE_CURVE('',#15095,#15095,#9172,.T.); #18683=EDGE_CURVE('',#15096,#15096,#9173,.T.); #18684=EDGE_CURVE('',#15096,#15097,#10907,.T.); #18685=EDGE_CURVE('',#15097,#15097,#9174,.T.); #18686=EDGE_CURVE('',#15098,#15098,#9175,.T.); #18687=EDGE_CURVE('',#15098,#15099,#10908,.T.); #18688=EDGE_CURVE('',#15099,#15099,#9176,.T.); #18689=EDGE_CURVE('',#15100,#15100,#9177,.T.); #18690=EDGE_CURVE('',#15100,#15101,#10909,.T.); #18691=EDGE_CURVE('',#15101,#15101,#9178,.T.); #18692=EDGE_CURVE('',#15102,#15102,#9179,.T.); #18693=EDGE_CURVE('',#15102,#15103,#10910,.T.); #18694=EDGE_CURVE('',#15103,#15103,#9180,.T.); #18695=EDGE_CURVE('',#15104,#15104,#9181,.T.); #18696=EDGE_CURVE('',#15104,#15105,#10911,.T.); #18697=EDGE_CURVE('',#15105,#15105,#9182,.T.); #18698=EDGE_CURVE('',#15106,#15107,#9183,.T.); #18699=EDGE_CURVE('',#15107,#15108,#9184,.T.); #18700=EDGE_CURVE('',#15108,#15109,#9185,.T.); #18701=EDGE_CURVE('',#15109,#15106,#9186,.T.); #18702=EDGE_CURVE('',#15110,#15111,#9187,.T.); #18703=EDGE_CURVE('',#15111,#15109,#9188,.T.); #18704=EDGE_CURVE('',#15108,#15110,#9189,.T.); #18705=EDGE_CURVE('',#15112,#15113,#9190,.T.); #18706=EDGE_CURVE('',#15113,#15111,#9191,.T.); #18707=EDGE_CURVE('',#15110,#15112,#9192,.T.); #18708=EDGE_CURVE('',#15114,#15115,#9193,.T.); #18709=EDGE_CURVE('',#15115,#15113,#10912,.T.); #18710=EDGE_CURVE('',#15112,#15114,#10913,.T.); #18711=EDGE_CURVE('',#15116,#15117,#9194,.T.); #18712=EDGE_CURVE('',#15117,#15115,#9195,.T.); #18713=EDGE_CURVE('',#15114,#15116,#9196,.T.); #18714=EDGE_CURVE('',#15118,#15119,#9197,.T.); #18715=EDGE_CURVE('',#15119,#15117,#9198,.T.); #18716=EDGE_CURVE('',#15116,#15118,#9199,.T.); #18717=EDGE_CURVE('',#15120,#15121,#9200,.T.); #18718=EDGE_CURVE('',#15121,#15119,#9201,.T.); #18719=EDGE_CURVE('',#15118,#15120,#9202,.T.); #18720=EDGE_CURVE('',#15106,#15121,#10914,.T.); #18721=EDGE_CURVE('',#15120,#15107,#10915,.T.); #18722=EDGE_CURVE('',#15122,#15112,#10916,.T.); #18723=EDGE_CURVE('',#15123,#15122,#10917,.T.); #18724=EDGE_CURVE('',#15123,#15114,#10918,.T.); #18725=EDGE_CURVE('',#15124,#15110,#10919,.T.); #18726=EDGE_CURVE('',#15122,#15124,#9203,.T.); #18727=EDGE_CURVE('',#15125,#15108,#10920,.T.); #18728=EDGE_CURVE('',#15124,#15125,#9204,.T.); #18729=EDGE_CURVE('',#15126,#15107,#10921,.T.); #18730=EDGE_CURVE('',#15125,#15126,#9205,.T.); #18731=EDGE_CURVE('',#15127,#15128,#9206,.T.); #18732=EDGE_CURVE('',#15129,#15127,#10922,.T.); #18733=EDGE_CURVE('',#15130,#15129,#9207,.T.); #18734=EDGE_CURVE('',#15128,#15130,#10923,.T.); #18735=EDGE_CURVE('',#15131,#15120,#10924,.T.); #18736=EDGE_CURVE('',#15126,#15131,#10925,.T.); #18737=EDGE_CURVE('',#15132,#15118,#10926,.T.); #18738=EDGE_CURVE('',#15131,#15132,#9208,.T.); #18739=EDGE_CURVE('',#15133,#15116,#10927,.T.); #18740=EDGE_CURVE('',#15132,#15133,#9209,.T.); #18741=EDGE_CURVE('',#15133,#15123,#9210,.T.); #18742=EDGE_CURVE('',#15127,#15134,#10928,.T.); #18743=EDGE_CURVE('',#15135,#15134,#10929,.T.); #18744=EDGE_CURVE('',#15129,#15135,#10930,.T.); #18745=EDGE_CURVE('',#15136,#15135,#9211,.T.); #18746=EDGE_CURVE('',#15130,#15136,#10931,.T.); #18747=EDGE_CURVE('',#15137,#15136,#10932,.T.); #18748=EDGE_CURVE('',#15128,#15137,#10933,.T.); #18749=EDGE_CURVE('',#15134,#15137,#9212,.T.); #18750=EDGE_CURVE('',#15138,#15138,#9213,.T.); #18751=EDGE_CURVE('',#15138,#15139,#10934,.T.); #18752=EDGE_CURVE('',#15140,#15140,#9214,.T.); #18753=EDGE_CURVE('',#15140,#15138,#10935,.T.); #18754=EDGE_CURVE('',#15141,#15141,#9215,.T.); #18755=EDGE_CURVE('',#15141,#15142,#10936,.T.); #18756=EDGE_CURVE('',#15143,#15143,#9216,.T.); #18757=EDGE_CURVE('',#15143,#15141,#10937,.T.); #18758=EDGE_CURVE('',#15144,#15144,#9217,.T.); #18759=EDGE_CURVE('',#15144,#15145,#10938,.T.); #18760=EDGE_CURVE('',#15146,#15146,#9218,.T.); #18761=EDGE_CURVE('',#15146,#15144,#10939,.T.); #18762=EDGE_CURVE('',#15147,#15147,#9219,.T.); #18763=EDGE_CURVE('',#15147,#15148,#10940,.T.); #18764=EDGE_CURVE('',#15149,#15149,#9220,.T.); #18765=EDGE_CURVE('',#15149,#15147,#10941,.T.); #18766=EDGE_CURVE('',#15150,#15150,#9221,.T.); #18767=EDGE_CURVE('',#15150,#15151,#10942,.T.); #18768=EDGE_CURVE('',#15152,#15152,#9222,.T.); #18769=EDGE_CURVE('',#15152,#15150,#10943,.T.); #18770=EDGE_CURVE('',#15153,#15153,#9223,.T.); #18771=EDGE_CURVE('',#15153,#15154,#10944,.T.); #18772=EDGE_CURVE('',#15155,#15155,#9224,.T.); #18773=EDGE_CURVE('',#15155,#15153,#10945,.T.); #18774=EDGE_CURVE('',#15156,#15156,#9225,.T.); #18775=EDGE_CURVE('',#15156,#15157,#10946,.T.); #18776=EDGE_CURVE('',#15158,#15158,#9226,.T.); #18777=EDGE_CURVE('',#15158,#15156,#10947,.T.); #18778=EDGE_CURVE('',#15159,#15159,#9227,.T.); #18779=EDGE_CURVE('',#15159,#15160,#10948,.T.); #18780=EDGE_CURVE('',#15161,#15161,#9228,.T.); #18781=EDGE_CURVE('',#15161,#15159,#10949,.T.); #18782=EDGE_CURVE('',#15162,#15162,#9229,.T.); #18783=EDGE_CURVE('',#15162,#15163,#10950,.T.); #18784=EDGE_CURVE('',#15164,#15164,#9230,.T.); #18785=EDGE_CURVE('',#15164,#15162,#10951,.T.); #18786=EDGE_CURVE('',#15165,#15165,#9231,.T.); #18787=EDGE_CURVE('',#15165,#15166,#10952,.T.); #18788=EDGE_CURVE('',#15167,#15167,#9232,.T.); #18789=EDGE_CURVE('',#15167,#15165,#10953,.T.); #18790=EDGE_CURVE('',#15168,#15168,#9233,.T.); #18791=EDGE_CURVE('',#15168,#15169,#10954,.T.); #18792=EDGE_CURVE('',#15170,#15170,#9234,.T.); #18793=EDGE_CURVE('',#15170,#15168,#10955,.T.); #18794=EDGE_CURVE('',#15171,#15171,#9235,.T.); #18795=EDGE_CURVE('',#15171,#15172,#10956,.T.); #18796=EDGE_CURVE('',#15173,#15173,#9236,.T.); #18797=EDGE_CURVE('',#15173,#15171,#10957,.T.); #18798=EDGE_CURVE('',#15174,#15174,#9237,.T.); #18799=EDGE_CURVE('',#15174,#15175,#10958,.T.); #18800=EDGE_CURVE('',#15176,#15176,#9238,.T.); #18801=EDGE_CURVE('',#15176,#15174,#10959,.T.); #18802=EDGE_CURVE('',#15177,#15177,#9239,.T.); #18803=EDGE_CURVE('',#15177,#15178,#10960,.T.); #18804=EDGE_CURVE('',#15179,#15179,#9240,.T.); #18805=EDGE_CURVE('',#15179,#15177,#10961,.T.); #18806=EDGE_CURVE('',#15180,#15180,#9241,.T.); #18807=EDGE_CURVE('',#15180,#15181,#10962,.T.); #18808=EDGE_CURVE('',#15182,#15182,#9242,.T.); #18809=EDGE_CURVE('',#15182,#15180,#10963,.T.); #18810=EDGE_CURVE('',#15183,#15183,#9243,.T.); #18811=EDGE_CURVE('',#15183,#15184,#10964,.T.); #18812=EDGE_CURVE('',#15185,#15185,#9244,.T.); #18813=EDGE_CURVE('',#15185,#15183,#10965,.T.); #18814=EDGE_CURVE('',#15186,#15186,#9245,.T.); #18815=EDGE_CURVE('',#15186,#15187,#10966,.T.); #18816=EDGE_CURVE('',#15188,#15188,#9246,.T.); #18817=EDGE_CURVE('',#15188,#15186,#10967,.T.); #18818=EDGE_CURVE('',#15189,#15189,#9247,.T.); #18819=EDGE_CURVE('',#15189,#15190,#10968,.T.); #18820=EDGE_CURVE('',#15191,#15191,#9248,.T.); #18821=EDGE_CURVE('',#15191,#15189,#10969,.T.); #18822=EDGE_CURVE('',#15192,#15192,#9249,.T.); #18823=EDGE_CURVE('',#15192,#15193,#10970,.T.); #18824=EDGE_CURVE('',#15194,#15194,#9250,.T.); #18825=EDGE_CURVE('',#15194,#15192,#10971,.T.); #18826=EDGE_CURVE('',#15195,#15195,#9251,.T.); #18827=EDGE_CURVE('',#15195,#15196,#10972,.T.); #18828=EDGE_CURVE('',#15197,#15197,#9252,.T.); #18829=EDGE_CURVE('',#15197,#15195,#10973,.T.); #18830=EDGE_CURVE('',#15198,#15198,#9253,.T.); #18831=EDGE_CURVE('',#15198,#15199,#10974,.T.); #18832=EDGE_CURVE('',#15200,#15200,#9254,.T.); #18833=EDGE_CURVE('',#15200,#15198,#10975,.T.); #18834=EDGE_CURVE('',#15201,#15201,#9255,.T.); #18835=EDGE_CURVE('',#15201,#15202,#10976,.T.); #18836=EDGE_CURVE('',#15203,#15203,#9256,.T.); #18837=EDGE_CURVE('',#15203,#15201,#10977,.T.); #18838=EDGE_CURVE('',#15204,#15204,#9257,.T.); #18839=EDGE_CURVE('',#15204,#15205,#10978,.T.); #18840=EDGE_CURVE('',#15206,#15206,#9258,.T.); #18841=EDGE_CURVE('',#15206,#15204,#10979,.T.); #18842=EDGE_CURVE('',#15207,#15207,#9259,.T.); #18843=EDGE_CURVE('',#15207,#15208,#10980,.T.); #18844=EDGE_CURVE('',#15209,#15209,#9260,.T.); #18845=EDGE_CURVE('',#15209,#15207,#10981,.T.); #18846=EDGE_CURVE('',#15210,#15210,#9261,.T.); #18847=EDGE_CURVE('',#15210,#15211,#10982,.T.); #18848=EDGE_CURVE('',#15211,#15211,#9262,.T.); #18849=EDGE_CURVE('',#15212,#15212,#9263,.T.); #18850=EDGE_CURVE('',#15212,#15213,#10983,.T.); #18851=EDGE_CURVE('',#15213,#15213,#9264,.T.); #18852=EDGE_CURVE('',#15214,#15214,#9265,.T.); #18853=EDGE_CURVE('',#15214,#15215,#10984,.T.); #18854=EDGE_CURVE('',#15215,#15215,#9266,.T.); #18855=EDGE_CURVE('',#15216,#15216,#9267,.T.); #18856=EDGE_CURVE('',#15216,#15217,#10985,.T.); #18857=EDGE_CURVE('',#15217,#15217,#9268,.T.); #18858=EDGE_CURVE('',#15218,#15218,#9269,.T.); #18859=EDGE_CURVE('',#15218,#15219,#10986,.T.); #18860=EDGE_CURVE('',#15219,#15219,#9270,.T.); #18861=EDGE_CURVE('',#15220,#15220,#9271,.T.); #18862=EDGE_CURVE('',#15220,#15221,#10987,.T.); #18863=EDGE_CURVE('',#15221,#15221,#9272,.T.); #18864=EDGE_CURVE('',#15222,#15222,#9273,.T.); #18865=EDGE_CURVE('',#15222,#15223,#10988,.T.); #18866=EDGE_CURVE('',#15223,#15223,#9274,.T.); #18867=EDGE_CURVE('',#15224,#15224,#9275,.T.); #18868=EDGE_CURVE('',#15224,#15225,#10989,.T.); #18869=EDGE_CURVE('',#15225,#15225,#9276,.T.); #18870=EDGE_CURVE('',#15226,#15226,#9277,.T.); #18871=EDGE_CURVE('',#15226,#15227,#10990,.T.); #18872=EDGE_CURVE('',#15227,#15227,#9278,.T.); #18873=EDGE_CURVE('',#15228,#15228,#9279,.T.); #18874=EDGE_CURVE('',#15228,#15229,#10991,.T.); #18875=EDGE_CURVE('',#15229,#15229,#9280,.T.); #18876=EDGE_CURVE('',#15230,#15230,#9281,.T.); #18877=EDGE_CURVE('',#15230,#15231,#10992,.T.); #18878=EDGE_CURVE('',#15231,#15231,#9282,.T.); #18879=EDGE_CURVE('',#15232,#15232,#9283,.T.); #18880=EDGE_CURVE('',#15232,#15233,#10993,.T.); #18881=EDGE_CURVE('',#15233,#15233,#9284,.T.); #18882=EDGE_CURVE('',#15234,#15234,#9285,.T.); #18883=EDGE_CURVE('',#15234,#15235,#10994,.T.); #18884=EDGE_CURVE('',#15235,#15235,#9286,.T.); #18885=EDGE_CURVE('',#15236,#15236,#9287,.T.); #18886=EDGE_CURVE('',#15236,#15237,#10995,.T.); #18887=EDGE_CURVE('',#15237,#15237,#9288,.T.); #18888=EDGE_CURVE('',#15238,#15238,#9289,.T.); #18889=EDGE_CURVE('',#15238,#15239,#10996,.T.); #18890=EDGE_CURVE('',#15239,#15239,#9290,.T.); #18891=EDGE_CURVE('',#15240,#15240,#9291,.T.); #18892=EDGE_CURVE('',#15240,#15241,#10997,.T.); #18893=EDGE_CURVE('',#15241,#15241,#9292,.T.); #18894=EDGE_CURVE('',#15242,#15242,#9293,.T.); #18895=EDGE_CURVE('',#15242,#15243,#10998,.T.); #18896=EDGE_CURVE('',#15243,#15243,#9294,.T.); #18897=EDGE_CURVE('',#15244,#15244,#9295,.T.); #18898=EDGE_CURVE('',#15244,#15245,#10999,.T.); #18899=EDGE_CURVE('',#15245,#15245,#9296,.T.); #18900=EDGE_CURVE('',#15246,#15247,#11000,.T.); #18901=EDGE_CURVE('',#15248,#15247,#11001,.T.); #18902=EDGE_CURVE('',#15248,#15249,#11002,.T.); #18903=EDGE_CURVE('',#15249,#15246,#11003,.T.); #18904=EDGE_CURVE('',#15250,#15246,#11004,.T.); #18905=EDGE_CURVE('',#15249,#15251,#11005,.T.); #18906=EDGE_CURVE('',#15251,#15250,#11006,.T.); #18907=EDGE_CURVE('',#15252,#15248,#11007,.T.); #18908=EDGE_CURVE('',#15252,#15251,#11008,.T.); #18909=EDGE_CURVE('',#15250,#15253,#11009,.T.); #18910=EDGE_CURVE('',#15253,#15252,#11010,.T.); #18911=EDGE_CURVE('',#15247,#15253,#11011,.T.); #18912=EDGE_CURVE('',#15254,#15254,#9297,.T.); #18913=EDGE_CURVE('',#15254,#15255,#11012,.T.); #18914=EDGE_CURVE('',#15255,#15255,#9298,.T.); #18915=EDGE_CURVE('',#15256,#15256,#9299,.T.); #18916=EDGE_CURVE('',#15256,#15257,#11013,.T.); #18917=EDGE_CURVE('',#15257,#15257,#9300,.T.); #18918=EDGE_CURVE('',#15258,#15258,#9301,.T.); #18919=EDGE_CURVE('',#15258,#15259,#11014,.T.); #18920=EDGE_CURVE('',#15259,#15259,#9302,.T.); #18921=EDGE_CURVE('',#15260,#15260,#9303,.T.); #18922=EDGE_CURVE('',#15260,#15261,#11015,.T.); #18923=EDGE_CURVE('',#15261,#15261,#9304,.T.); #18924=EDGE_CURVE('',#15262,#15262,#9305,.T.); #18925=EDGE_CURVE('',#15262,#15263,#11016,.T.); #18926=EDGE_CURVE('',#15263,#15263,#9306,.T.); #18927=EDGE_CURVE('',#15264,#15264,#9307,.T.); #18928=EDGE_CURVE('',#15264,#15265,#11017,.T.); #18929=EDGE_CURVE('',#15265,#15265,#9308,.T.); #18930=EDGE_CURVE('',#15266,#15266,#9309,.T.); #18931=EDGE_CURVE('',#15266,#15267,#11018,.T.); #18932=EDGE_CURVE('',#15267,#15267,#9310,.T.); #18933=EDGE_CURVE('',#15268,#15268,#9311,.T.); #18934=EDGE_CURVE('',#15268,#15269,#11019,.T.); #18935=EDGE_CURVE('',#15269,#15269,#9312,.T.); #18936=EDGE_CURVE('',#15270,#15270,#9313,.T.); #18937=EDGE_CURVE('',#15270,#15271,#11020,.T.); #18938=EDGE_CURVE('',#15271,#15271,#9314,.T.); #18939=EDGE_CURVE('',#15272,#15272,#9315,.T.); #18940=EDGE_CURVE('',#15272,#15273,#11021,.T.); #18941=EDGE_CURVE('',#15273,#15273,#9316,.T.); #18942=EDGE_CURVE('',#15274,#15274,#9317,.T.); #18943=EDGE_CURVE('',#15274,#15275,#11022,.T.); #18944=EDGE_CURVE('',#15275,#15275,#9318,.T.); #18945=EDGE_CURVE('',#15276,#15276,#9319,.T.); #18946=EDGE_CURVE('',#15276,#15277,#11023,.T.); #18947=EDGE_CURVE('',#15277,#15277,#9320,.T.); #18948=EDGE_CURVE('',#15278,#15278,#9321,.T.); #18949=EDGE_CURVE('',#15278,#15279,#11024,.T.); #18950=EDGE_CURVE('',#15279,#15279,#9322,.T.); #18951=EDGE_CURVE('',#15280,#15280,#9323,.T.); #18952=EDGE_CURVE('',#15280,#15281,#11025,.T.); #18953=EDGE_CURVE('',#15281,#15281,#9324,.T.); #18954=EDGE_CURVE('',#15282,#15282,#9325,.T.); #18955=EDGE_CURVE('',#15282,#15283,#11026,.T.); #18956=EDGE_CURVE('',#15283,#15283,#9326,.T.); #18957=EDGE_CURVE('',#15284,#15284,#9327,.T.); #18958=EDGE_CURVE('',#15284,#15285,#11027,.T.); #18959=EDGE_CURVE('',#15285,#15285,#9328,.T.); #18960=EDGE_CURVE('',#15286,#15286,#9329,.T.); #18961=EDGE_CURVE('',#15286,#15287,#11028,.T.); #18962=EDGE_CURVE('',#15287,#15287,#9330,.T.); #18963=EDGE_CURVE('',#15288,#15288,#9331,.T.); #18964=EDGE_CURVE('',#15288,#15289,#11029,.T.); #18965=EDGE_CURVE('',#15289,#15289,#9332,.T.); #18966=EDGE_CURVE('',#15290,#15290,#9333,.T.); #18967=EDGE_CURVE('',#15290,#15291,#11030,.T.); #18968=EDGE_CURVE('',#15291,#15291,#9334,.T.); #18969=EDGE_CURVE('',#15292,#15292,#9335,.T.); #18970=EDGE_CURVE('',#15292,#15293,#11031,.T.); #18971=EDGE_CURVE('',#15293,#15293,#9336,.T.); #18972=EDGE_CURVE('',#15294,#15294,#9337,.T.); #18973=EDGE_CURVE('',#15294,#15295,#11032,.T.); #18974=EDGE_CURVE('',#15295,#15295,#9338,.T.); #18975=EDGE_CURVE('',#15296,#15296,#9339,.T.); #18976=EDGE_CURVE('',#15296,#15297,#11033,.T.); #18977=EDGE_CURVE('',#15297,#15297,#9340,.T.); #18978=EDGE_CURVE('',#15298,#15298,#9341,.T.); #18979=EDGE_CURVE('',#15298,#15299,#11034,.T.); #18980=EDGE_CURVE('',#15299,#15299,#9342,.T.); #18981=EDGE_CURVE('',#15300,#15300,#9343,.T.); #18982=EDGE_CURVE('',#15300,#15301,#11035,.T.); #18983=EDGE_CURVE('',#15301,#15301,#9344,.T.); #18984=EDGE_CURVE('',#15302,#15302,#9345,.T.); #18985=EDGE_CURVE('',#15302,#15303,#11036,.T.); #18986=EDGE_CURVE('',#15303,#15303,#9346,.T.); #18987=EDGE_CURVE('',#15304,#15304,#9347,.T.); #18988=EDGE_CURVE('',#15304,#15305,#11037,.T.); #18989=EDGE_CURVE('',#15305,#15305,#9348,.T.); #18990=EDGE_CURVE('',#15306,#15306,#9349,.T.); #18991=EDGE_CURVE('',#15306,#15307,#11038,.T.); #18992=EDGE_CURVE('',#15307,#15307,#9350,.T.); #18993=EDGE_CURVE('',#15308,#15308,#9351,.T.); #18994=EDGE_CURVE('',#15308,#15309,#11039,.T.); #18995=EDGE_CURVE('',#15309,#15309,#9352,.T.); #18996=EDGE_CURVE('',#15310,#15310,#9353,.T.); #18997=EDGE_CURVE('',#15310,#15311,#11040,.T.); #18998=EDGE_CURVE('',#15311,#15311,#9354,.T.); #18999=EDGE_CURVE('',#15312,#15312,#9355,.T.); #19000=EDGE_CURVE('',#15312,#15313,#11041,.T.); #19001=EDGE_CURVE('',#15313,#15313,#9356,.T.); #19002=EDGE_CURVE('',#15314,#15314,#9357,.T.); #19003=EDGE_CURVE('',#15314,#15315,#11042,.T.); #19004=EDGE_CURVE('',#15315,#15315,#9358,.T.); #19005=EDGE_CURVE('',#15316,#15316,#9359,.T.); #19006=EDGE_CURVE('',#15316,#15317,#11043,.T.); #19007=EDGE_CURVE('',#15317,#15317,#9360,.T.); #19008=EDGE_CURVE('',#15318,#15319,#11044,.T.); #19009=EDGE_CURVE('',#15318,#15320,#11045,.T.); #19010=EDGE_CURVE('',#15320,#15321,#11046,.T.); #19011=EDGE_CURVE('',#15319,#15321,#11047,.T.); #19012=EDGE_CURVE('',#15322,#15323,#11048,.T.); #19013=EDGE_CURVE('',#15324,#15323,#11049,.T.); #19014=EDGE_CURVE('',#15325,#15324,#11050,.T.); #19015=EDGE_CURVE('',#15325,#15322,#11051,.T.); #19016=EDGE_CURVE('',#15319,#15325,#11052,.T.); #19017=EDGE_CURVE('',#15321,#15322,#11053,.T.); #19018=EDGE_CURVE('',#15324,#15318,#11054,.T.); #19019=EDGE_CURVE('',#15323,#15320,#11055,.T.); #19020=ORIENTED_EDGE('',*,*,#15326,.T.); #19021=ORIENTED_EDGE('',*,*,#15327,.T.); #19022=ORIENTED_EDGE('',*,*,#15328,.T.); #19023=ORIENTED_EDGE('',*,*,#15329,.T.); #19024=ORIENTED_EDGE('',*,*,#15330,.T.); #19025=ORIENTED_EDGE('',*,*,#15331,.T.); #19026=ORIENTED_EDGE('',*,*,#15327,.F.); #19027=ORIENTED_EDGE('',*,*,#15332,.T.); #19028=ORIENTED_EDGE('',*,*,#15333,.T.); #19029=ORIENTED_EDGE('',*,*,#15334,.T.); #19030=ORIENTED_EDGE('',*,*,#15330,.F.); #19031=ORIENTED_EDGE('',*,*,#15335,.T.); #19032=ORIENTED_EDGE('',*,*,#15336,.T.); #19033=ORIENTED_EDGE('',*,*,#15337,.T.); #19034=ORIENTED_EDGE('',*,*,#15333,.F.); #19035=ORIENTED_EDGE('',*,*,#15338,.T.); #19036=ORIENTED_EDGE('',*,*,#15339,.T.); #19037=ORIENTED_EDGE('',*,*,#15340,.T.); #19038=ORIENTED_EDGE('',*,*,#15336,.F.); #19039=ORIENTED_EDGE('',*,*,#15341,.T.); #19040=ORIENTED_EDGE('',*,*,#15342,.T.); #19041=ORIENTED_EDGE('',*,*,#15343,.T.); #19042=ORIENTED_EDGE('',*,*,#15339,.F.); #19043=ORIENTED_EDGE('',*,*,#15344,.T.); #19044=ORIENTED_EDGE('',*,*,#15345,.T.); #19045=ORIENTED_EDGE('',*,*,#15346,.T.); #19046=ORIENTED_EDGE('',*,*,#15342,.F.); #19047=ORIENTED_EDGE('',*,*,#15347,.T.); #19048=ORIENTED_EDGE('',*,*,#15348,.T.); #19049=ORIENTED_EDGE('',*,*,#15349,.T.); #19050=ORIENTED_EDGE('',*,*,#15345,.F.); #19051=ORIENTED_EDGE('',*,*,#15350,.T.); #19052=ORIENTED_EDGE('',*,*,#15351,.T.); #19053=ORIENTED_EDGE('',*,*,#15352,.T.); #19054=ORIENTED_EDGE('',*,*,#15348,.F.); #19055=ORIENTED_EDGE('',*,*,#15353,.T.); #19056=ORIENTED_EDGE('',*,*,#15354,.T.); #19057=ORIENTED_EDGE('',*,*,#15355,.T.); #19058=ORIENTED_EDGE('',*,*,#15351,.F.); #19059=ORIENTED_EDGE('',*,*,#15356,.T.); #19060=ORIENTED_EDGE('',*,*,#15357,.T.); #19061=ORIENTED_EDGE('',*,*,#15358,.T.); #19062=ORIENTED_EDGE('',*,*,#15354,.F.); #19063=ORIENTED_EDGE('',*,*,#15359,.T.); #19064=ORIENTED_EDGE('',*,*,#15360,.T.); #19065=ORIENTED_EDGE('',*,*,#15361,.T.); #19066=ORIENTED_EDGE('',*,*,#15357,.F.); #19067=ORIENTED_EDGE('',*,*,#15362,.T.); #19068=ORIENTED_EDGE('',*,*,#15363,.T.); #19069=ORIENTED_EDGE('',*,*,#15360,.F.); #19070=ORIENTED_EDGE('',*,*,#15364,.T.); #19071=ORIENTED_EDGE('',*,*,#15329,.F.); #19072=ORIENTED_EDGE('',*,*,#15347,.F.); #19073=ORIENTED_EDGE('',*,*,#15365,.T.); #19074=ORIENTED_EDGE('',*,*,#15366,.T.); #19075=ORIENTED_EDGE('',*,*,#15367,.T.); #19076=ORIENTED_EDGE('',*,*,#15344,.F.); #19077=ORIENTED_EDGE('',*,*,#15368,.F.); #19078=ORIENTED_EDGE('',*,*,#15369,.F.); #19079=ORIENTED_EDGE('',*,*,#15365,.F.); #19080=ORIENTED_EDGE('',*,*,#15341,.F.); #19081=ORIENTED_EDGE('',*,*,#15370,.T.); #19082=ORIENTED_EDGE('',*,*,#15371,.T.); #19083=ORIENTED_EDGE('',*,*,#15368,.T.); #19084=ORIENTED_EDGE('',*,*,#15338,.F.); #19085=ORIENTED_EDGE('',*,*,#15372,.F.); #19086=ORIENTED_EDGE('',*,*,#15373,.F.); #19087=ORIENTED_EDGE('',*,*,#15370,.F.); #19088=ORIENTED_EDGE('',*,*,#15335,.F.); #19089=ORIENTED_EDGE('',*,*,#15374,.T.); #19090=ORIENTED_EDGE('',*,*,#15375,.T.); #19091=ORIENTED_EDGE('',*,*,#15372,.T.); #19092=ORIENTED_EDGE('',*,*,#15332,.F.); #19093=ORIENTED_EDGE('',*,*,#15376,.F.); #19094=ORIENTED_EDGE('',*,*,#15377,.F.); #19095=ORIENTED_EDGE('',*,*,#15374,.F.); #19096=ORIENTED_EDGE('',*,*,#15326,.F.); #19097=ORIENTED_EDGE('',*,*,#15378,.F.); #19098=ORIENTED_EDGE('',*,*,#15379,.T.); #19099=ORIENTED_EDGE('',*,*,#15376,.T.); #19100=ORIENTED_EDGE('',*,*,#15328,.F.); #19101=ORIENTED_EDGE('',*,*,#15331,.F.); #19102=ORIENTED_EDGE('',*,*,#15334,.F.); #19103=ORIENTED_EDGE('',*,*,#15337,.F.); #19104=ORIENTED_EDGE('',*,*,#15340,.F.); #19105=ORIENTED_EDGE('',*,*,#15343,.F.); #19106=ORIENTED_EDGE('',*,*,#15346,.F.); #19107=ORIENTED_EDGE('',*,*,#15349,.F.); #19108=ORIENTED_EDGE('',*,*,#15352,.F.); #19109=ORIENTED_EDGE('',*,*,#15355,.F.); #19110=ORIENTED_EDGE('',*,*,#15358,.F.); #19111=ORIENTED_EDGE('',*,*,#15361,.F.); #19112=ORIENTED_EDGE('',*,*,#15363,.F.); #19113=ORIENTED_EDGE('',*,*,#15380,.T.); #19114=ORIENTED_EDGE('',*,*,#15381,.T.); #19115=ORIENTED_EDGE('',*,*,#15381,.F.); #19116=ORIENTED_EDGE('',*,*,#15382,.F.); #19117=ORIENTED_EDGE('',*,*,#15383,.T.); #19118=ORIENTED_EDGE('',*,*,#15380,.F.); #19119=ORIENTED_EDGE('',*,*,#15383,.F.); #19120=ORIENTED_EDGE('',*,*,#15384,.T.); #19121=ORIENTED_EDGE('',*,*,#15385,.T.); #19122=ORIENTED_EDGE('',*,*,#15385,.F.); #19123=ORIENTED_EDGE('',*,*,#15386,.F.); #19124=ORIENTED_EDGE('',*,*,#15387,.T.); #19125=ORIENTED_EDGE('',*,*,#15384,.F.); #19126=ORIENTED_EDGE('',*,*,#15387,.F.); #19127=ORIENTED_EDGE('',*,*,#15388,.T.); #19128=ORIENTED_EDGE('',*,*,#15389,.T.); #19129=ORIENTED_EDGE('',*,*,#15389,.F.); #19130=ORIENTED_EDGE('',*,*,#15390,.F.); #19131=ORIENTED_EDGE('',*,*,#15391,.T.); #19132=ORIENTED_EDGE('',*,*,#15388,.F.); #19133=ORIENTED_EDGE('',*,*,#15391,.F.); #19134=ORIENTED_EDGE('',*,*,#15392,.T.); #19135=ORIENTED_EDGE('',*,*,#15393,.T.); #19136=ORIENTED_EDGE('',*,*,#15393,.F.); #19137=ORIENTED_EDGE('',*,*,#15394,.F.); #19138=ORIENTED_EDGE('',*,*,#15395,.T.); #19139=ORIENTED_EDGE('',*,*,#15392,.F.); #19140=ORIENTED_EDGE('',*,*,#15395,.F.); #19141=ORIENTED_EDGE('',*,*,#15396,.T.); #19142=ORIENTED_EDGE('',*,*,#15397,.T.); #19143=ORIENTED_EDGE('',*,*,#15397,.F.); #19144=ORIENTED_EDGE('',*,*,#15398,.F.); #19145=ORIENTED_EDGE('',*,*,#15399,.T.); #19146=ORIENTED_EDGE('',*,*,#15396,.F.); #19147=ORIENTED_EDGE('',*,*,#15399,.F.); #19148=ORIENTED_EDGE('',*,*,#15400,.T.); #19149=ORIENTED_EDGE('',*,*,#15401,.T.); #19150=ORIENTED_EDGE('',*,*,#15401,.F.); #19151=ORIENTED_EDGE('',*,*,#15402,.F.); #19152=ORIENTED_EDGE('',*,*,#15403,.T.); #19153=ORIENTED_EDGE('',*,*,#15400,.F.); #19154=ORIENTED_EDGE('',*,*,#15403,.F.); #19155=ORIENTED_EDGE('',*,*,#15404,.T.); #19156=ORIENTED_EDGE('',*,*,#15405,.T.); #19157=ORIENTED_EDGE('',*,*,#15405,.F.); #19158=ORIENTED_EDGE('',*,*,#15406,.F.); #19159=ORIENTED_EDGE('',*,*,#15407,.T.); #19160=ORIENTED_EDGE('',*,*,#15404,.F.); #19161=ORIENTED_EDGE('',*,*,#15407,.F.); #19162=ORIENTED_EDGE('',*,*,#15408,.T.); #19163=ORIENTED_EDGE('',*,*,#15409,.T.); #19164=ORIENTED_EDGE('',*,*,#15409,.F.); #19165=ORIENTED_EDGE('',*,*,#15410,.F.); #19166=ORIENTED_EDGE('',*,*,#15411,.T.); #19167=ORIENTED_EDGE('',*,*,#15408,.F.); #19168=ORIENTED_EDGE('',*,*,#15411,.F.); #19169=ORIENTED_EDGE('',*,*,#15412,.T.); #19170=ORIENTED_EDGE('',*,*,#15413,.T.); #19171=ORIENTED_EDGE('',*,*,#15413,.F.); #19172=ORIENTED_EDGE('',*,*,#15414,.F.); #19173=ORIENTED_EDGE('',*,*,#15415,.T.); #19174=ORIENTED_EDGE('',*,*,#15412,.F.); #19175=ORIENTED_EDGE('',*,*,#15415,.F.); #19176=ORIENTED_EDGE('',*,*,#15416,.T.); #19177=ORIENTED_EDGE('',*,*,#15417,.T.); #19178=ORIENTED_EDGE('',*,*,#15417,.F.); #19179=ORIENTED_EDGE('',*,*,#15418,.F.); #19180=ORIENTED_EDGE('',*,*,#15419,.T.); #19181=ORIENTED_EDGE('',*,*,#15416,.F.); #19182=ORIENTED_EDGE('',*,*,#15419,.F.); #19183=ORIENTED_EDGE('',*,*,#15420,.T.); #19184=ORIENTED_EDGE('',*,*,#15421,.T.); #19185=ORIENTED_EDGE('',*,*,#15421,.F.); #19186=ORIENTED_EDGE('',*,*,#15422,.F.); #19187=ORIENTED_EDGE('',*,*,#15423,.T.); #19188=ORIENTED_EDGE('',*,*,#15420,.F.); #19189=ORIENTED_EDGE('',*,*,#15423,.F.); #19190=ORIENTED_EDGE('',*,*,#15424,.T.); #19191=ORIENTED_EDGE('',*,*,#15425,.T.); #19192=ORIENTED_EDGE('',*,*,#15425,.F.); #19193=ORIENTED_EDGE('',*,*,#15426,.F.); #19194=ORIENTED_EDGE('',*,*,#15427,.T.); #19195=ORIENTED_EDGE('',*,*,#15424,.F.); #19196=ORIENTED_EDGE('',*,*,#15427,.F.); #19197=ORIENTED_EDGE('',*,*,#15428,.F.); #19198=ORIENTED_EDGE('',*,*,#15429,.T.); #19199=ORIENTED_EDGE('',*,*,#15430,.F.); #19200=ORIENTED_EDGE('',*,*,#15429,.F.); #19201=ORIENTED_EDGE('',*,*,#15431,.T.); #19202=ORIENTED_EDGE('',*,*,#15432,.F.); #19203=ORIENTED_EDGE('',*,*,#15433,.F.); #19204=ORIENTED_EDGE('',*,*,#15430,.T.); #19205=ORIENTED_EDGE('',*,*,#15434,.T.); #19206=ORIENTED_EDGE('',*,*,#15434,.F.); #19207=ORIENTED_EDGE('',*,*,#15431,.F.); #19208=ORIENTED_EDGE('',*,*,#15435,.T.); #19209=ORIENTED_EDGE('',*,*,#15436,.T.); #19210=ORIENTED_EDGE('',*,*,#15437,.T.); #19211=ORIENTED_EDGE('',*,*,#15437,.F.); #19212=ORIENTED_EDGE('',*,*,#15438,.F.); #19213=ORIENTED_EDGE('',*,*,#15439,.T.); #19214=ORIENTED_EDGE('',*,*,#15436,.F.); #19215=ORIENTED_EDGE('',*,*,#15439,.F.); #19216=ORIENTED_EDGE('',*,*,#15440,.T.); #19217=ORIENTED_EDGE('',*,*,#15441,.T.); #19218=ORIENTED_EDGE('',*,*,#15441,.F.); #19219=ORIENTED_EDGE('',*,*,#15442,.F.); #19220=ORIENTED_EDGE('',*,*,#15443,.T.); #19221=ORIENTED_EDGE('',*,*,#15440,.F.); #19222=ORIENTED_EDGE('',*,*,#15443,.F.); #19223=ORIENTED_EDGE('',*,*,#15444,.T.); #19224=ORIENTED_EDGE('',*,*,#15445,.T.); #19225=ORIENTED_EDGE('',*,*,#15445,.F.); #19226=ORIENTED_EDGE('',*,*,#15446,.F.); #19227=ORIENTED_EDGE('',*,*,#15447,.T.); #19228=ORIENTED_EDGE('',*,*,#15444,.F.); #19229=ORIENTED_EDGE('',*,*,#15447,.F.); #19230=ORIENTED_EDGE('',*,*,#15448,.T.); #19231=ORIENTED_EDGE('',*,*,#15449,.T.); #19232=ORIENTED_EDGE('',*,*,#15449,.F.); #19233=ORIENTED_EDGE('',*,*,#15450,.F.); #19234=ORIENTED_EDGE('',*,*,#15451,.T.); #19235=ORIENTED_EDGE('',*,*,#15448,.F.); #19236=ORIENTED_EDGE('',*,*,#15451,.F.); #19237=ORIENTED_EDGE('',*,*,#15452,.T.); #19238=ORIENTED_EDGE('',*,*,#15453,.T.); #19239=ORIENTED_EDGE('',*,*,#15453,.F.); #19240=ORIENTED_EDGE('',*,*,#15454,.F.); #19241=ORIENTED_EDGE('',*,*,#15455,.T.); #19242=ORIENTED_EDGE('',*,*,#15452,.F.); #19243=ORIENTED_EDGE('',*,*,#15455,.F.); #19244=ORIENTED_EDGE('',*,*,#15456,.T.); #19245=ORIENTED_EDGE('',*,*,#15457,.T.); #19246=ORIENTED_EDGE('',*,*,#15457,.F.); #19247=ORIENTED_EDGE('',*,*,#15458,.F.); #19248=ORIENTED_EDGE('',*,*,#15459,.T.); #19249=ORIENTED_EDGE('',*,*,#15456,.F.); #19250=ORIENTED_EDGE('',*,*,#15459,.F.); #19251=ORIENTED_EDGE('',*,*,#15460,.T.); #19252=ORIENTED_EDGE('',*,*,#15461,.T.); #19253=ORIENTED_EDGE('',*,*,#15461,.F.); #19254=ORIENTED_EDGE('',*,*,#15462,.F.); #19255=ORIENTED_EDGE('',*,*,#15463,.T.); #19256=ORIENTED_EDGE('',*,*,#15460,.F.); #19257=ORIENTED_EDGE('',*,*,#15463,.F.); #19258=ORIENTED_EDGE('',*,*,#15464,.T.); #19259=ORIENTED_EDGE('',*,*,#15465,.T.); #19260=ORIENTED_EDGE('',*,*,#15465,.F.); #19261=ORIENTED_EDGE('',*,*,#15466,.F.); #19262=ORIENTED_EDGE('',*,*,#15467,.T.); #19263=ORIENTED_EDGE('',*,*,#15464,.F.); #19264=ORIENTED_EDGE('',*,*,#15467,.F.); #19265=ORIENTED_EDGE('',*,*,#15468,.T.); #19266=ORIENTED_EDGE('',*,*,#15469,.T.); #19267=ORIENTED_EDGE('',*,*,#15469,.F.); #19268=ORIENTED_EDGE('',*,*,#15470,.F.); #19269=ORIENTED_EDGE('',*,*,#15471,.T.); #19270=ORIENTED_EDGE('',*,*,#15468,.F.); #19271=ORIENTED_EDGE('',*,*,#15471,.F.); #19272=ORIENTED_EDGE('',*,*,#15472,.T.); #19273=ORIENTED_EDGE('',*,*,#15473,.T.); #19274=ORIENTED_EDGE('',*,*,#15473,.F.); #19275=ORIENTED_EDGE('',*,*,#15474,.F.); #19276=ORIENTED_EDGE('',*,*,#15475,.T.); #19277=ORIENTED_EDGE('',*,*,#15472,.F.); #19278=ORIENTED_EDGE('',*,*,#15475,.F.); #19279=ORIENTED_EDGE('',*,*,#15476,.T.); #19280=ORIENTED_EDGE('',*,*,#15477,.T.); #19281=ORIENTED_EDGE('',*,*,#15477,.F.); #19282=ORIENTED_EDGE('',*,*,#15478,.F.); #19283=ORIENTED_EDGE('',*,*,#15479,.T.); #19284=ORIENTED_EDGE('',*,*,#15476,.F.); #19285=ORIENTED_EDGE('',*,*,#15479,.F.); #19286=ORIENTED_EDGE('',*,*,#15480,.T.); #19287=ORIENTED_EDGE('',*,*,#15481,.T.); #19288=ORIENTED_EDGE('',*,*,#15481,.F.); #19289=ORIENTED_EDGE('',*,*,#15482,.F.); #19290=ORIENTED_EDGE('',*,*,#15483,.T.); #19291=ORIENTED_EDGE('',*,*,#15480,.F.); #19292=ORIENTED_EDGE('',*,*,#15483,.F.); #19293=ORIENTED_EDGE('',*,*,#15484,.T.); #19294=ORIENTED_EDGE('',*,*,#15485,.T.); #19295=ORIENTED_EDGE('',*,*,#15485,.F.); #19296=ORIENTED_EDGE('',*,*,#15486,.F.); #19297=ORIENTED_EDGE('',*,*,#15487,.T.); #19298=ORIENTED_EDGE('',*,*,#15484,.F.); #19299=ORIENTED_EDGE('',*,*,#15487,.F.); #19300=ORIENTED_EDGE('',*,*,#15488,.T.); #19301=ORIENTED_EDGE('',*,*,#15489,.T.); #19302=ORIENTED_EDGE('',*,*,#15489,.F.); #19303=ORIENTED_EDGE('',*,*,#15490,.F.); #19304=ORIENTED_EDGE('',*,*,#15491,.T.); #19305=ORIENTED_EDGE('',*,*,#15488,.F.); #19306=ORIENTED_EDGE('',*,*,#15491,.F.); #19307=ORIENTED_EDGE('',*,*,#15492,.T.); #19308=ORIENTED_EDGE('',*,*,#15493,.T.); #19309=ORIENTED_EDGE('',*,*,#15493,.F.); #19310=ORIENTED_EDGE('',*,*,#15494,.F.); #19311=ORIENTED_EDGE('',*,*,#15495,.T.); #19312=ORIENTED_EDGE('',*,*,#15492,.F.); #19313=ORIENTED_EDGE('',*,*,#15495,.F.); #19314=ORIENTED_EDGE('',*,*,#15496,.T.); #19315=ORIENTED_EDGE('',*,*,#15497,.T.); #19316=ORIENTED_EDGE('',*,*,#15497,.F.); #19317=ORIENTED_EDGE('',*,*,#15498,.F.); #19318=ORIENTED_EDGE('',*,*,#15499,.T.); #19319=ORIENTED_EDGE('',*,*,#15496,.F.); #19320=ORIENTED_EDGE('',*,*,#15499,.F.); #19321=ORIENTED_EDGE('',*,*,#15500,.T.); #19322=ORIENTED_EDGE('',*,*,#15501,.T.); #19323=ORIENTED_EDGE('',*,*,#15501,.F.); #19324=ORIENTED_EDGE('',*,*,#15502,.F.); #19325=ORIENTED_EDGE('',*,*,#15503,.T.); #19326=ORIENTED_EDGE('',*,*,#15500,.F.); #19327=ORIENTED_EDGE('',*,*,#15503,.F.); #19328=ORIENTED_EDGE('',*,*,#15504,.T.); #19329=ORIENTED_EDGE('',*,*,#15505,.T.); #19330=ORIENTED_EDGE('',*,*,#15505,.F.); #19331=ORIENTED_EDGE('',*,*,#15506,.F.); #19332=ORIENTED_EDGE('',*,*,#15507,.T.); #19333=ORIENTED_EDGE('',*,*,#15504,.F.); #19334=ORIENTED_EDGE('',*,*,#15507,.F.); #19335=ORIENTED_EDGE('',*,*,#15508,.T.); #19336=ORIENTED_EDGE('',*,*,#15509,.T.); #19337=ORIENTED_EDGE('',*,*,#15509,.F.); #19338=ORIENTED_EDGE('',*,*,#15510,.F.); #19339=ORIENTED_EDGE('',*,*,#15511,.T.); #19340=ORIENTED_EDGE('',*,*,#15508,.F.); #19341=ORIENTED_EDGE('',*,*,#15511,.F.); #19342=ORIENTED_EDGE('',*,*,#15512,.T.); #19343=ORIENTED_EDGE('',*,*,#15513,.T.); #19344=ORIENTED_EDGE('',*,*,#15513,.F.); #19345=ORIENTED_EDGE('',*,*,#15514,.F.); #19346=ORIENTED_EDGE('',*,*,#15515,.T.); #19347=ORIENTED_EDGE('',*,*,#15512,.F.); #19348=ORIENTED_EDGE('',*,*,#15515,.F.); #19349=ORIENTED_EDGE('',*,*,#15516,.T.); #19350=ORIENTED_EDGE('',*,*,#15517,.T.); #19351=ORIENTED_EDGE('',*,*,#15517,.F.); #19352=ORIENTED_EDGE('',*,*,#15518,.F.); #19353=ORIENTED_EDGE('',*,*,#15519,.T.); #19354=ORIENTED_EDGE('',*,*,#15516,.F.); #19355=ORIENTED_EDGE('',*,*,#15519,.F.); #19356=ORIENTED_EDGE('',*,*,#15520,.T.); #19357=ORIENTED_EDGE('',*,*,#15521,.T.); #19358=ORIENTED_EDGE('',*,*,#15521,.F.); #19359=ORIENTED_EDGE('',*,*,#15522,.F.); #19360=ORIENTED_EDGE('',*,*,#15523,.T.); #19361=ORIENTED_EDGE('',*,*,#15520,.F.); #19362=ORIENTED_EDGE('',*,*,#15523,.F.); #19363=ORIENTED_EDGE('',*,*,#15524,.T.); #19364=ORIENTED_EDGE('',*,*,#15525,.T.); #19365=ORIENTED_EDGE('',*,*,#15525,.F.); #19366=ORIENTED_EDGE('',*,*,#15526,.F.); #19367=ORIENTED_EDGE('',*,*,#15527,.T.); #19368=ORIENTED_EDGE('',*,*,#15524,.F.); #19369=ORIENTED_EDGE('',*,*,#15527,.F.); #19370=ORIENTED_EDGE('',*,*,#15528,.T.); #19371=ORIENTED_EDGE('',*,*,#15529,.T.); #19372=ORIENTED_EDGE('',*,*,#15529,.F.); #19373=ORIENTED_EDGE('',*,*,#15530,.F.); #19374=ORIENTED_EDGE('',*,*,#15531,.T.); #19375=ORIENTED_EDGE('',*,*,#15528,.F.); #19376=ORIENTED_EDGE('',*,*,#15531,.F.); #19377=ORIENTED_EDGE('',*,*,#15532,.T.); #19378=ORIENTED_EDGE('',*,*,#15533,.T.); #19379=ORIENTED_EDGE('',*,*,#15533,.F.); #19380=ORIENTED_EDGE('',*,*,#15534,.F.); #19381=ORIENTED_EDGE('',*,*,#15535,.T.); #19382=ORIENTED_EDGE('',*,*,#15532,.F.); #19383=ORIENTED_EDGE('',*,*,#15535,.F.); #19384=ORIENTED_EDGE('',*,*,#15536,.T.); #19385=ORIENTED_EDGE('',*,*,#15537,.T.); #19386=ORIENTED_EDGE('',*,*,#15537,.F.); #19387=ORIENTED_EDGE('',*,*,#15538,.F.); #19388=ORIENTED_EDGE('',*,*,#15539,.T.); #19389=ORIENTED_EDGE('',*,*,#15536,.F.); #19390=ORIENTED_EDGE('',*,*,#15539,.F.); #19391=ORIENTED_EDGE('',*,*,#15540,.T.); #19392=ORIENTED_EDGE('',*,*,#15541,.T.); #19393=ORIENTED_EDGE('',*,*,#15541,.F.); #19394=ORIENTED_EDGE('',*,*,#15542,.F.); #19395=ORIENTED_EDGE('',*,*,#15543,.T.); #19396=ORIENTED_EDGE('',*,*,#15540,.F.); #19397=ORIENTED_EDGE('',*,*,#15543,.F.); #19398=ORIENTED_EDGE('',*,*,#15544,.T.); #19399=ORIENTED_EDGE('',*,*,#15545,.T.); #19400=ORIENTED_EDGE('',*,*,#15545,.F.); #19401=ORIENTED_EDGE('',*,*,#15546,.F.); #19402=ORIENTED_EDGE('',*,*,#15547,.T.); #19403=ORIENTED_EDGE('',*,*,#15544,.F.); #19404=ORIENTED_EDGE('',*,*,#15547,.F.); #19405=ORIENTED_EDGE('',*,*,#15548,.T.); #19406=ORIENTED_EDGE('',*,*,#15549,.T.); #19407=ORIENTED_EDGE('',*,*,#15549,.F.); #19408=ORIENTED_EDGE('',*,*,#15550,.F.); #19409=ORIENTED_EDGE('',*,*,#15551,.T.); #19410=ORIENTED_EDGE('',*,*,#15548,.F.); #19411=ORIENTED_EDGE('',*,*,#15551,.F.); #19412=ORIENTED_EDGE('',*,*,#15552,.T.); #19413=ORIENTED_EDGE('',*,*,#15553,.T.); #19414=ORIENTED_EDGE('',*,*,#15553,.F.); #19415=ORIENTED_EDGE('',*,*,#15554,.F.); #19416=ORIENTED_EDGE('',*,*,#15555,.T.); #19417=ORIENTED_EDGE('',*,*,#15552,.F.); #19418=ORIENTED_EDGE('',*,*,#15555,.F.); #19419=ORIENTED_EDGE('',*,*,#15556,.F.); #19420=ORIENTED_EDGE('',*,*,#15557,.T.); #19421=ORIENTED_EDGE('',*,*,#15432,.T.); #19422=ORIENTED_EDGE('',*,*,#15435,.F.); #19423=ORIENTED_EDGE('',*,*,#15433,.T.); #19424=ORIENTED_EDGE('',*,*,#15557,.F.); #19425=ORIENTED_EDGE('',*,*,#15558,.F.); #19426=ORIENTED_EDGE('',*,*,#15559,.F.); #19427=ORIENTED_EDGE('',*,*,#15560,.F.); #19428=ORIENTED_EDGE('',*,*,#15561,.F.); #19429=ORIENTED_EDGE('',*,*,#15438,.T.); #19430=ORIENTED_EDGE('',*,*,#15442,.T.); #19431=ORIENTED_EDGE('',*,*,#15446,.T.); #19432=ORIENTED_EDGE('',*,*,#15450,.T.); #19433=ORIENTED_EDGE('',*,*,#15454,.T.); #19434=ORIENTED_EDGE('',*,*,#15458,.T.); #19435=ORIENTED_EDGE('',*,*,#15462,.T.); #19436=ORIENTED_EDGE('',*,*,#15466,.T.); #19437=ORIENTED_EDGE('',*,*,#15470,.T.); #19438=ORIENTED_EDGE('',*,*,#15560,.T.); #19439=ORIENTED_EDGE('',*,*,#15562,.F.); #19440=ORIENTED_EDGE('',*,*,#15563,.T.); #19441=ORIENTED_EDGE('',*,*,#15564,.T.); #19442=ORIENTED_EDGE('',*,*,#15565,.T.); #19443=ORIENTED_EDGE('',*,*,#15382,.T.); #19444=ORIENTED_EDGE('',*,*,#15386,.T.); #19445=ORIENTED_EDGE('',*,*,#15390,.T.); #19446=ORIENTED_EDGE('',*,*,#15394,.T.); #19447=ORIENTED_EDGE('',*,*,#15559,.T.); #19448=ORIENTED_EDGE('',*,*,#15566,.T.); #19449=ORIENTED_EDGE('',*,*,#15567,.T.); #19450=ORIENTED_EDGE('',*,*,#15562,.T.); #19451=ORIENTED_EDGE('',*,*,#15568,.T.); #19452=ORIENTED_EDGE('',*,*,#15564,.F.); #19453=ORIENTED_EDGE('',*,*,#15569,.T.); #19454=ORIENTED_EDGE('',*,*,#15570,.T.); #19455=ORIENTED_EDGE('',*,*,#15558,.T.); #19456=ORIENTED_EDGE('',*,*,#15571,.F.); #19457=ORIENTED_EDGE('',*,*,#15572,.F.); #19458=ORIENTED_EDGE('',*,*,#15573,.F.); #19459=ORIENTED_EDGE('',*,*,#15566,.F.); #19460=ORIENTED_EDGE('',*,*,#15474,.T.); #19461=ORIENTED_EDGE('',*,*,#15478,.T.); #19462=ORIENTED_EDGE('',*,*,#15482,.T.); #19463=ORIENTED_EDGE('',*,*,#15486,.T.); #19464=ORIENTED_EDGE('',*,*,#15490,.T.); #19465=ORIENTED_EDGE('',*,*,#15494,.T.); #19466=ORIENTED_EDGE('',*,*,#15573,.T.); #19467=ORIENTED_EDGE('',*,*,#15574,.F.); #19468=ORIENTED_EDGE('',*,*,#15575,.T.); #19469=ORIENTED_EDGE('',*,*,#15576,.T.); #19470=ORIENTED_EDGE('',*,*,#15577,.F.); #19471=ORIENTED_EDGE('',*,*,#15569,.F.); #19472=ORIENTED_EDGE('',*,*,#15563,.F.); #19473=ORIENTED_EDGE('',*,*,#15567,.F.); #19474=ORIENTED_EDGE('',*,*,#15575,.F.); #19475=ORIENTED_EDGE('',*,*,#15578,.F.); #19476=ORIENTED_EDGE('',*,*,#15579,.F.); #19477=ORIENTED_EDGE('',*,*,#15580,.F.); #19478=ORIENTED_EDGE('',*,*,#15398,.T.); #19479=ORIENTED_EDGE('',*,*,#15402,.T.); #19480=ORIENTED_EDGE('',*,*,#15406,.T.); #19481=ORIENTED_EDGE('',*,*,#15410,.T.); #19482=ORIENTED_EDGE('',*,*,#15414,.T.); #19483=ORIENTED_EDGE('',*,*,#15418,.T.); #19484=ORIENTED_EDGE('',*,*,#15422,.T.); #19485=ORIENTED_EDGE('',*,*,#15426,.T.); #19486=ORIENTED_EDGE('',*,*,#15428,.T.); #19487=ORIENTED_EDGE('',*,*,#15572,.T.); #19488=ORIENTED_EDGE('',*,*,#15581,.T.); #19489=ORIENTED_EDGE('',*,*,#15578,.T.); #19490=ORIENTED_EDGE('',*,*,#15574,.T.); #19491=ORIENTED_EDGE('',*,*,#15498,.T.); #19492=ORIENTED_EDGE('',*,*,#15502,.T.); #19493=ORIENTED_EDGE('',*,*,#15506,.T.); #19494=ORIENTED_EDGE('',*,*,#15510,.T.); #19495=ORIENTED_EDGE('',*,*,#15514,.T.); #19496=ORIENTED_EDGE('',*,*,#15518,.T.); #19497=ORIENTED_EDGE('',*,*,#15522,.T.); #19498=ORIENTED_EDGE('',*,*,#15526,.T.); #19499=ORIENTED_EDGE('',*,*,#15530,.T.); #19500=ORIENTED_EDGE('',*,*,#15534,.T.); #19501=ORIENTED_EDGE('',*,*,#15538,.T.); #19502=ORIENTED_EDGE('',*,*,#15542,.T.); #19503=ORIENTED_EDGE('',*,*,#15546,.T.); #19504=ORIENTED_EDGE('',*,*,#15550,.T.); #19505=ORIENTED_EDGE('',*,*,#15554,.T.); #19506=ORIENTED_EDGE('',*,*,#15556,.T.); #19507=ORIENTED_EDGE('',*,*,#15561,.T.); #19508=ORIENTED_EDGE('',*,*,#15565,.F.); #19509=ORIENTED_EDGE('',*,*,#15568,.F.); #19510=ORIENTED_EDGE('',*,*,#15582,.F.); #19511=ORIENTED_EDGE('',*,*,#15583,.F.); #19512=ORIENTED_EDGE('',*,*,#15579,.T.); #19513=ORIENTED_EDGE('',*,*,#15581,.F.); #19514=ORIENTED_EDGE('',*,*,#15571,.T.); #19515=ORIENTED_EDGE('',*,*,#15366,.F.); #19516=ORIENTED_EDGE('',*,*,#15369,.T.); #19517=ORIENTED_EDGE('',*,*,#15371,.F.); #19518=ORIENTED_EDGE('',*,*,#15373,.T.); #19519=ORIENTED_EDGE('',*,*,#15375,.F.); #19520=ORIENTED_EDGE('',*,*,#15377,.T.); #19521=ORIENTED_EDGE('',*,*,#15379,.F.); #19522=ORIENTED_EDGE('',*,*,#15584,.F.); #19523=ORIENTED_EDGE('',*,*,#15585,.T.); #19524=ORIENTED_EDGE('',*,*,#15586,.F.); #19525=ORIENTED_EDGE('',*,*,#15587,.T.); #19526=ORIENTED_EDGE('',*,*,#15588,.F.); #19527=ORIENTED_EDGE('',*,*,#15589,.T.); #19528=ORIENTED_EDGE('',*,*,#15582,.T.); #19529=ORIENTED_EDGE('',*,*,#15570,.F.); #19530=ORIENTED_EDGE('',*,*,#15577,.T.); #19531=ORIENTED_EDGE('',*,*,#15590,.F.); #19532=ORIENTED_EDGE('',*,*,#15580,.T.); #19533=ORIENTED_EDGE('',*,*,#15583,.T.); #19534=ORIENTED_EDGE('',*,*,#15590,.T.); #19535=ORIENTED_EDGE('',*,*,#15576,.F.); #19536=ORIENTED_EDGE('',*,*,#15350,.F.); #19537=ORIENTED_EDGE('',*,*,#15367,.F.); #19538=ORIENTED_EDGE('',*,*,#15589,.F.); #19539=ORIENTED_EDGE('',*,*,#15591,.F.); #19540=ORIENTED_EDGE('',*,*,#15362,.F.); #19541=ORIENTED_EDGE('',*,*,#15592,.F.); #19542=ORIENTED_EDGE('',*,*,#15585,.F.); #19543=ORIENTED_EDGE('',*,*,#15593,.F.); #19544=ORIENTED_EDGE('',*,*,#15359,.F.); #19545=ORIENTED_EDGE('',*,*,#15594,.T.); #19546=ORIENTED_EDGE('',*,*,#15586,.T.); #19547=ORIENTED_EDGE('',*,*,#15592,.T.); #19548=ORIENTED_EDGE('',*,*,#15356,.F.); #19549=ORIENTED_EDGE('',*,*,#15595,.F.); #19550=ORIENTED_EDGE('',*,*,#15587,.F.); #19551=ORIENTED_EDGE('',*,*,#15594,.F.); #19552=ORIENTED_EDGE('',*,*,#15353,.F.); #19553=ORIENTED_EDGE('',*,*,#15591,.T.); #19554=ORIENTED_EDGE('',*,*,#15588,.T.); #19555=ORIENTED_EDGE('',*,*,#15595,.T.); #19556=ORIENTED_EDGE('',*,*,#15364,.F.); #19557=ORIENTED_EDGE('',*,*,#15593,.T.); #19558=ORIENTED_EDGE('',*,*,#15584,.T.); #19559=ORIENTED_EDGE('',*,*,#15378,.T.); #19560=ORIENTED_EDGE('',*,*,#15596,.T.); #19561=ORIENTED_EDGE('',*,*,#15597,.T.); #19562=ORIENTED_EDGE('',*,*,#15597,.F.); #19563=ORIENTED_EDGE('',*,*,#15598,.F.); #19564=ORIENTED_EDGE('',*,*,#15599,.T.); #19565=ORIENTED_EDGE('',*,*,#15596,.F.); #19566=ORIENTED_EDGE('',*,*,#15599,.F.); #19567=ORIENTED_EDGE('',*,*,#15600,.T.); #19568=ORIENTED_EDGE('',*,*,#15601,.T.); #19569=ORIENTED_EDGE('',*,*,#15601,.F.); #19570=ORIENTED_EDGE('',*,*,#15602,.F.); #19571=ORIENTED_EDGE('',*,*,#15603,.T.); #19572=ORIENTED_EDGE('',*,*,#15600,.F.); #19573=ORIENTED_EDGE('',*,*,#15603,.F.); #19574=ORIENTED_EDGE('',*,*,#15604,.T.); #19575=ORIENTED_EDGE('',*,*,#15605,.T.); #19576=ORIENTED_EDGE('',*,*,#15605,.F.); #19577=ORIENTED_EDGE('',*,*,#15606,.F.); #19578=ORIENTED_EDGE('',*,*,#15607,.T.); #19579=ORIENTED_EDGE('',*,*,#15604,.F.); #19580=ORIENTED_EDGE('',*,*,#15607,.F.); #19581=ORIENTED_EDGE('',*,*,#15608,.T.); #19582=ORIENTED_EDGE('',*,*,#15609,.T.); #19583=ORIENTED_EDGE('',*,*,#15609,.F.); #19584=ORIENTED_EDGE('',*,*,#15610,.F.); #19585=ORIENTED_EDGE('',*,*,#15611,.T.); #19586=ORIENTED_EDGE('',*,*,#15608,.F.); #19587=ORIENTED_EDGE('',*,*,#15611,.F.); #19588=ORIENTED_EDGE('',*,*,#15612,.T.); #19589=ORIENTED_EDGE('',*,*,#15613,.T.); #19590=ORIENTED_EDGE('',*,*,#15613,.F.); #19591=ORIENTED_EDGE('',*,*,#15614,.F.); #19592=ORIENTED_EDGE('',*,*,#15615,.T.); #19593=ORIENTED_EDGE('',*,*,#15612,.F.); #19594=ORIENTED_EDGE('',*,*,#15615,.F.); #19595=ORIENTED_EDGE('',*,*,#15616,.T.); #19596=ORIENTED_EDGE('',*,*,#15617,.T.); #19597=ORIENTED_EDGE('',*,*,#15617,.F.); #19598=ORIENTED_EDGE('',*,*,#15618,.F.); #19599=ORIENTED_EDGE('',*,*,#15619,.T.); #19600=ORIENTED_EDGE('',*,*,#15616,.F.); #19601=ORIENTED_EDGE('',*,*,#15619,.F.); #19602=ORIENTED_EDGE('',*,*,#15620,.T.); #19603=ORIENTED_EDGE('',*,*,#15621,.T.); #19604=ORIENTED_EDGE('',*,*,#15621,.F.); #19605=ORIENTED_EDGE('',*,*,#15622,.F.); #19606=ORIENTED_EDGE('',*,*,#15623,.T.); #19607=ORIENTED_EDGE('',*,*,#15620,.F.); #19608=ORIENTED_EDGE('',*,*,#15623,.F.); #19609=ORIENTED_EDGE('',*,*,#15624,.T.); #19610=ORIENTED_EDGE('',*,*,#15625,.T.); #19611=ORIENTED_EDGE('',*,*,#15625,.F.); #19612=ORIENTED_EDGE('',*,*,#15626,.F.); #19613=ORIENTED_EDGE('',*,*,#15627,.T.); #19614=ORIENTED_EDGE('',*,*,#15624,.F.); #19615=ORIENTED_EDGE('',*,*,#15627,.F.); #19616=ORIENTED_EDGE('',*,*,#15628,.T.); #19617=ORIENTED_EDGE('',*,*,#15629,.T.); #19618=ORIENTED_EDGE('',*,*,#15629,.F.); #19619=ORIENTED_EDGE('',*,*,#15630,.F.); #19620=ORIENTED_EDGE('',*,*,#15631,.T.); #19621=ORIENTED_EDGE('',*,*,#15628,.F.); #19622=ORIENTED_EDGE('',*,*,#15631,.F.); #19623=ORIENTED_EDGE('',*,*,#15632,.T.); #19624=ORIENTED_EDGE('',*,*,#15633,.T.); #19625=ORIENTED_EDGE('',*,*,#15633,.F.); #19626=ORIENTED_EDGE('',*,*,#15634,.F.); #19627=ORIENTED_EDGE('',*,*,#15635,.T.); #19628=ORIENTED_EDGE('',*,*,#15632,.F.); #19629=ORIENTED_EDGE('',*,*,#15635,.F.); #19630=ORIENTED_EDGE('',*,*,#15636,.T.); #19631=ORIENTED_EDGE('',*,*,#15637,.T.); #19632=ORIENTED_EDGE('',*,*,#15637,.F.); #19633=ORIENTED_EDGE('',*,*,#15638,.F.); #19634=ORIENTED_EDGE('',*,*,#15639,.T.); #19635=ORIENTED_EDGE('',*,*,#15636,.F.); #19636=ORIENTED_EDGE('',*,*,#15639,.F.); #19637=ORIENTED_EDGE('',*,*,#15640,.T.); #19638=ORIENTED_EDGE('',*,*,#15641,.T.); #19639=ORIENTED_EDGE('',*,*,#15642,.F.); #19640=ORIENTED_EDGE('',*,*,#15643,.F.); #19641=ORIENTED_EDGE('',*,*,#15644,.F.); #19642=ORIENTED_EDGE('',*,*,#15641,.F.); #19643=ORIENTED_EDGE('',*,*,#15645,.F.); #19644=ORIENTED_EDGE('',*,*,#15646,.T.); #19645=ORIENTED_EDGE('',*,*,#15640,.F.); #19646=ORIENTED_EDGE('',*,*,#15646,.F.); #19647=ORIENTED_EDGE('',*,*,#15647,.F.); #19648=ORIENTED_EDGE('',*,*,#15648,.T.); #19649=ORIENTED_EDGE('',*,*,#15649,.F.); #19650=ORIENTED_EDGE('',*,*,#15643,.T.); #19651=ORIENTED_EDGE('',*,*,#15650,.F.); #19652=ORIENTED_EDGE('',*,*,#15648,.F.); #19653=ORIENTED_EDGE('',*,*,#15651,.T.); #19654=ORIENTED_EDGE('',*,*,#15652,.T.); #19655=ORIENTED_EDGE('',*,*,#15652,.F.); #19656=ORIENTED_EDGE('',*,*,#15653,.F.); #19657=ORIENTED_EDGE('',*,*,#15654,.T.); #19658=ORIENTED_EDGE('',*,*,#15651,.F.); #19659=ORIENTED_EDGE('',*,*,#15654,.F.); #19660=ORIENTED_EDGE('',*,*,#15655,.T.); #19661=ORIENTED_EDGE('',*,*,#15656,.T.); #19662=ORIENTED_EDGE('',*,*,#15656,.F.); #19663=ORIENTED_EDGE('',*,*,#15657,.F.); #19664=ORIENTED_EDGE('',*,*,#15658,.T.); #19665=ORIENTED_EDGE('',*,*,#15655,.F.); #19666=ORIENTED_EDGE('',*,*,#15658,.F.); #19667=ORIENTED_EDGE('',*,*,#15659,.T.); #19668=ORIENTED_EDGE('',*,*,#15660,.T.); #19669=ORIENTED_EDGE('',*,*,#15660,.F.); #19670=ORIENTED_EDGE('',*,*,#15661,.F.); #19671=ORIENTED_EDGE('',*,*,#15662,.T.); #19672=ORIENTED_EDGE('',*,*,#15659,.F.); #19673=ORIENTED_EDGE('',*,*,#15662,.F.); #19674=ORIENTED_EDGE('',*,*,#15663,.T.); #19675=ORIENTED_EDGE('',*,*,#15664,.T.); #19676=ORIENTED_EDGE('',*,*,#15664,.F.); #19677=ORIENTED_EDGE('',*,*,#15665,.F.); #19678=ORIENTED_EDGE('',*,*,#15666,.T.); #19679=ORIENTED_EDGE('',*,*,#15663,.F.); #19680=ORIENTED_EDGE('',*,*,#15666,.F.); #19681=ORIENTED_EDGE('',*,*,#15667,.T.); #19682=ORIENTED_EDGE('',*,*,#15668,.T.); #19683=ORIENTED_EDGE('',*,*,#15669,.T.); #19684=ORIENTED_EDGE('',*,*,#15670,.F.); #19685=ORIENTED_EDGE('',*,*,#15671,.T.); #19686=ORIENTED_EDGE('',*,*,#15672,.T.); #19687=ORIENTED_EDGE('',*,*,#15668,.F.); #19688=ORIENTED_EDGE('',*,*,#15673,.T.); #19689=ORIENTED_EDGE('',*,*,#15674,.T.); #19690=ORIENTED_EDGE('',*,*,#15675,.T.); #19691=ORIENTED_EDGE('',*,*,#15671,.F.); #19692=ORIENTED_EDGE('',*,*,#15676,.T.); #19693=ORIENTED_EDGE('',*,*,#15677,.T.); #19694=ORIENTED_EDGE('',*,*,#15678,.T.); #19695=ORIENTED_EDGE('',*,*,#15674,.F.); #19696=ORIENTED_EDGE('',*,*,#15679,.T.); #19697=ORIENTED_EDGE('',*,*,#15680,.T.); #19698=ORIENTED_EDGE('',*,*,#15681,.T.); #19699=ORIENTED_EDGE('',*,*,#15677,.F.); #19700=ORIENTED_EDGE('',*,*,#15682,.T.); #19701=ORIENTED_EDGE('',*,*,#15683,.T.); #19702=ORIENTED_EDGE('',*,*,#15684,.T.); #19703=ORIENTED_EDGE('',*,*,#15680,.F.); #19704=ORIENTED_EDGE('',*,*,#15685,.T.); #19705=ORIENTED_EDGE('',*,*,#15686,.T.); #19706=ORIENTED_EDGE('',*,*,#15687,.T.); #19707=ORIENTED_EDGE('',*,*,#15683,.F.); #19708=ORIENTED_EDGE('',*,*,#15688,.T.); #19709=ORIENTED_EDGE('',*,*,#15689,.T.); #19710=ORIENTED_EDGE('',*,*,#15690,.T.); #19711=ORIENTED_EDGE('',*,*,#15686,.F.); #19712=ORIENTED_EDGE('',*,*,#15691,.T.); #19713=ORIENTED_EDGE('',*,*,#15692,.T.); #19714=ORIENTED_EDGE('',*,*,#15693,.T.); #19715=ORIENTED_EDGE('',*,*,#15689,.F.); #19716=ORIENTED_EDGE('',*,*,#15694,.T.); #19717=ORIENTED_EDGE('',*,*,#15695,.T.); #19718=ORIENTED_EDGE('',*,*,#15696,.T.); #19719=ORIENTED_EDGE('',*,*,#15692,.F.); #19720=ORIENTED_EDGE('',*,*,#15697,.T.); #19721=ORIENTED_EDGE('',*,*,#15698,.T.); #19722=ORIENTED_EDGE('',*,*,#15699,.T.); #19723=ORIENTED_EDGE('',*,*,#15695,.F.); #19724=ORIENTED_EDGE('',*,*,#15700,.T.); #19725=ORIENTED_EDGE('',*,*,#15701,.T.); #19726=ORIENTED_EDGE('',*,*,#15702,.T.); #19727=ORIENTED_EDGE('',*,*,#15698,.F.); #19728=ORIENTED_EDGE('',*,*,#15703,.T.); #19729=ORIENTED_EDGE('',*,*,#15704,.T.); #19730=ORIENTED_EDGE('',*,*,#15701,.F.); #19731=ORIENTED_EDGE('',*,*,#15705,.T.); #19732=ORIENTED_EDGE('',*,*,#15670,.T.); #19733=ORIENTED_EDGE('',*,*,#15690,.F.); #19734=ORIENTED_EDGE('',*,*,#15706,.T.); #19735=ORIENTED_EDGE('',*,*,#15707,.T.); #19736=ORIENTED_EDGE('',*,*,#15708,.T.); #19737=ORIENTED_EDGE('',*,*,#15693,.F.); #19738=ORIENTED_EDGE('',*,*,#15709,.F.); #19739=ORIENTED_EDGE('',*,*,#15710,.F.); #19740=ORIENTED_EDGE('',*,*,#15706,.F.); #19741=ORIENTED_EDGE('',*,*,#15696,.F.); #19742=ORIENTED_EDGE('',*,*,#15711,.T.); #19743=ORIENTED_EDGE('',*,*,#15712,.T.); #19744=ORIENTED_EDGE('',*,*,#15709,.T.); #19745=ORIENTED_EDGE('',*,*,#15699,.F.); #19746=ORIENTED_EDGE('',*,*,#15713,.F.); #19747=ORIENTED_EDGE('',*,*,#15714,.F.); #19748=ORIENTED_EDGE('',*,*,#15711,.F.); #19749=ORIENTED_EDGE('',*,*,#15702,.F.); #19750=ORIENTED_EDGE('',*,*,#15715,.T.); #19751=ORIENTED_EDGE('',*,*,#15716,.T.); #19752=ORIENTED_EDGE('',*,*,#15713,.T.); #19753=ORIENTED_EDGE('',*,*,#15704,.F.); #19754=ORIENTED_EDGE('',*,*,#15717,.F.); #19755=ORIENTED_EDGE('',*,*,#15718,.F.); #19756=ORIENTED_EDGE('',*,*,#15715,.F.); #19757=ORIENTED_EDGE('',*,*,#15669,.F.); #19758=ORIENTED_EDGE('',*,*,#15719,.F.); #19759=ORIENTED_EDGE('',*,*,#15720,.F.); #19760=ORIENTED_EDGE('',*,*,#15717,.T.); #19761=ORIENTED_EDGE('',*,*,#15667,.F.); #19762=ORIENTED_EDGE('',*,*,#15705,.F.); #19763=ORIENTED_EDGE('',*,*,#15703,.F.); #19764=ORIENTED_EDGE('',*,*,#15700,.F.); #19765=ORIENTED_EDGE('',*,*,#15697,.F.); #19766=ORIENTED_EDGE('',*,*,#15694,.F.); #19767=ORIENTED_EDGE('',*,*,#15691,.F.); #19768=ORIENTED_EDGE('',*,*,#15688,.F.); #19769=ORIENTED_EDGE('',*,*,#15685,.F.); #19770=ORIENTED_EDGE('',*,*,#15682,.F.); #19771=ORIENTED_EDGE('',*,*,#15679,.F.); #19772=ORIENTED_EDGE('',*,*,#15676,.F.); #19773=ORIENTED_EDGE('',*,*,#15673,.F.); #19774=ORIENTED_EDGE('',*,*,#15721,.T.); #19775=ORIENTED_EDGE('',*,*,#15722,.T.); #19776=ORIENTED_EDGE('',*,*,#15722,.F.); #19777=ORIENTED_EDGE('',*,*,#15723,.F.); #19778=ORIENTED_EDGE('',*,*,#15724,.T.); #19779=ORIENTED_EDGE('',*,*,#15721,.F.); #19780=ORIENTED_EDGE('',*,*,#15724,.F.); #19781=ORIENTED_EDGE('',*,*,#15725,.T.); #19782=ORIENTED_EDGE('',*,*,#15726,.T.); #19783=ORIENTED_EDGE('',*,*,#15726,.F.); #19784=ORIENTED_EDGE('',*,*,#15727,.F.); #19785=ORIENTED_EDGE('',*,*,#15728,.T.); #19786=ORIENTED_EDGE('',*,*,#15725,.F.); #19787=ORIENTED_EDGE('',*,*,#15728,.F.); #19788=ORIENTED_EDGE('',*,*,#15729,.T.); #19789=ORIENTED_EDGE('',*,*,#15730,.T.); #19790=ORIENTED_EDGE('',*,*,#15730,.F.); #19791=ORIENTED_EDGE('',*,*,#15731,.F.); #19792=ORIENTED_EDGE('',*,*,#15732,.T.); #19793=ORIENTED_EDGE('',*,*,#15729,.F.); #19794=ORIENTED_EDGE('',*,*,#15732,.F.); #19795=ORIENTED_EDGE('',*,*,#15733,.T.); #19796=ORIENTED_EDGE('',*,*,#15734,.T.); #19797=ORIENTED_EDGE('',*,*,#15734,.F.); #19798=ORIENTED_EDGE('',*,*,#15735,.F.); #19799=ORIENTED_EDGE('',*,*,#15736,.T.); #19800=ORIENTED_EDGE('',*,*,#15733,.F.); #19801=ORIENTED_EDGE('',*,*,#15736,.F.); #19802=ORIENTED_EDGE('',*,*,#15642,.T.); #19803=ORIENTED_EDGE('',*,*,#15644,.T.); #19804=ORIENTED_EDGE('',*,*,#15649,.T.); #19805=ORIENTED_EDGE('',*,*,#15737,.T.); #19806=ORIENTED_EDGE('',*,*,#15737,.F.); #19807=ORIENTED_EDGE('',*,*,#15650,.T.); #19808=ORIENTED_EDGE('',*,*,#15738,.T.); #19809=ORIENTED_EDGE('',*,*,#15739,.T.); #19810=ORIENTED_EDGE('',*,*,#15739,.F.); #19811=ORIENTED_EDGE('',*,*,#15740,.F.); #19812=ORIENTED_EDGE('',*,*,#15741,.T.); #19813=ORIENTED_EDGE('',*,*,#15738,.F.); #19814=ORIENTED_EDGE('',*,*,#15741,.F.); #19815=ORIENTED_EDGE('',*,*,#15742,.T.); #19816=ORIENTED_EDGE('',*,*,#15743,.T.); #19817=ORIENTED_EDGE('',*,*,#15743,.F.); #19818=ORIENTED_EDGE('',*,*,#15744,.F.); #19819=ORIENTED_EDGE('',*,*,#15745,.T.); #19820=ORIENTED_EDGE('',*,*,#15742,.F.); #19821=ORIENTED_EDGE('',*,*,#15745,.F.); #19822=ORIENTED_EDGE('',*,*,#15746,.T.); #19823=ORIENTED_EDGE('',*,*,#15747,.T.); #19824=ORIENTED_EDGE('',*,*,#15747,.F.); #19825=ORIENTED_EDGE('',*,*,#15748,.F.); #19826=ORIENTED_EDGE('',*,*,#15749,.T.); #19827=ORIENTED_EDGE('',*,*,#15746,.F.); #19828=ORIENTED_EDGE('',*,*,#15749,.F.); #19829=ORIENTED_EDGE('',*,*,#15750,.T.); #19830=ORIENTED_EDGE('',*,*,#15751,.T.); #19831=ORIENTED_EDGE('',*,*,#15751,.F.); #19832=ORIENTED_EDGE('',*,*,#15752,.F.); #19833=ORIENTED_EDGE('',*,*,#15753,.T.); #19834=ORIENTED_EDGE('',*,*,#15750,.F.); #19835=ORIENTED_EDGE('',*,*,#15753,.F.); #19836=ORIENTED_EDGE('',*,*,#15754,.T.); #19837=ORIENTED_EDGE('',*,*,#15755,.T.); #19838=ORIENTED_EDGE('',*,*,#15755,.F.); #19839=ORIENTED_EDGE('',*,*,#15756,.F.); #19840=ORIENTED_EDGE('',*,*,#15757,.T.); #19841=ORIENTED_EDGE('',*,*,#15754,.F.); #19842=ORIENTED_EDGE('',*,*,#15757,.F.); #19843=ORIENTED_EDGE('',*,*,#15758,.T.); #19844=ORIENTED_EDGE('',*,*,#15759,.T.); #19845=ORIENTED_EDGE('',*,*,#15759,.F.); #19846=ORIENTED_EDGE('',*,*,#15760,.F.); #19847=ORIENTED_EDGE('',*,*,#15761,.T.); #19848=ORIENTED_EDGE('',*,*,#15758,.F.); #19849=ORIENTED_EDGE('',*,*,#15761,.F.); #19850=ORIENTED_EDGE('',*,*,#15762,.T.); #19851=ORIENTED_EDGE('',*,*,#15763,.T.); #19852=ORIENTED_EDGE('',*,*,#15763,.F.); #19853=ORIENTED_EDGE('',*,*,#15764,.F.); #19854=ORIENTED_EDGE('',*,*,#15765,.T.); #19855=ORIENTED_EDGE('',*,*,#15762,.F.); #19856=ORIENTED_EDGE('',*,*,#15765,.F.); #19857=ORIENTED_EDGE('',*,*,#15766,.T.); #19858=ORIENTED_EDGE('',*,*,#15767,.T.); #19859=ORIENTED_EDGE('',*,*,#15767,.F.); #19860=ORIENTED_EDGE('',*,*,#15768,.F.); #19861=ORIENTED_EDGE('',*,*,#15769,.T.); #19862=ORIENTED_EDGE('',*,*,#15766,.F.); #19863=ORIENTED_EDGE('',*,*,#15769,.F.); #19864=ORIENTED_EDGE('',*,*,#15770,.T.); #19865=ORIENTED_EDGE('',*,*,#15771,.T.); #19866=ORIENTED_EDGE('',*,*,#15771,.F.); #19867=ORIENTED_EDGE('',*,*,#15772,.F.); #19868=ORIENTED_EDGE('',*,*,#15773,.T.); #19869=ORIENTED_EDGE('',*,*,#15770,.F.); #19870=ORIENTED_EDGE('',*,*,#15773,.F.); #19871=ORIENTED_EDGE('',*,*,#15774,.T.); #19872=ORIENTED_EDGE('',*,*,#15775,.T.); #19873=ORIENTED_EDGE('',*,*,#15775,.F.); #19874=ORIENTED_EDGE('',*,*,#15776,.F.); #19875=ORIENTED_EDGE('',*,*,#15777,.T.); #19876=ORIENTED_EDGE('',*,*,#15774,.F.); #19877=ORIENTED_EDGE('',*,*,#15777,.F.); #19878=ORIENTED_EDGE('',*,*,#15778,.T.); #19879=ORIENTED_EDGE('',*,*,#15779,.T.); #19880=ORIENTED_EDGE('',*,*,#15779,.F.); #19881=ORIENTED_EDGE('',*,*,#15780,.F.); #19882=ORIENTED_EDGE('',*,*,#15781,.T.); #19883=ORIENTED_EDGE('',*,*,#15778,.F.); #19884=ORIENTED_EDGE('',*,*,#15781,.F.); #19885=ORIENTED_EDGE('',*,*,#15782,.T.); #19886=ORIENTED_EDGE('',*,*,#15783,.T.); #19887=ORIENTED_EDGE('',*,*,#15783,.F.); #19888=ORIENTED_EDGE('',*,*,#15784,.F.); #19889=ORIENTED_EDGE('',*,*,#15785,.T.); #19890=ORIENTED_EDGE('',*,*,#15782,.F.); #19891=ORIENTED_EDGE('',*,*,#15785,.F.); #19892=ORIENTED_EDGE('',*,*,#15786,.T.); #19893=ORIENTED_EDGE('',*,*,#15787,.T.); #19894=ORIENTED_EDGE('',*,*,#15787,.F.); #19895=ORIENTED_EDGE('',*,*,#15788,.F.); #19896=ORIENTED_EDGE('',*,*,#15789,.T.); #19897=ORIENTED_EDGE('',*,*,#15786,.F.); #19898=ORIENTED_EDGE('',*,*,#15789,.F.); #19899=ORIENTED_EDGE('',*,*,#15790,.T.); #19900=ORIENTED_EDGE('',*,*,#15791,.T.); #19901=ORIENTED_EDGE('',*,*,#15791,.F.); #19902=ORIENTED_EDGE('',*,*,#15792,.F.); #19903=ORIENTED_EDGE('',*,*,#15793,.T.); #19904=ORIENTED_EDGE('',*,*,#15790,.F.); #19905=ORIENTED_EDGE('',*,*,#15793,.F.); #19906=ORIENTED_EDGE('',*,*,#15794,.T.); #19907=ORIENTED_EDGE('',*,*,#15795,.T.); #19908=ORIENTED_EDGE('',*,*,#15795,.F.); #19909=ORIENTED_EDGE('',*,*,#15796,.F.); #19910=ORIENTED_EDGE('',*,*,#15797,.T.); #19911=ORIENTED_EDGE('',*,*,#15794,.F.); #19912=ORIENTED_EDGE('',*,*,#15797,.F.); #19913=ORIENTED_EDGE('',*,*,#15798,.T.); #19914=ORIENTED_EDGE('',*,*,#15799,.T.); #19915=ORIENTED_EDGE('',*,*,#15799,.F.); #19916=ORIENTED_EDGE('',*,*,#15800,.F.); #19917=ORIENTED_EDGE('',*,*,#15801,.T.); #19918=ORIENTED_EDGE('',*,*,#15798,.F.); #19919=ORIENTED_EDGE('',*,*,#15801,.F.); #19920=ORIENTED_EDGE('',*,*,#15802,.T.); #19921=ORIENTED_EDGE('',*,*,#15803,.T.); #19922=ORIENTED_EDGE('',*,*,#15803,.F.); #19923=ORIENTED_EDGE('',*,*,#15804,.F.); #19924=ORIENTED_EDGE('',*,*,#15805,.T.); #19925=ORIENTED_EDGE('',*,*,#15802,.F.); #19926=ORIENTED_EDGE('',*,*,#15805,.F.); #19927=ORIENTED_EDGE('',*,*,#15806,.T.); #19928=ORIENTED_EDGE('',*,*,#15807,.T.); #19929=ORIENTED_EDGE('',*,*,#15807,.F.); #19930=ORIENTED_EDGE('',*,*,#15808,.F.); #19931=ORIENTED_EDGE('',*,*,#15809,.T.); #19932=ORIENTED_EDGE('',*,*,#15806,.F.); #19933=ORIENTED_EDGE('',*,*,#15809,.F.); #19934=ORIENTED_EDGE('',*,*,#15810,.T.); #19935=ORIENTED_EDGE('',*,*,#15811,.T.); #19936=ORIENTED_EDGE('',*,*,#15811,.F.); #19937=ORIENTED_EDGE('',*,*,#15812,.F.); #19938=ORIENTED_EDGE('',*,*,#15813,.T.); #19939=ORIENTED_EDGE('',*,*,#15810,.F.); #19940=ORIENTED_EDGE('',*,*,#15813,.F.); #19941=ORIENTED_EDGE('',*,*,#15814,.T.); #19942=ORIENTED_EDGE('',*,*,#15815,.T.); #19943=ORIENTED_EDGE('',*,*,#15815,.F.); #19944=ORIENTED_EDGE('',*,*,#15816,.F.); #19945=ORIENTED_EDGE('',*,*,#15817,.T.); #19946=ORIENTED_EDGE('',*,*,#15814,.F.); #19947=ORIENTED_EDGE('',*,*,#15817,.F.); #19948=ORIENTED_EDGE('',*,*,#15818,.T.); #19949=ORIENTED_EDGE('',*,*,#15819,.T.); #19950=ORIENTED_EDGE('',*,*,#15819,.F.); #19951=ORIENTED_EDGE('',*,*,#15820,.F.); #19952=ORIENTED_EDGE('',*,*,#15821,.T.); #19953=ORIENTED_EDGE('',*,*,#15818,.F.); #19954=ORIENTED_EDGE('',*,*,#15821,.F.); #19955=ORIENTED_EDGE('',*,*,#15822,.T.); #19956=ORIENTED_EDGE('',*,*,#15823,.T.); #19957=ORIENTED_EDGE('',*,*,#15823,.F.); #19958=ORIENTED_EDGE('',*,*,#15824,.F.); #19959=ORIENTED_EDGE('',*,*,#15825,.T.); #19960=ORIENTED_EDGE('',*,*,#15822,.F.); #19961=ORIENTED_EDGE('',*,*,#15825,.F.); #19962=ORIENTED_EDGE('',*,*,#15826,.T.); #19963=ORIENTED_EDGE('',*,*,#15827,.T.); #19964=ORIENTED_EDGE('',*,*,#15827,.F.); #19965=ORIENTED_EDGE('',*,*,#15828,.F.); #19966=ORIENTED_EDGE('',*,*,#15829,.T.); #19967=ORIENTED_EDGE('',*,*,#15826,.F.); #19968=ORIENTED_EDGE('',*,*,#15829,.F.); #19969=ORIENTED_EDGE('',*,*,#15830,.T.); #19970=ORIENTED_EDGE('',*,*,#15831,.T.); #19971=ORIENTED_EDGE('',*,*,#15831,.F.); #19972=ORIENTED_EDGE('',*,*,#15832,.F.); #19973=ORIENTED_EDGE('',*,*,#15833,.T.); #19974=ORIENTED_EDGE('',*,*,#15830,.F.); #19975=ORIENTED_EDGE('',*,*,#15833,.F.); #19976=ORIENTED_EDGE('',*,*,#15834,.T.); #19977=ORIENTED_EDGE('',*,*,#15835,.T.); #19978=ORIENTED_EDGE('',*,*,#15835,.F.); #19979=ORIENTED_EDGE('',*,*,#15836,.F.); #19980=ORIENTED_EDGE('',*,*,#15837,.T.); #19981=ORIENTED_EDGE('',*,*,#15834,.F.); #19982=ORIENTED_EDGE('',*,*,#15837,.F.); #19983=ORIENTED_EDGE('',*,*,#15838,.T.); #19984=ORIENTED_EDGE('',*,*,#15839,.T.); #19985=ORIENTED_EDGE('',*,*,#15839,.F.); #19986=ORIENTED_EDGE('',*,*,#15840,.F.); #19987=ORIENTED_EDGE('',*,*,#15841,.T.); #19988=ORIENTED_EDGE('',*,*,#15838,.F.); #19989=ORIENTED_EDGE('',*,*,#15841,.F.); #19990=ORIENTED_EDGE('',*,*,#15842,.T.); #19991=ORIENTED_EDGE('',*,*,#15843,.T.); #19992=ORIENTED_EDGE('',*,*,#15843,.F.); #19993=ORIENTED_EDGE('',*,*,#15844,.F.); #19994=ORIENTED_EDGE('',*,*,#15845,.T.); #19995=ORIENTED_EDGE('',*,*,#15842,.F.); #19996=ORIENTED_EDGE('',*,*,#15845,.F.); #19997=ORIENTED_EDGE('',*,*,#15846,.T.); #19998=ORIENTED_EDGE('',*,*,#15847,.T.); #19999=ORIENTED_EDGE('',*,*,#15847,.F.); #20000=ORIENTED_EDGE('',*,*,#15848,.F.); #20001=ORIENTED_EDGE('',*,*,#15849,.T.); #20002=ORIENTED_EDGE('',*,*,#15846,.F.); #20003=ORIENTED_EDGE('',*,*,#15849,.F.); #20004=ORIENTED_EDGE('',*,*,#15850,.T.); #20005=ORIENTED_EDGE('',*,*,#15851,.T.); #20006=ORIENTED_EDGE('',*,*,#15851,.F.); #20007=ORIENTED_EDGE('',*,*,#15852,.F.); #20008=ORIENTED_EDGE('',*,*,#15853,.T.); #20009=ORIENTED_EDGE('',*,*,#15850,.F.); #20010=ORIENTED_EDGE('',*,*,#15853,.F.); #20011=ORIENTED_EDGE('',*,*,#15854,.T.); #20012=ORIENTED_EDGE('',*,*,#15855,.T.); #20013=ORIENTED_EDGE('',*,*,#15855,.F.); #20014=ORIENTED_EDGE('',*,*,#15856,.F.); #20015=ORIENTED_EDGE('',*,*,#15857,.T.); #20016=ORIENTED_EDGE('',*,*,#15854,.F.); #20017=ORIENTED_EDGE('',*,*,#15857,.F.); #20018=ORIENTED_EDGE('',*,*,#15858,.T.); #20019=ORIENTED_EDGE('',*,*,#15859,.T.); #20020=ORIENTED_EDGE('',*,*,#15859,.F.); #20021=ORIENTED_EDGE('',*,*,#15860,.F.); #20022=ORIENTED_EDGE('',*,*,#15861,.T.); #20023=ORIENTED_EDGE('',*,*,#15858,.F.); #20024=ORIENTED_EDGE('',*,*,#15861,.F.); #20025=ORIENTED_EDGE('',*,*,#15862,.T.); #20026=ORIENTED_EDGE('',*,*,#15863,.T.); #20027=ORIENTED_EDGE('',*,*,#15863,.F.); #20028=ORIENTED_EDGE('',*,*,#15864,.F.); #20029=ORIENTED_EDGE('',*,*,#15865,.T.); #20030=ORIENTED_EDGE('',*,*,#15862,.F.); #20031=ORIENTED_EDGE('',*,*,#15865,.F.); #20032=ORIENTED_EDGE('',*,*,#15866,.T.); #20033=ORIENTED_EDGE('',*,*,#15867,.T.); #20034=ORIENTED_EDGE('',*,*,#15867,.F.); #20035=ORIENTED_EDGE('',*,*,#15868,.F.); #20036=ORIENTED_EDGE('',*,*,#15869,.T.); #20037=ORIENTED_EDGE('',*,*,#15866,.F.); #20038=ORIENTED_EDGE('',*,*,#15869,.F.); #20039=ORIENTED_EDGE('',*,*,#15870,.T.); #20040=ORIENTED_EDGE('',*,*,#15871,.T.); #20041=ORIENTED_EDGE('',*,*,#15871,.F.); #20042=ORIENTED_EDGE('',*,*,#15872,.F.); #20043=ORIENTED_EDGE('',*,*,#15873,.T.); #20044=ORIENTED_EDGE('',*,*,#15870,.F.); #20045=ORIENTED_EDGE('',*,*,#15873,.F.); #20046=ORIENTED_EDGE('',*,*,#15874,.T.); #20047=ORIENTED_EDGE('',*,*,#15875,.T.); #20048=ORIENTED_EDGE('',*,*,#15875,.F.); #20049=ORIENTED_EDGE('',*,*,#15876,.F.); #20050=ORIENTED_EDGE('',*,*,#15877,.T.); #20051=ORIENTED_EDGE('',*,*,#15874,.F.); #20052=ORIENTED_EDGE('',*,*,#15877,.F.); #20053=ORIENTED_EDGE('',*,*,#15878,.T.); #20054=ORIENTED_EDGE('',*,*,#15879,.T.); #20055=ORIENTED_EDGE('',*,*,#15879,.F.); #20056=ORIENTED_EDGE('',*,*,#15880,.F.); #20057=ORIENTED_EDGE('',*,*,#15881,.T.); #20058=ORIENTED_EDGE('',*,*,#15878,.F.); #20059=ORIENTED_EDGE('',*,*,#15881,.F.); #20060=ORIENTED_EDGE('',*,*,#15882,.T.); #20061=ORIENTED_EDGE('',*,*,#15883,.T.); #20062=ORIENTED_EDGE('',*,*,#15883,.F.); #20063=ORIENTED_EDGE('',*,*,#15884,.F.); #20064=ORIENTED_EDGE('',*,*,#15885,.T.); #20065=ORIENTED_EDGE('',*,*,#15882,.F.); #20066=ORIENTED_EDGE('',*,*,#15885,.F.); #20067=ORIENTED_EDGE('',*,*,#15886,.T.); #20068=ORIENTED_EDGE('',*,*,#15887,.T.); #20069=ORIENTED_EDGE('',*,*,#15887,.F.); #20070=ORIENTED_EDGE('',*,*,#15888,.F.); #20071=ORIENTED_EDGE('',*,*,#15889,.T.); #20072=ORIENTED_EDGE('',*,*,#15886,.F.); #20073=ORIENTED_EDGE('',*,*,#15889,.F.); #20074=ORIENTED_EDGE('',*,*,#15890,.T.); #20075=ORIENTED_EDGE('',*,*,#15891,.T.); #20076=ORIENTED_EDGE('',*,*,#15891,.F.); #20077=ORIENTED_EDGE('',*,*,#15892,.F.); #20078=ORIENTED_EDGE('',*,*,#15893,.T.); #20079=ORIENTED_EDGE('',*,*,#15890,.F.); #20080=ORIENTED_EDGE('',*,*,#15893,.F.); #20081=ORIENTED_EDGE('',*,*,#15894,.T.); #20082=ORIENTED_EDGE('',*,*,#15895,.T.); #20083=ORIENTED_EDGE('',*,*,#15895,.F.); #20084=ORIENTED_EDGE('',*,*,#15896,.F.); #20085=ORIENTED_EDGE('',*,*,#15897,.T.); #20086=ORIENTED_EDGE('',*,*,#15894,.F.); #20087=ORIENTED_EDGE('',*,*,#15897,.F.); #20088=ORIENTED_EDGE('',*,*,#15898,.T.); #20089=ORIENTED_EDGE('',*,*,#15899,.T.); #20090=ORIENTED_EDGE('',*,*,#15899,.F.); #20091=ORIENTED_EDGE('',*,*,#15900,.F.); #20092=ORIENTED_EDGE('',*,*,#15901,.T.); #20093=ORIENTED_EDGE('',*,*,#15898,.F.); #20094=ORIENTED_EDGE('',*,*,#15901,.F.); #20095=ORIENTED_EDGE('',*,*,#15902,.T.); #20096=ORIENTED_EDGE('',*,*,#15903,.T.); #20097=ORIENTED_EDGE('',*,*,#15903,.F.); #20098=ORIENTED_EDGE('',*,*,#15904,.F.); #20099=ORIENTED_EDGE('',*,*,#15905,.T.); #20100=ORIENTED_EDGE('',*,*,#15902,.F.); #20101=ORIENTED_EDGE('',*,*,#15905,.F.); #20102=ORIENTED_EDGE('',*,*,#15906,.T.); #20103=ORIENTED_EDGE('',*,*,#15907,.T.); #20104=ORIENTED_EDGE('',*,*,#15907,.F.); #20105=ORIENTED_EDGE('',*,*,#15908,.F.); #20106=ORIENTED_EDGE('',*,*,#15909,.T.); #20107=ORIENTED_EDGE('',*,*,#15906,.F.); #20108=ORIENTED_EDGE('',*,*,#15909,.F.); #20109=ORIENTED_EDGE('',*,*,#15910,.T.); #20110=ORIENTED_EDGE('',*,*,#15911,.T.); #20111=ORIENTED_EDGE('',*,*,#15911,.F.); #20112=ORIENTED_EDGE('',*,*,#15912,.F.); #20113=ORIENTED_EDGE('',*,*,#15913,.T.); #20114=ORIENTED_EDGE('',*,*,#15910,.F.); #20115=ORIENTED_EDGE('',*,*,#15913,.F.); #20116=ORIENTED_EDGE('',*,*,#15914,.T.); #20117=ORIENTED_EDGE('',*,*,#15915,.T.); #20118=ORIENTED_EDGE('',*,*,#15915,.F.); #20119=ORIENTED_EDGE('',*,*,#15916,.F.); #20120=ORIENTED_EDGE('',*,*,#15917,.T.); #20121=ORIENTED_EDGE('',*,*,#15914,.F.); #20122=ORIENTED_EDGE('',*,*,#15917,.F.); #20123=ORIENTED_EDGE('',*,*,#15918,.T.); #20124=ORIENTED_EDGE('',*,*,#15919,.T.); #20125=ORIENTED_EDGE('',*,*,#15919,.F.); #20126=ORIENTED_EDGE('',*,*,#15920,.F.); #20127=ORIENTED_EDGE('',*,*,#15921,.T.); #20128=ORIENTED_EDGE('',*,*,#15918,.F.); #20129=ORIENTED_EDGE('',*,*,#15921,.F.); #20130=ORIENTED_EDGE('',*,*,#15922,.T.); #20131=ORIENTED_EDGE('',*,*,#15923,.T.); #20132=ORIENTED_EDGE('',*,*,#15923,.F.); #20133=ORIENTED_EDGE('',*,*,#15924,.F.); #20134=ORIENTED_EDGE('',*,*,#15925,.T.); #20135=ORIENTED_EDGE('',*,*,#15922,.F.); #20136=ORIENTED_EDGE('',*,*,#15925,.F.); #20137=ORIENTED_EDGE('',*,*,#15926,.F.); #20138=ORIENTED_EDGE('',*,*,#15927,.F.); #20139=ORIENTED_EDGE('',*,*,#15928,.F.); #20140=ORIENTED_EDGE('',*,*,#15929,.F.); #20141=ORIENTED_EDGE('',*,*,#15792,.T.); #20142=ORIENTED_EDGE('',*,*,#15796,.T.); #20143=ORIENTED_EDGE('',*,*,#15800,.T.); #20144=ORIENTED_EDGE('',*,*,#15804,.T.); #20145=ORIENTED_EDGE('',*,*,#15808,.T.); #20146=ORIENTED_EDGE('',*,*,#15812,.T.); #20147=ORIENTED_EDGE('',*,*,#15928,.T.); #20148=ORIENTED_EDGE('',*,*,#15930,.F.); #20149=ORIENTED_EDGE('',*,*,#15931,.T.); #20150=ORIENTED_EDGE('',*,*,#15932,.T.); #20151=ORIENTED_EDGE('',*,*,#15933,.F.); #20152=ORIENTED_EDGE('',*,*,#15598,.T.); #20153=ORIENTED_EDGE('',*,*,#15602,.T.); #20154=ORIENTED_EDGE('',*,*,#15606,.T.); #20155=ORIENTED_EDGE('',*,*,#15610,.T.); #20156=ORIENTED_EDGE('',*,*,#15614,.T.); #20157=ORIENTED_EDGE('',*,*,#15618,.T.); #20158=ORIENTED_EDGE('',*,*,#15622,.T.); #20159=ORIENTED_EDGE('',*,*,#15626,.T.); #20160=ORIENTED_EDGE('',*,*,#15630,.T.); #20161=ORIENTED_EDGE('',*,*,#15634,.T.); #20162=ORIENTED_EDGE('',*,*,#15638,.T.); #20163=ORIENTED_EDGE('',*,*,#15645,.T.); #20164=ORIENTED_EDGE('',*,*,#15816,.T.); #20165=ORIENTED_EDGE('',*,*,#15820,.T.); #20166=ORIENTED_EDGE('',*,*,#15824,.T.); #20167=ORIENTED_EDGE('',*,*,#15828,.T.); #20168=ORIENTED_EDGE('',*,*,#15832,.T.); #20169=ORIENTED_EDGE('',*,*,#15836,.T.); #20170=ORIENTED_EDGE('',*,*,#15840,.T.); #20171=ORIENTED_EDGE('',*,*,#15844,.T.); #20172=ORIENTED_EDGE('',*,*,#15848,.T.); #20173=ORIENTED_EDGE('',*,*,#15852,.T.); #20174=ORIENTED_EDGE('',*,*,#15856,.T.); #20175=ORIENTED_EDGE('',*,*,#15860,.T.); #20176=ORIENTED_EDGE('',*,*,#15864,.T.); #20177=ORIENTED_EDGE('',*,*,#15868,.T.); #20178=ORIENTED_EDGE('',*,*,#15872,.T.); #20179=ORIENTED_EDGE('',*,*,#15876,.T.); #20180=ORIENTED_EDGE('',*,*,#15880,.T.); #20181=ORIENTED_EDGE('',*,*,#15884,.T.); #20182=ORIENTED_EDGE('',*,*,#15888,.T.); #20183=ORIENTED_EDGE('',*,*,#15892,.T.); #20184=ORIENTED_EDGE('',*,*,#15896,.T.); #20185=ORIENTED_EDGE('',*,*,#15900,.T.); #20186=ORIENTED_EDGE('',*,*,#15904,.T.); #20187=ORIENTED_EDGE('',*,*,#15908,.T.); #20188=ORIENTED_EDGE('',*,*,#15912,.T.); #20189=ORIENTED_EDGE('',*,*,#15916,.T.); #20190=ORIENTED_EDGE('',*,*,#15920,.T.); #20191=ORIENTED_EDGE('',*,*,#15924,.T.); #20192=ORIENTED_EDGE('',*,*,#15934,.F.); #20193=ORIENTED_EDGE('',*,*,#15935,.F.); #20194=ORIENTED_EDGE('',*,*,#15936,.F.); #20195=ORIENTED_EDGE('',*,*,#15931,.F.); #20196=ORIENTED_EDGE('',*,*,#15723,.T.); #20197=ORIENTED_EDGE('',*,*,#15727,.T.); #20198=ORIENTED_EDGE('',*,*,#15731,.T.); #20199=ORIENTED_EDGE('',*,*,#15735,.T.); #20200=ORIENTED_EDGE('',*,*,#15647,.T.); #20201=ORIENTED_EDGE('',*,*,#15740,.T.); #20202=ORIENTED_EDGE('',*,*,#15744,.T.); #20203=ORIENTED_EDGE('',*,*,#15748,.T.); #20204=ORIENTED_EDGE('',*,*,#15752,.T.); #20205=ORIENTED_EDGE('',*,*,#15936,.T.); #20206=ORIENTED_EDGE('',*,*,#15937,.F.); #20207=ORIENTED_EDGE('',*,*,#15938,.F.); #20208=ORIENTED_EDGE('',*,*,#15932,.F.); #20209=ORIENTED_EDGE('',*,*,#15935,.T.); #20210=ORIENTED_EDGE('',*,*,#15939,.T.); #20211=ORIENTED_EDGE('',*,*,#15940,.F.); #20212=ORIENTED_EDGE('',*,*,#15941,.T.); #20213=ORIENTED_EDGE('',*,*,#15937,.T.); #20214=ORIENTED_EDGE('',*,*,#15926,.T.); #20215=ORIENTED_EDGE('',*,*,#15942,.F.); #20216=ORIENTED_EDGE('',*,*,#15943,.F.); #20217=ORIENTED_EDGE('',*,*,#15944,.F.); #20218=ORIENTED_EDGE('',*,*,#15756,.T.); #20219=ORIENTED_EDGE('',*,*,#15760,.T.); #20220=ORIENTED_EDGE('',*,*,#15764,.T.); #20221=ORIENTED_EDGE('',*,*,#15768,.T.); #20222=ORIENTED_EDGE('',*,*,#15772,.T.); #20223=ORIENTED_EDGE('',*,*,#15776,.T.); #20224=ORIENTED_EDGE('',*,*,#15780,.T.); #20225=ORIENTED_EDGE('',*,*,#15784,.T.); #20226=ORIENTED_EDGE('',*,*,#15788,.T.); #20227=ORIENTED_EDGE('',*,*,#15943,.T.); #20228=ORIENTED_EDGE('',*,*,#15945,.F.); #20229=ORIENTED_EDGE('',*,*,#15946,.T.); #20230=ORIENTED_EDGE('',*,*,#15947,.F.); #20231=ORIENTED_EDGE('',*,*,#15653,.T.); #20232=ORIENTED_EDGE('',*,*,#15657,.T.); #20233=ORIENTED_EDGE('',*,*,#15661,.T.); #20234=ORIENTED_EDGE('',*,*,#15665,.T.); #20235=ORIENTED_EDGE('',*,*,#15948,.F.); #20236=ORIENTED_EDGE('',*,*,#15946,.F.); #20237=ORIENTED_EDGE('',*,*,#15949,.F.); #20238=ORIENTED_EDGE('',*,*,#15950,.F.); #20239=ORIENTED_EDGE('',*,*,#15934,.T.); #20240=ORIENTED_EDGE('',*,*,#15930,.T.); #20241=ORIENTED_EDGE('',*,*,#15927,.T.); #20242=ORIENTED_EDGE('',*,*,#15944,.T.); #20243=ORIENTED_EDGE('',*,*,#15947,.T.); #20244=ORIENTED_EDGE('',*,*,#15948,.T.); #20245=ORIENTED_EDGE('',*,*,#15951,.F.); #20246=ORIENTED_EDGE('',*,*,#15939,.F.); #20247=ORIENTED_EDGE('',*,*,#15929,.T.); #20248=ORIENTED_EDGE('',*,*,#15933,.T.); #20249=ORIENTED_EDGE('',*,*,#15938,.T.); #20250=ORIENTED_EDGE('',*,*,#15941,.F.); #20251=ORIENTED_EDGE('',*,*,#15952,.F.); #20252=ORIENTED_EDGE('',*,*,#15949,.T.); #20253=ORIENTED_EDGE('',*,*,#15945,.T.); #20254=ORIENTED_EDGE('',*,*,#15942,.T.); #20255=ORIENTED_EDGE('',*,*,#15953,.T.); #20256=ORIENTED_EDGE('',*,*,#15707,.F.); #20257=ORIENTED_EDGE('',*,*,#15710,.T.); #20258=ORIENTED_EDGE('',*,*,#15712,.F.); #20259=ORIENTED_EDGE('',*,*,#15714,.T.); #20260=ORIENTED_EDGE('',*,*,#15716,.F.); #20261=ORIENTED_EDGE('',*,*,#15718,.T.); #20262=ORIENTED_EDGE('',*,*,#15720,.T.); #20263=ORIENTED_EDGE('',*,*,#15954,.F.); #20264=ORIENTED_EDGE('',*,*,#15955,.T.); #20265=ORIENTED_EDGE('',*,*,#15956,.F.); #20266=ORIENTED_EDGE('',*,*,#15957,.T.); #20267=ORIENTED_EDGE('',*,*,#15958,.F.); #20268=ORIENTED_EDGE('',*,*,#15950,.T.); #20269=ORIENTED_EDGE('',*,*,#15952,.T.); #20270=ORIENTED_EDGE('',*,*,#15940,.T.); #20271=ORIENTED_EDGE('',*,*,#15951,.T.); #20272=ORIENTED_EDGE('',*,*,#15684,.F.); #20273=ORIENTED_EDGE('',*,*,#15959,.T.); #20274=ORIENTED_EDGE('',*,*,#15958,.T.); #20275=ORIENTED_EDGE('',*,*,#15960,.T.); #20276=ORIENTED_EDGE('',*,*,#15681,.F.); #20277=ORIENTED_EDGE('',*,*,#15960,.F.); #20278=ORIENTED_EDGE('',*,*,#15957,.F.); #20279=ORIENTED_EDGE('',*,*,#15961,.F.); #20280=ORIENTED_EDGE('',*,*,#15678,.F.); #20281=ORIENTED_EDGE('',*,*,#15961,.T.); #20282=ORIENTED_EDGE('',*,*,#15956,.T.); #20283=ORIENTED_EDGE('',*,*,#15962,.T.); #20284=ORIENTED_EDGE('',*,*,#15675,.F.); #20285=ORIENTED_EDGE('',*,*,#15962,.F.); #20286=ORIENTED_EDGE('',*,*,#15955,.F.); #20287=ORIENTED_EDGE('',*,*,#15963,.F.); #20288=ORIENTED_EDGE('',*,*,#15687,.F.); #20289=ORIENTED_EDGE('',*,*,#15708,.F.); #20290=ORIENTED_EDGE('',*,*,#15953,.F.); #20291=ORIENTED_EDGE('',*,*,#15959,.F.); #20292=ORIENTED_EDGE('',*,*,#15672,.F.); #20293=ORIENTED_EDGE('',*,*,#15963,.T.); #20294=ORIENTED_EDGE('',*,*,#15954,.T.); #20295=ORIENTED_EDGE('',*,*,#15719,.T.); #20296=ORIENTED_EDGE('',*,*,#15964,.T.); #20297=ORIENTED_EDGE('',*,*,#15965,.T.); #20298=ORIENTED_EDGE('',*,*,#15966,.F.); #20299=ORIENTED_EDGE('',*,*,#15967,.T.); #20300=ORIENTED_EDGE('',*,*,#15966,.T.); #20301=ORIENTED_EDGE('',*,*,#15968,.F.); #20302=ORIENTED_EDGE('',*,*,#15969,.T.); #20303=ORIENTED_EDGE('',*,*,#15970,.T.); #20304=ORIENTED_EDGE('',*,*,#15971,.F.); #20305=ORIENTED_EDGE('',*,*,#15972,.T.); #20306=ORIENTED_EDGE('',*,*,#15973,.T.); #20307=ORIENTED_EDGE('',*,*,#15974,.T.); #20308=ORIENTED_EDGE('',*,*,#15975,.T.); #20309=ORIENTED_EDGE('',*,*,#15976,.T.); #20310=ORIENTED_EDGE('',*,*,#15977,.T.); #20311=ORIENTED_EDGE('',*,*,#15978,.T.); #20312=ORIENTED_EDGE('',*,*,#15979,.T.); #20313=ORIENTED_EDGE('',*,*,#15980,.T.); #20314=ORIENTED_EDGE('',*,*,#15981,.T.); #20315=ORIENTED_EDGE('',*,*,#15981,.F.); #20316=ORIENTED_EDGE('',*,*,#15982,.F.); #20317=ORIENTED_EDGE('',*,*,#15983,.T.); #20318=ORIENTED_EDGE('',*,*,#15980,.F.); #20319=ORIENTED_EDGE('',*,*,#15983,.F.); #20320=ORIENTED_EDGE('',*,*,#15984,.T.); #20321=ORIENTED_EDGE('',*,*,#15985,.T.); #20322=ORIENTED_EDGE('',*,*,#15985,.F.); #20323=ORIENTED_EDGE('',*,*,#15986,.F.); #20324=ORIENTED_EDGE('',*,*,#15987,.T.); #20325=ORIENTED_EDGE('',*,*,#15984,.F.); #20326=ORIENTED_EDGE('',*,*,#15987,.F.); #20327=ORIENTED_EDGE('',*,*,#15988,.T.); #20328=ORIENTED_EDGE('',*,*,#15989,.T.); #20329=ORIENTED_EDGE('',*,*,#15989,.F.); #20330=ORIENTED_EDGE('',*,*,#15990,.F.); #20331=ORIENTED_EDGE('',*,*,#15991,.T.); #20332=ORIENTED_EDGE('',*,*,#15988,.F.); #20333=ORIENTED_EDGE('',*,*,#15991,.F.); #20334=ORIENTED_EDGE('',*,*,#15992,.T.); #20335=ORIENTED_EDGE('',*,*,#15993,.T.); #20336=ORIENTED_EDGE('',*,*,#15993,.F.); #20337=ORIENTED_EDGE('',*,*,#15994,.F.); #20338=ORIENTED_EDGE('',*,*,#15995,.T.); #20339=ORIENTED_EDGE('',*,*,#15992,.F.); #20340=ORIENTED_EDGE('',*,*,#15995,.F.); #20341=ORIENTED_EDGE('',*,*,#15996,.T.); #20342=ORIENTED_EDGE('',*,*,#15997,.T.); #20343=ORIENTED_EDGE('',*,*,#15997,.F.); #20344=ORIENTED_EDGE('',*,*,#15998,.F.); #20345=ORIENTED_EDGE('',*,*,#15999,.T.); #20346=ORIENTED_EDGE('',*,*,#15996,.F.); #20347=ORIENTED_EDGE('',*,*,#15999,.F.); #20348=ORIENTED_EDGE('',*,*,#16000,.T.); #20349=ORIENTED_EDGE('',*,*,#16001,.T.); #20350=ORIENTED_EDGE('',*,*,#16001,.F.); #20351=ORIENTED_EDGE('',*,*,#16002,.F.); #20352=ORIENTED_EDGE('',*,*,#16003,.T.); #20353=ORIENTED_EDGE('',*,*,#16000,.F.); #20354=ORIENTED_EDGE('',*,*,#16003,.F.); #20355=ORIENTED_EDGE('',*,*,#16004,.T.); #20356=ORIENTED_EDGE('',*,*,#16005,.T.); #20357=ORIENTED_EDGE('',*,*,#16005,.F.); #20358=ORIENTED_EDGE('',*,*,#16006,.F.); #20359=ORIENTED_EDGE('',*,*,#16007,.T.); #20360=ORIENTED_EDGE('',*,*,#16004,.F.); #20361=ORIENTED_EDGE('',*,*,#16007,.F.); #20362=ORIENTED_EDGE('',*,*,#16008,.T.); #20363=ORIENTED_EDGE('',*,*,#16009,.T.); #20364=ORIENTED_EDGE('',*,*,#16009,.F.); #20365=ORIENTED_EDGE('',*,*,#16010,.F.); #20366=ORIENTED_EDGE('',*,*,#16011,.T.); #20367=ORIENTED_EDGE('',*,*,#16008,.F.); #20368=ORIENTED_EDGE('',*,*,#16011,.F.); #20369=ORIENTED_EDGE('',*,*,#16012,.F.); #20370=ORIENTED_EDGE('',*,*,#16013,.T.); #20371=ORIENTED_EDGE('',*,*,#16014,.F.); #20372=ORIENTED_EDGE('',*,*,#16013,.F.); #20373=ORIENTED_EDGE('',*,*,#16015,.T.); #20374=ORIENTED_EDGE('',*,*,#16016,.F.); #20375=ORIENTED_EDGE('',*,*,#16017,.F.); #20376=ORIENTED_EDGE('',*,*,#16014,.T.); #20377=ORIENTED_EDGE('',*,*,#16018,.T.); #20378=ORIENTED_EDGE('',*,*,#16018,.F.); #20379=ORIENTED_EDGE('',*,*,#16015,.F.); #20380=ORIENTED_EDGE('',*,*,#16019,.T.); #20381=ORIENTED_EDGE('',*,*,#16020,.T.); #20382=ORIENTED_EDGE('',*,*,#16021,.T.); #20383=ORIENTED_EDGE('',*,*,#16021,.F.); #20384=ORIENTED_EDGE('',*,*,#16022,.F.); #20385=ORIENTED_EDGE('',*,*,#16023,.T.); #20386=ORIENTED_EDGE('',*,*,#16020,.F.); #20387=ORIENTED_EDGE('',*,*,#16023,.F.); #20388=ORIENTED_EDGE('',*,*,#16024,.T.); #20389=ORIENTED_EDGE('',*,*,#16025,.T.); #20390=ORIENTED_EDGE('',*,*,#16025,.F.); #20391=ORIENTED_EDGE('',*,*,#16026,.F.); #20392=ORIENTED_EDGE('',*,*,#16027,.T.); #20393=ORIENTED_EDGE('',*,*,#16024,.F.); #20394=ORIENTED_EDGE('',*,*,#16027,.F.); #20395=ORIENTED_EDGE('',*,*,#16028,.T.); #20396=ORIENTED_EDGE('',*,*,#16029,.T.); #20397=ORIENTED_EDGE('',*,*,#16029,.F.); #20398=ORIENTED_EDGE('',*,*,#16030,.F.); #20399=ORIENTED_EDGE('',*,*,#16031,.T.); #20400=ORIENTED_EDGE('',*,*,#16028,.F.); #20401=ORIENTED_EDGE('',*,*,#16031,.F.); #20402=ORIENTED_EDGE('',*,*,#16032,.T.); #20403=ORIENTED_EDGE('',*,*,#16033,.T.); #20404=ORIENTED_EDGE('',*,*,#16033,.F.); #20405=ORIENTED_EDGE('',*,*,#16034,.F.); #20406=ORIENTED_EDGE('',*,*,#16035,.T.); #20407=ORIENTED_EDGE('',*,*,#16032,.F.); #20408=ORIENTED_EDGE('',*,*,#16035,.F.); #20409=ORIENTED_EDGE('',*,*,#16036,.T.); #20410=ORIENTED_EDGE('',*,*,#16037,.T.); #20411=ORIENTED_EDGE('',*,*,#16038,.T.); #20412=ORIENTED_EDGE('',*,*,#16039,.T.); #20413=ORIENTED_EDGE('',*,*,#16039,.F.); #20414=ORIENTED_EDGE('',*,*,#16040,.T.); #20415=ORIENTED_EDGE('',*,*,#16041,.F.); #20416=ORIENTED_EDGE('',*,*,#16042,.T.); #20417=ORIENTED_EDGE('',*,*,#16038,.F.); #20418=ORIENTED_EDGE('',*,*,#16043,.T.); #20419=ORIENTED_EDGE('',*,*,#16040,.F.); #20420=ORIENTED_EDGE('',*,*,#16042,.F.); #20421=ORIENTED_EDGE('',*,*,#16044,.T.); #20422=ORIENTED_EDGE('',*,*,#16045,.T.); #20423=ORIENTED_EDGE('',*,*,#16045,.F.); #20424=ORIENTED_EDGE('',*,*,#16046,.F.); #20425=ORIENTED_EDGE('',*,*,#16047,.T.); #20426=ORIENTED_EDGE('',*,*,#16044,.F.); #20427=ORIENTED_EDGE('',*,*,#16047,.F.); #20428=ORIENTED_EDGE('',*,*,#16048,.T.); #20429=ORIENTED_EDGE('',*,*,#16049,.T.); #20430=ORIENTED_EDGE('',*,*,#16049,.F.); #20431=ORIENTED_EDGE('',*,*,#16050,.F.); #20432=ORIENTED_EDGE('',*,*,#16051,.T.); #20433=ORIENTED_EDGE('',*,*,#16048,.F.); #20434=ORIENTED_EDGE('',*,*,#16051,.F.); #20435=ORIENTED_EDGE('',*,*,#16052,.T.); #20436=ORIENTED_EDGE('',*,*,#16053,.T.); #20437=ORIENTED_EDGE('',*,*,#16053,.F.); #20438=ORIENTED_EDGE('',*,*,#16054,.F.); #20439=ORIENTED_EDGE('',*,*,#16055,.T.); #20440=ORIENTED_EDGE('',*,*,#16052,.F.); #20441=ORIENTED_EDGE('',*,*,#16055,.F.); #20442=ORIENTED_EDGE('',*,*,#16056,.T.); #20443=ORIENTED_EDGE('',*,*,#16057,.T.); #20444=ORIENTED_EDGE('',*,*,#16057,.F.); #20445=ORIENTED_EDGE('',*,*,#16058,.F.); #20446=ORIENTED_EDGE('',*,*,#16059,.T.); #20447=ORIENTED_EDGE('',*,*,#16056,.F.); #20448=ORIENTED_EDGE('',*,*,#16059,.F.); #20449=ORIENTED_EDGE('',*,*,#16060,.T.); #20450=ORIENTED_EDGE('',*,*,#16061,.T.); #20451=ORIENTED_EDGE('',*,*,#16036,.F.); #20452=ORIENTED_EDGE('',*,*,#16043,.F.); #20453=ORIENTED_EDGE('',*,*,#16037,.F.); #20454=ORIENTED_EDGE('',*,*,#16061,.F.); #20455=ORIENTED_EDGE('',*,*,#16062,.T.); #20456=ORIENTED_EDGE('',*,*,#16063,.T.); #20457=ORIENTED_EDGE('',*,*,#16063,.F.); #20458=ORIENTED_EDGE('',*,*,#16064,.F.); #20459=ORIENTED_EDGE('',*,*,#16065,.T.); #20460=ORIENTED_EDGE('',*,*,#16062,.F.); #20461=ORIENTED_EDGE('',*,*,#16065,.F.); #20462=ORIENTED_EDGE('',*,*,#16066,.T.); #20463=ORIENTED_EDGE('',*,*,#16067,.T.); #20464=ORIENTED_EDGE('',*,*,#16067,.F.); #20465=ORIENTED_EDGE('',*,*,#16068,.F.); #20466=ORIENTED_EDGE('',*,*,#16069,.T.); #20467=ORIENTED_EDGE('',*,*,#16066,.F.); #20468=ORIENTED_EDGE('',*,*,#16069,.F.); #20469=ORIENTED_EDGE('',*,*,#16070,.T.); #20470=ORIENTED_EDGE('',*,*,#16071,.T.); #20471=ORIENTED_EDGE('',*,*,#16071,.F.); #20472=ORIENTED_EDGE('',*,*,#16072,.F.); #20473=ORIENTED_EDGE('',*,*,#16073,.T.); #20474=ORIENTED_EDGE('',*,*,#16070,.F.); #20475=ORIENTED_EDGE('',*,*,#16073,.F.); #20476=ORIENTED_EDGE('',*,*,#16074,.T.); #20477=ORIENTED_EDGE('',*,*,#16075,.T.); #20478=ORIENTED_EDGE('',*,*,#16075,.F.); #20479=ORIENTED_EDGE('',*,*,#16076,.F.); #20480=ORIENTED_EDGE('',*,*,#16077,.T.); #20481=ORIENTED_EDGE('',*,*,#16074,.F.); #20482=ORIENTED_EDGE('',*,*,#16077,.F.); #20483=ORIENTED_EDGE('',*,*,#16078,.T.); #20484=ORIENTED_EDGE('',*,*,#16079,.T.); #20485=ORIENTED_EDGE('',*,*,#16079,.F.); #20486=ORIENTED_EDGE('',*,*,#16080,.F.); #20487=ORIENTED_EDGE('',*,*,#16081,.T.); #20488=ORIENTED_EDGE('',*,*,#16078,.F.); #20489=ORIENTED_EDGE('',*,*,#16081,.F.); #20490=ORIENTED_EDGE('',*,*,#16082,.T.); #20491=ORIENTED_EDGE('',*,*,#16083,.T.); #20492=ORIENTED_EDGE('',*,*,#16083,.F.); #20493=ORIENTED_EDGE('',*,*,#16084,.F.); #20494=ORIENTED_EDGE('',*,*,#16085,.T.); #20495=ORIENTED_EDGE('',*,*,#16082,.F.); #20496=ORIENTED_EDGE('',*,*,#16085,.F.); #20497=ORIENTED_EDGE('',*,*,#16086,.T.); #20498=ORIENTED_EDGE('',*,*,#16087,.T.); #20499=ORIENTED_EDGE('',*,*,#16087,.F.); #20500=ORIENTED_EDGE('',*,*,#16088,.F.); #20501=ORIENTED_EDGE('',*,*,#16089,.T.); #20502=ORIENTED_EDGE('',*,*,#16086,.F.); #20503=ORIENTED_EDGE('',*,*,#16089,.F.); #20504=ORIENTED_EDGE('',*,*,#16090,.T.); #20505=ORIENTED_EDGE('',*,*,#16091,.T.); #20506=ORIENTED_EDGE('',*,*,#16091,.F.); #20507=ORIENTED_EDGE('',*,*,#16092,.F.); #20508=ORIENTED_EDGE('',*,*,#16093,.T.); #20509=ORIENTED_EDGE('',*,*,#16090,.F.); #20510=ORIENTED_EDGE('',*,*,#16093,.F.); #20511=ORIENTED_EDGE('',*,*,#16094,.T.); #20512=ORIENTED_EDGE('',*,*,#16095,.T.); #20513=ORIENTED_EDGE('',*,*,#16095,.F.); #20514=ORIENTED_EDGE('',*,*,#16096,.F.); #20515=ORIENTED_EDGE('',*,*,#16097,.T.); #20516=ORIENTED_EDGE('',*,*,#16094,.F.); #20517=ORIENTED_EDGE('',*,*,#16097,.F.); #20518=ORIENTED_EDGE('',*,*,#16098,.T.); #20519=ORIENTED_EDGE('',*,*,#16099,.T.); #20520=ORIENTED_EDGE('',*,*,#16099,.F.); #20521=ORIENTED_EDGE('',*,*,#16100,.F.); #20522=ORIENTED_EDGE('',*,*,#16101,.T.); #20523=ORIENTED_EDGE('',*,*,#16098,.F.); #20524=ORIENTED_EDGE('',*,*,#16101,.F.); #20525=ORIENTED_EDGE('',*,*,#16102,.T.); #20526=ORIENTED_EDGE('',*,*,#16103,.T.); #20527=ORIENTED_EDGE('',*,*,#16103,.F.); #20528=ORIENTED_EDGE('',*,*,#16104,.F.); #20529=ORIENTED_EDGE('',*,*,#16105,.T.); #20530=ORIENTED_EDGE('',*,*,#16102,.F.); #20531=ORIENTED_EDGE('',*,*,#16105,.F.); #20532=ORIENTED_EDGE('',*,*,#16106,.F.); #20533=ORIENTED_EDGE('',*,*,#16107,.T.); #20534=ORIENTED_EDGE('',*,*,#16060,.F.); #20535=ORIENTED_EDGE('',*,*,#16107,.F.); #20536=ORIENTED_EDGE('',*,*,#16108,.T.); #20537=ORIENTED_EDGE('',*,*,#16109,.T.); #20538=ORIENTED_EDGE('',*,*,#16109,.F.); #20539=ORIENTED_EDGE('',*,*,#16110,.F.); #20540=ORIENTED_EDGE('',*,*,#16111,.T.); #20541=ORIENTED_EDGE('',*,*,#16108,.F.); #20542=ORIENTED_EDGE('',*,*,#16111,.F.); #20543=ORIENTED_EDGE('',*,*,#16112,.T.); #20544=ORIENTED_EDGE('',*,*,#16113,.T.); #20545=ORIENTED_EDGE('',*,*,#16113,.F.); #20546=ORIENTED_EDGE('',*,*,#16114,.F.); #20547=ORIENTED_EDGE('',*,*,#16115,.T.); #20548=ORIENTED_EDGE('',*,*,#16112,.F.); #20549=ORIENTED_EDGE('',*,*,#16115,.F.); #20550=ORIENTED_EDGE('',*,*,#16116,.T.); #20551=ORIENTED_EDGE('',*,*,#16117,.T.); #20552=ORIENTED_EDGE('',*,*,#16117,.F.); #20553=ORIENTED_EDGE('',*,*,#16118,.F.); #20554=ORIENTED_EDGE('',*,*,#16119,.T.); #20555=ORIENTED_EDGE('',*,*,#16116,.F.); #20556=ORIENTED_EDGE('',*,*,#16119,.F.); #20557=ORIENTED_EDGE('',*,*,#16120,.T.); #20558=ORIENTED_EDGE('',*,*,#16121,.T.); #20559=ORIENTED_EDGE('',*,*,#16121,.F.); #20560=ORIENTED_EDGE('',*,*,#16122,.F.); #20561=ORIENTED_EDGE('',*,*,#16123,.T.); #20562=ORIENTED_EDGE('',*,*,#16120,.F.); #20563=ORIENTED_EDGE('',*,*,#16123,.F.); #20564=ORIENTED_EDGE('',*,*,#16124,.T.); #20565=ORIENTED_EDGE('',*,*,#16125,.T.); #20566=ORIENTED_EDGE('',*,*,#16125,.F.); #20567=ORIENTED_EDGE('',*,*,#16126,.F.); #20568=ORIENTED_EDGE('',*,*,#16127,.T.); #20569=ORIENTED_EDGE('',*,*,#16124,.F.); #20570=ORIENTED_EDGE('',*,*,#16127,.F.); #20571=ORIENTED_EDGE('',*,*,#16128,.T.); #20572=ORIENTED_EDGE('',*,*,#16129,.T.); #20573=ORIENTED_EDGE('',*,*,#16129,.F.); #20574=ORIENTED_EDGE('',*,*,#16130,.F.); #20575=ORIENTED_EDGE('',*,*,#16131,.T.); #20576=ORIENTED_EDGE('',*,*,#16128,.F.); #20577=ORIENTED_EDGE('',*,*,#16131,.F.); #20578=ORIENTED_EDGE('',*,*,#16132,.T.); #20579=ORIENTED_EDGE('',*,*,#16133,.T.); #20580=ORIENTED_EDGE('',*,*,#16133,.F.); #20581=ORIENTED_EDGE('',*,*,#16134,.F.); #20582=ORIENTED_EDGE('',*,*,#16135,.T.); #20583=ORIENTED_EDGE('',*,*,#16132,.F.); #20584=ORIENTED_EDGE('',*,*,#16135,.F.); #20585=ORIENTED_EDGE('',*,*,#16136,.T.); #20586=ORIENTED_EDGE('',*,*,#16137,.T.); #20587=ORIENTED_EDGE('',*,*,#16137,.F.); #20588=ORIENTED_EDGE('',*,*,#16138,.F.); #20589=ORIENTED_EDGE('',*,*,#16139,.T.); #20590=ORIENTED_EDGE('',*,*,#16136,.F.); #20591=ORIENTED_EDGE('',*,*,#16139,.F.); #20592=ORIENTED_EDGE('',*,*,#16140,.T.); #20593=ORIENTED_EDGE('',*,*,#16141,.T.); #20594=ORIENTED_EDGE('',*,*,#16141,.F.); #20595=ORIENTED_EDGE('',*,*,#16142,.F.); #20596=ORIENTED_EDGE('',*,*,#16143,.T.); #20597=ORIENTED_EDGE('',*,*,#16140,.F.); #20598=ORIENTED_EDGE('',*,*,#16143,.F.); #20599=ORIENTED_EDGE('',*,*,#16144,.T.); #20600=ORIENTED_EDGE('',*,*,#16145,.T.); #20601=ORIENTED_EDGE('',*,*,#16145,.F.); #20602=ORIENTED_EDGE('',*,*,#16146,.F.); #20603=ORIENTED_EDGE('',*,*,#16147,.T.); #20604=ORIENTED_EDGE('',*,*,#16144,.F.); #20605=ORIENTED_EDGE('',*,*,#16147,.F.); #20606=ORIENTED_EDGE('',*,*,#16148,.T.); #20607=ORIENTED_EDGE('',*,*,#16149,.T.); #20608=ORIENTED_EDGE('',*,*,#16149,.F.); #20609=ORIENTED_EDGE('',*,*,#16150,.F.); #20610=ORIENTED_EDGE('',*,*,#16151,.T.); #20611=ORIENTED_EDGE('',*,*,#16148,.F.); #20612=ORIENTED_EDGE('',*,*,#16151,.F.); #20613=ORIENTED_EDGE('',*,*,#16152,.T.); #20614=ORIENTED_EDGE('',*,*,#16153,.T.); #20615=ORIENTED_EDGE('',*,*,#16153,.F.); #20616=ORIENTED_EDGE('',*,*,#16154,.F.); #20617=ORIENTED_EDGE('',*,*,#16155,.T.); #20618=ORIENTED_EDGE('',*,*,#16152,.F.); #20619=ORIENTED_EDGE('',*,*,#16155,.F.); #20620=ORIENTED_EDGE('',*,*,#16156,.T.); #20621=ORIENTED_EDGE('',*,*,#16157,.T.); #20622=ORIENTED_EDGE('',*,*,#16157,.F.); #20623=ORIENTED_EDGE('',*,*,#16158,.F.); #20624=ORIENTED_EDGE('',*,*,#16159,.T.); #20625=ORIENTED_EDGE('',*,*,#16156,.F.); #20626=ORIENTED_EDGE('',*,*,#16159,.F.); #20627=ORIENTED_EDGE('',*,*,#16160,.T.); #20628=ORIENTED_EDGE('',*,*,#16161,.T.); #20629=ORIENTED_EDGE('',*,*,#16161,.F.); #20630=ORIENTED_EDGE('',*,*,#16162,.F.); #20631=ORIENTED_EDGE('',*,*,#16163,.T.); #20632=ORIENTED_EDGE('',*,*,#16160,.F.); #20633=ORIENTED_EDGE('',*,*,#16163,.F.); #20634=ORIENTED_EDGE('',*,*,#16164,.T.); #20635=ORIENTED_EDGE('',*,*,#16165,.T.); #20636=ORIENTED_EDGE('',*,*,#16165,.F.); #20637=ORIENTED_EDGE('',*,*,#16166,.F.); #20638=ORIENTED_EDGE('',*,*,#16167,.T.); #20639=ORIENTED_EDGE('',*,*,#16164,.F.); #20640=ORIENTED_EDGE('',*,*,#16167,.F.); #20641=ORIENTED_EDGE('',*,*,#16168,.T.); #20642=ORIENTED_EDGE('',*,*,#16169,.T.); #20643=ORIENTED_EDGE('',*,*,#16169,.F.); #20644=ORIENTED_EDGE('',*,*,#16170,.F.); #20645=ORIENTED_EDGE('',*,*,#16171,.T.); #20646=ORIENTED_EDGE('',*,*,#16168,.F.); #20647=ORIENTED_EDGE('',*,*,#16171,.F.); #20648=ORIENTED_EDGE('',*,*,#16172,.T.); #20649=ORIENTED_EDGE('',*,*,#16173,.T.); #20650=ORIENTED_EDGE('',*,*,#16173,.F.); #20651=ORIENTED_EDGE('',*,*,#16174,.F.); #20652=ORIENTED_EDGE('',*,*,#16175,.T.); #20653=ORIENTED_EDGE('',*,*,#16172,.F.); #20654=ORIENTED_EDGE('',*,*,#16175,.F.); #20655=ORIENTED_EDGE('',*,*,#16176,.T.); #20656=ORIENTED_EDGE('',*,*,#16177,.T.); #20657=ORIENTED_EDGE('',*,*,#16177,.F.); #20658=ORIENTED_EDGE('',*,*,#16178,.F.); #20659=ORIENTED_EDGE('',*,*,#16179,.T.); #20660=ORIENTED_EDGE('',*,*,#16176,.F.); #20661=ORIENTED_EDGE('',*,*,#16179,.F.); #20662=ORIENTED_EDGE('',*,*,#16180,.T.); #20663=ORIENTED_EDGE('',*,*,#16181,.T.); #20664=ORIENTED_EDGE('',*,*,#16181,.F.); #20665=ORIENTED_EDGE('',*,*,#16182,.F.); #20666=ORIENTED_EDGE('',*,*,#16183,.T.); #20667=ORIENTED_EDGE('',*,*,#16180,.F.); #20668=ORIENTED_EDGE('',*,*,#16183,.F.); #20669=ORIENTED_EDGE('',*,*,#16184,.T.); #20670=ORIENTED_EDGE('',*,*,#16185,.T.); #20671=ORIENTED_EDGE('',*,*,#16185,.F.); #20672=ORIENTED_EDGE('',*,*,#16186,.F.); #20673=ORIENTED_EDGE('',*,*,#16187,.T.); #20674=ORIENTED_EDGE('',*,*,#16184,.F.); #20675=ORIENTED_EDGE('',*,*,#16187,.F.); #20676=ORIENTED_EDGE('',*,*,#16188,.T.); #20677=ORIENTED_EDGE('',*,*,#16189,.T.); #20678=ORIENTED_EDGE('',*,*,#16189,.F.); #20679=ORIENTED_EDGE('',*,*,#16190,.F.); #20680=ORIENTED_EDGE('',*,*,#16191,.T.); #20681=ORIENTED_EDGE('',*,*,#16188,.F.); #20682=ORIENTED_EDGE('',*,*,#16191,.F.); #20683=ORIENTED_EDGE('',*,*,#16192,.T.); #20684=ORIENTED_EDGE('',*,*,#16193,.T.); #20685=ORIENTED_EDGE('',*,*,#16193,.F.); #20686=ORIENTED_EDGE('',*,*,#16194,.F.); #20687=ORIENTED_EDGE('',*,*,#16195,.T.); #20688=ORIENTED_EDGE('',*,*,#16192,.F.); #20689=ORIENTED_EDGE('',*,*,#16195,.F.); #20690=ORIENTED_EDGE('',*,*,#16196,.T.); #20691=ORIENTED_EDGE('',*,*,#16197,.T.); #20692=ORIENTED_EDGE('',*,*,#16197,.F.); #20693=ORIENTED_EDGE('',*,*,#16198,.F.); #20694=ORIENTED_EDGE('',*,*,#16199,.T.); #20695=ORIENTED_EDGE('',*,*,#16196,.F.); #20696=ORIENTED_EDGE('',*,*,#16199,.F.); #20697=ORIENTED_EDGE('',*,*,#16200,.T.); #20698=ORIENTED_EDGE('',*,*,#16201,.T.); #20699=ORIENTED_EDGE('',*,*,#16201,.F.); #20700=ORIENTED_EDGE('',*,*,#16202,.F.); #20701=ORIENTED_EDGE('',*,*,#16203,.T.); #20702=ORIENTED_EDGE('',*,*,#16200,.F.); #20703=ORIENTED_EDGE('',*,*,#16203,.F.); #20704=ORIENTED_EDGE('',*,*,#16204,.T.); #20705=ORIENTED_EDGE('',*,*,#16205,.T.); #20706=ORIENTED_EDGE('',*,*,#16205,.F.); #20707=ORIENTED_EDGE('',*,*,#16206,.F.); #20708=ORIENTED_EDGE('',*,*,#16207,.T.); #20709=ORIENTED_EDGE('',*,*,#16204,.F.); #20710=ORIENTED_EDGE('',*,*,#16207,.F.); #20711=ORIENTED_EDGE('',*,*,#16208,.T.); #20712=ORIENTED_EDGE('',*,*,#16209,.T.); #20713=ORIENTED_EDGE('',*,*,#16209,.F.); #20714=ORIENTED_EDGE('',*,*,#16210,.F.); #20715=ORIENTED_EDGE('',*,*,#16211,.T.); #20716=ORIENTED_EDGE('',*,*,#16208,.F.); #20717=ORIENTED_EDGE('',*,*,#16211,.F.); #20718=ORIENTED_EDGE('',*,*,#16212,.T.); #20719=ORIENTED_EDGE('',*,*,#16213,.T.); #20720=ORIENTED_EDGE('',*,*,#16213,.F.); #20721=ORIENTED_EDGE('',*,*,#16214,.F.); #20722=ORIENTED_EDGE('',*,*,#16215,.T.); #20723=ORIENTED_EDGE('',*,*,#16212,.F.); #20724=ORIENTED_EDGE('',*,*,#16215,.F.); #20725=ORIENTED_EDGE('',*,*,#16216,.T.); #20726=ORIENTED_EDGE('',*,*,#16217,.T.); #20727=ORIENTED_EDGE('',*,*,#16217,.F.); #20728=ORIENTED_EDGE('',*,*,#16218,.F.); #20729=ORIENTED_EDGE('',*,*,#16219,.T.); #20730=ORIENTED_EDGE('',*,*,#16216,.F.); #20731=ORIENTED_EDGE('',*,*,#16219,.F.); #20732=ORIENTED_EDGE('',*,*,#16220,.F.); #20733=ORIENTED_EDGE('',*,*,#16221,.T.); #20734=ORIENTED_EDGE('',*,*,#16221,.F.); #20735=ORIENTED_EDGE('',*,*,#16222,.F.); #20736=ORIENTED_EDGE('',*,*,#16223,.T.); #20737=ORIENTED_EDGE('',*,*,#16220,.T.); #20738=ORIENTED_EDGE('',*,*,#16223,.F.); #20739=ORIENTED_EDGE('',*,*,#16224,.F.); #20740=ORIENTED_EDGE('',*,*,#16225,.T.); #20741=ORIENTED_EDGE('',*,*,#16225,.F.); #20742=ORIENTED_EDGE('',*,*,#16226,.F.); #20743=ORIENTED_EDGE('',*,*,#16227,.T.); #20744=ORIENTED_EDGE('',*,*,#16224,.T.); #20745=ORIENTED_EDGE('',*,*,#16227,.F.); #20746=ORIENTED_EDGE('',*,*,#16228,.F.); #20747=ORIENTED_EDGE('',*,*,#16229,.T.); #20748=ORIENTED_EDGE('',*,*,#16229,.F.); #20749=ORIENTED_EDGE('',*,*,#16230,.F.); #20750=ORIENTED_EDGE('',*,*,#16231,.T.); #20751=ORIENTED_EDGE('',*,*,#16228,.T.); #20752=ORIENTED_EDGE('',*,*,#16231,.F.); #20753=ORIENTED_EDGE('',*,*,#16232,.F.); #20754=ORIENTED_EDGE('',*,*,#16233,.T.); #20755=ORIENTED_EDGE('',*,*,#16233,.F.); #20756=ORIENTED_EDGE('',*,*,#16234,.F.); #20757=ORIENTED_EDGE('',*,*,#16235,.T.); #20758=ORIENTED_EDGE('',*,*,#16232,.T.); #20759=ORIENTED_EDGE('',*,*,#16235,.F.); #20760=ORIENTED_EDGE('',*,*,#16236,.F.); #20761=ORIENTED_EDGE('',*,*,#16237,.T.); #20762=ORIENTED_EDGE('',*,*,#16237,.F.); #20763=ORIENTED_EDGE('',*,*,#16238,.F.); #20764=ORIENTED_EDGE('',*,*,#16239,.T.); #20765=ORIENTED_EDGE('',*,*,#16236,.T.); #20766=ORIENTED_EDGE('',*,*,#16239,.F.); #20767=ORIENTED_EDGE('',*,*,#16240,.F.); #20768=ORIENTED_EDGE('',*,*,#16241,.T.); #20769=ORIENTED_EDGE('',*,*,#16241,.F.); #20770=ORIENTED_EDGE('',*,*,#16242,.F.); #20771=ORIENTED_EDGE('',*,*,#16243,.T.); #20772=ORIENTED_EDGE('',*,*,#16240,.T.); #20773=ORIENTED_EDGE('',*,*,#16243,.F.); #20774=ORIENTED_EDGE('',*,*,#16244,.F.); #20775=ORIENTED_EDGE('',*,*,#16245,.T.); #20776=ORIENTED_EDGE('',*,*,#16245,.F.); #20777=ORIENTED_EDGE('',*,*,#16246,.F.); #20778=ORIENTED_EDGE('',*,*,#16247,.T.); #20779=ORIENTED_EDGE('',*,*,#16244,.T.); #20780=ORIENTED_EDGE('',*,*,#16247,.F.); #20781=ORIENTED_EDGE('',*,*,#16248,.F.); #20782=ORIENTED_EDGE('',*,*,#16249,.T.); #20783=ORIENTED_EDGE('',*,*,#16249,.F.); #20784=ORIENTED_EDGE('',*,*,#16250,.F.); #20785=ORIENTED_EDGE('',*,*,#16251,.T.); #20786=ORIENTED_EDGE('',*,*,#16248,.T.); #20787=ORIENTED_EDGE('',*,*,#16251,.F.); #20788=ORIENTED_EDGE('',*,*,#16252,.F.); #20789=ORIENTED_EDGE('',*,*,#16253,.T.); #20790=ORIENTED_EDGE('',*,*,#16253,.F.); #20791=ORIENTED_EDGE('',*,*,#16254,.F.); #20792=ORIENTED_EDGE('',*,*,#16255,.T.); #20793=ORIENTED_EDGE('',*,*,#16252,.T.); #20794=ORIENTED_EDGE('',*,*,#16255,.F.); #20795=ORIENTED_EDGE('',*,*,#16256,.F.); #20796=ORIENTED_EDGE('',*,*,#16257,.T.); #20797=ORIENTED_EDGE('',*,*,#16257,.F.); #20798=ORIENTED_EDGE('',*,*,#16258,.F.); #20799=ORIENTED_EDGE('',*,*,#16259,.T.); #20800=ORIENTED_EDGE('',*,*,#16256,.T.); #20801=ORIENTED_EDGE('',*,*,#16259,.F.); #20802=ORIENTED_EDGE('',*,*,#16260,.F.); #20803=ORIENTED_EDGE('',*,*,#16261,.T.); #20804=ORIENTED_EDGE('',*,*,#16261,.F.); #20805=ORIENTED_EDGE('',*,*,#16262,.F.); #20806=ORIENTED_EDGE('',*,*,#16263,.T.); #20807=ORIENTED_EDGE('',*,*,#16260,.T.); #20808=ORIENTED_EDGE('',*,*,#16263,.F.); #20809=ORIENTED_EDGE('',*,*,#16264,.F.); #20810=ORIENTED_EDGE('',*,*,#16265,.T.); #20811=ORIENTED_EDGE('',*,*,#16265,.F.); #20812=ORIENTED_EDGE('',*,*,#16266,.F.); #20813=ORIENTED_EDGE('',*,*,#16267,.T.); #20814=ORIENTED_EDGE('',*,*,#16264,.T.); #20815=ORIENTED_EDGE('',*,*,#16267,.F.); #20816=ORIENTED_EDGE('',*,*,#16268,.F.); #20817=ORIENTED_EDGE('',*,*,#16269,.T.); #20818=ORIENTED_EDGE('',*,*,#16269,.F.); #20819=ORIENTED_EDGE('',*,*,#16270,.F.); #20820=ORIENTED_EDGE('',*,*,#16271,.T.); #20821=ORIENTED_EDGE('',*,*,#16268,.T.); #20822=ORIENTED_EDGE('',*,*,#16271,.F.); #20823=ORIENTED_EDGE('',*,*,#16272,.F.); #20824=ORIENTED_EDGE('',*,*,#16273,.T.); #20825=ORIENTED_EDGE('',*,*,#16273,.F.); #20826=ORIENTED_EDGE('',*,*,#16274,.F.); #20827=ORIENTED_EDGE('',*,*,#16275,.T.); #20828=ORIENTED_EDGE('',*,*,#16272,.T.); #20829=ORIENTED_EDGE('',*,*,#16275,.F.); #20830=ORIENTED_EDGE('',*,*,#16276,.F.); #20831=ORIENTED_EDGE('',*,*,#16277,.T.); #20832=ORIENTED_EDGE('',*,*,#16277,.F.); #20833=ORIENTED_EDGE('',*,*,#16278,.F.); #20834=ORIENTED_EDGE('',*,*,#16279,.T.); #20835=ORIENTED_EDGE('',*,*,#16276,.T.); #20836=ORIENTED_EDGE('',*,*,#16279,.F.); #20837=ORIENTED_EDGE('',*,*,#16280,.T.); #20838=ORIENTED_EDGE('',*,*,#16281,.T.); #20839=ORIENTED_EDGE('',*,*,#16281,.F.); #20840=ORIENTED_EDGE('',*,*,#16282,.F.); #20841=ORIENTED_EDGE('',*,*,#16283,.T.); #20842=ORIENTED_EDGE('',*,*,#16280,.F.); #20843=ORIENTED_EDGE('',*,*,#16283,.F.); #20844=ORIENTED_EDGE('',*,*,#16284,.T.); #20845=ORIENTED_EDGE('',*,*,#16285,.T.); #20846=ORIENTED_EDGE('',*,*,#16285,.F.); #20847=ORIENTED_EDGE('',*,*,#16286,.F.); #20848=ORIENTED_EDGE('',*,*,#16287,.T.); #20849=ORIENTED_EDGE('',*,*,#16284,.F.); #20850=ORIENTED_EDGE('',*,*,#16287,.F.); #20851=ORIENTED_EDGE('',*,*,#16288,.T.); #20852=ORIENTED_EDGE('',*,*,#16289,.T.); #20853=ORIENTED_EDGE('',*,*,#16289,.F.); #20854=ORIENTED_EDGE('',*,*,#16290,.F.); #20855=ORIENTED_EDGE('',*,*,#16291,.T.); #20856=ORIENTED_EDGE('',*,*,#16288,.F.); #20857=ORIENTED_EDGE('',*,*,#16291,.F.); #20858=ORIENTED_EDGE('',*,*,#16292,.T.); #20859=ORIENTED_EDGE('',*,*,#16293,.T.); #20860=ORIENTED_EDGE('',*,*,#16293,.F.); #20861=ORIENTED_EDGE('',*,*,#16294,.F.); #20862=ORIENTED_EDGE('',*,*,#16295,.T.); #20863=ORIENTED_EDGE('',*,*,#16292,.F.); #20864=ORIENTED_EDGE('',*,*,#16295,.F.); #20865=ORIENTED_EDGE('',*,*,#16296,.T.); #20866=ORIENTED_EDGE('',*,*,#16297,.T.); #20867=ORIENTED_EDGE('',*,*,#16297,.F.); #20868=ORIENTED_EDGE('',*,*,#16298,.F.); #20869=ORIENTED_EDGE('',*,*,#16299,.T.); #20870=ORIENTED_EDGE('',*,*,#16296,.F.); #20871=ORIENTED_EDGE('',*,*,#16299,.F.); #20872=ORIENTED_EDGE('',*,*,#16300,.T.); #20873=ORIENTED_EDGE('',*,*,#16301,.T.); #20874=ORIENTED_EDGE('',*,*,#16301,.F.); #20875=ORIENTED_EDGE('',*,*,#16302,.F.); #20876=ORIENTED_EDGE('',*,*,#16303,.T.); #20877=ORIENTED_EDGE('',*,*,#16300,.F.); #20878=ORIENTED_EDGE('',*,*,#16303,.F.); #20879=ORIENTED_EDGE('',*,*,#16304,.T.); #20880=ORIENTED_EDGE('',*,*,#16305,.T.); #20881=ORIENTED_EDGE('',*,*,#16305,.F.); #20882=ORIENTED_EDGE('',*,*,#16306,.F.); #20883=ORIENTED_EDGE('',*,*,#16307,.T.); #20884=ORIENTED_EDGE('',*,*,#16304,.F.); #20885=ORIENTED_EDGE('',*,*,#16307,.F.); #20886=ORIENTED_EDGE('',*,*,#16308,.T.); #20887=ORIENTED_EDGE('',*,*,#16309,.T.); #20888=ORIENTED_EDGE('',*,*,#16309,.F.); #20889=ORIENTED_EDGE('',*,*,#16310,.F.); #20890=ORIENTED_EDGE('',*,*,#16311,.T.); #20891=ORIENTED_EDGE('',*,*,#16308,.F.); #20892=ORIENTED_EDGE('',*,*,#16311,.F.); #20893=ORIENTED_EDGE('',*,*,#16312,.T.); #20894=ORIENTED_EDGE('',*,*,#16313,.T.); #20895=ORIENTED_EDGE('',*,*,#16313,.F.); #20896=ORIENTED_EDGE('',*,*,#16314,.F.); #20897=ORIENTED_EDGE('',*,*,#16315,.T.); #20898=ORIENTED_EDGE('',*,*,#16312,.F.); #20899=ORIENTED_EDGE('',*,*,#16315,.F.); #20900=ORIENTED_EDGE('',*,*,#16316,.T.); #20901=ORIENTED_EDGE('',*,*,#16317,.T.); #20902=ORIENTED_EDGE('',*,*,#16317,.F.); #20903=ORIENTED_EDGE('',*,*,#16318,.F.); #20904=ORIENTED_EDGE('',*,*,#16319,.T.); #20905=ORIENTED_EDGE('',*,*,#16316,.F.); #20906=ORIENTED_EDGE('',*,*,#16319,.F.); #20907=ORIENTED_EDGE('',*,*,#16320,.T.); #20908=ORIENTED_EDGE('',*,*,#16321,.T.); #20909=ORIENTED_EDGE('',*,*,#16321,.F.); #20910=ORIENTED_EDGE('',*,*,#16322,.F.); #20911=ORIENTED_EDGE('',*,*,#16323,.T.); #20912=ORIENTED_EDGE('',*,*,#16320,.F.); #20913=ORIENTED_EDGE('',*,*,#16323,.F.); #20914=ORIENTED_EDGE('',*,*,#16324,.T.); #20915=ORIENTED_EDGE('',*,*,#16325,.T.); #20916=ORIENTED_EDGE('',*,*,#16325,.F.); #20917=ORIENTED_EDGE('',*,*,#16326,.F.); #20918=ORIENTED_EDGE('',*,*,#16327,.T.); #20919=ORIENTED_EDGE('',*,*,#16324,.F.); #20920=ORIENTED_EDGE('',*,*,#16327,.F.); #20921=ORIENTED_EDGE('',*,*,#16328,.T.); #20922=ORIENTED_EDGE('',*,*,#16329,.T.); #20923=ORIENTED_EDGE('',*,*,#16329,.F.); #20924=ORIENTED_EDGE('',*,*,#16330,.F.); #20925=ORIENTED_EDGE('',*,*,#16331,.T.); #20926=ORIENTED_EDGE('',*,*,#16328,.F.); #20927=ORIENTED_EDGE('',*,*,#16331,.F.); #20928=ORIENTED_EDGE('',*,*,#16332,.T.); #20929=ORIENTED_EDGE('',*,*,#16333,.T.); #20930=ORIENTED_EDGE('',*,*,#16333,.F.); #20931=ORIENTED_EDGE('',*,*,#16334,.F.); #20932=ORIENTED_EDGE('',*,*,#16335,.T.); #20933=ORIENTED_EDGE('',*,*,#16332,.F.); #20934=ORIENTED_EDGE('',*,*,#16335,.F.); #20935=ORIENTED_EDGE('',*,*,#16336,.T.); #20936=ORIENTED_EDGE('',*,*,#16337,.T.); #20937=ORIENTED_EDGE('',*,*,#16337,.F.); #20938=ORIENTED_EDGE('',*,*,#16338,.F.); #20939=ORIENTED_EDGE('',*,*,#16339,.T.); #20940=ORIENTED_EDGE('',*,*,#16336,.F.); #20941=ORIENTED_EDGE('',*,*,#16339,.F.); #20942=ORIENTED_EDGE('',*,*,#16340,.T.); #20943=ORIENTED_EDGE('',*,*,#16341,.T.); #20944=ORIENTED_EDGE('',*,*,#16341,.F.); #20945=ORIENTED_EDGE('',*,*,#15979,.F.); #20946=ORIENTED_EDGE('',*,*,#16342,.T.); #20947=ORIENTED_EDGE('',*,*,#16340,.F.); #20948=ORIENTED_EDGE('',*,*,#16342,.F.); #20949=ORIENTED_EDGE('',*,*,#16343,.T.); #20950=ORIENTED_EDGE('',*,*,#16344,.T.); #20951=ORIENTED_EDGE('',*,*,#16344,.F.); #20952=ORIENTED_EDGE('',*,*,#15978,.F.); #20953=ORIENTED_EDGE('',*,*,#16345,.T.); #20954=ORIENTED_EDGE('',*,*,#16343,.F.); #20955=ORIENTED_EDGE('',*,*,#16345,.F.); #20956=ORIENTED_EDGE('',*,*,#16346,.T.); #20957=ORIENTED_EDGE('',*,*,#16347,.T.); #20958=ORIENTED_EDGE('',*,*,#16347,.F.); #20959=ORIENTED_EDGE('',*,*,#15977,.F.); #20960=ORIENTED_EDGE('',*,*,#16348,.T.); #20961=ORIENTED_EDGE('',*,*,#16346,.F.); #20962=ORIENTED_EDGE('',*,*,#16348,.F.); #20963=ORIENTED_EDGE('',*,*,#16349,.T.); #20964=ORIENTED_EDGE('',*,*,#16350,.T.); #20965=ORIENTED_EDGE('',*,*,#16350,.F.); #20966=ORIENTED_EDGE('',*,*,#15976,.F.); #20967=ORIENTED_EDGE('',*,*,#16351,.T.); #20968=ORIENTED_EDGE('',*,*,#16349,.F.); #20969=ORIENTED_EDGE('',*,*,#16351,.F.); #20970=ORIENTED_EDGE('',*,*,#16352,.T.); #20971=ORIENTED_EDGE('',*,*,#16353,.T.); #20972=ORIENTED_EDGE('',*,*,#16353,.F.); #20973=ORIENTED_EDGE('',*,*,#15975,.F.); #20974=ORIENTED_EDGE('',*,*,#16354,.T.); #20975=ORIENTED_EDGE('',*,*,#16352,.F.); #20976=ORIENTED_EDGE('',*,*,#16354,.F.); #20977=ORIENTED_EDGE('',*,*,#16355,.T.); #20978=ORIENTED_EDGE('',*,*,#16356,.T.); #20979=ORIENTED_EDGE('',*,*,#16356,.F.); #20980=ORIENTED_EDGE('',*,*,#15974,.F.); #20981=ORIENTED_EDGE('',*,*,#16357,.T.); #20982=ORIENTED_EDGE('',*,*,#16355,.F.); #20983=ORIENTED_EDGE('',*,*,#16357,.F.); #20984=ORIENTED_EDGE('',*,*,#16358,.T.); #20985=ORIENTED_EDGE('',*,*,#16359,.T.); #20986=ORIENTED_EDGE('',*,*,#16359,.F.); #20987=ORIENTED_EDGE('',*,*,#15973,.F.); #20988=ORIENTED_EDGE('',*,*,#16360,.T.); #20989=ORIENTED_EDGE('',*,*,#16358,.F.); #20990=ORIENTED_EDGE('',*,*,#16360,.F.); #20991=ORIENTED_EDGE('',*,*,#16361,.T.); #20992=ORIENTED_EDGE('',*,*,#16362,.T.); #20993=ORIENTED_EDGE('',*,*,#16362,.F.); #20994=ORIENTED_EDGE('',*,*,#15972,.F.); #20995=ORIENTED_EDGE('',*,*,#16363,.T.); #20996=ORIENTED_EDGE('',*,*,#16361,.F.); #20997=ORIENTED_EDGE('',*,*,#16363,.F.); #20998=ORIENTED_EDGE('',*,*,#16364,.T.); #20999=ORIENTED_EDGE('',*,*,#16365,.T.); #21000=ORIENTED_EDGE('',*,*,#16365,.F.); #21001=ORIENTED_EDGE('',*,*,#16366,.F.); #21002=ORIENTED_EDGE('',*,*,#16367,.T.); #21003=ORIENTED_EDGE('',*,*,#16364,.F.); #21004=ORIENTED_EDGE('',*,*,#16367,.F.); #21005=ORIENTED_EDGE('',*,*,#16368,.T.); #21006=ORIENTED_EDGE('',*,*,#16369,.T.); #21007=ORIENTED_EDGE('',*,*,#16369,.F.); #21008=ORIENTED_EDGE('',*,*,#16370,.F.); #21009=ORIENTED_EDGE('',*,*,#16371,.T.); #21010=ORIENTED_EDGE('',*,*,#16368,.F.); #21011=ORIENTED_EDGE('',*,*,#16371,.F.); #21012=ORIENTED_EDGE('',*,*,#16372,.T.); #21013=ORIENTED_EDGE('',*,*,#16373,.T.); #21014=ORIENTED_EDGE('',*,*,#16373,.F.); #21015=ORIENTED_EDGE('',*,*,#16374,.F.); #21016=ORIENTED_EDGE('',*,*,#16375,.T.); #21017=ORIENTED_EDGE('',*,*,#16372,.F.); #21018=ORIENTED_EDGE('',*,*,#16375,.F.); #21019=ORIENTED_EDGE('',*,*,#16376,.T.); #21020=ORIENTED_EDGE('',*,*,#16377,.T.); #21021=ORIENTED_EDGE('',*,*,#16377,.F.); #21022=ORIENTED_EDGE('',*,*,#16378,.F.); #21023=ORIENTED_EDGE('',*,*,#16379,.T.); #21024=ORIENTED_EDGE('',*,*,#16376,.F.); #21025=ORIENTED_EDGE('',*,*,#16379,.F.); #21026=ORIENTED_EDGE('',*,*,#16380,.T.); #21027=ORIENTED_EDGE('',*,*,#16381,.T.); #21028=ORIENTED_EDGE('',*,*,#16381,.F.); #21029=ORIENTED_EDGE('',*,*,#16382,.F.); #21030=ORIENTED_EDGE('',*,*,#16383,.T.); #21031=ORIENTED_EDGE('',*,*,#16380,.F.); #21032=ORIENTED_EDGE('',*,*,#16383,.F.); #21033=ORIENTED_EDGE('',*,*,#16384,.T.); #21034=ORIENTED_EDGE('',*,*,#16385,.T.); #21035=ORIENTED_EDGE('',*,*,#16385,.F.); #21036=ORIENTED_EDGE('',*,*,#16386,.F.); #21037=ORIENTED_EDGE('',*,*,#16387,.T.); #21038=ORIENTED_EDGE('',*,*,#16384,.F.); #21039=ORIENTED_EDGE('',*,*,#16387,.F.); #21040=ORIENTED_EDGE('',*,*,#16388,.T.); #21041=ORIENTED_EDGE('',*,*,#16389,.T.); #21042=ORIENTED_EDGE('',*,*,#16389,.F.); #21043=ORIENTED_EDGE('',*,*,#16390,.F.); #21044=ORIENTED_EDGE('',*,*,#16391,.T.); #21045=ORIENTED_EDGE('',*,*,#16388,.F.); #21046=ORIENTED_EDGE('',*,*,#16391,.F.); #21047=ORIENTED_EDGE('',*,*,#16392,.T.); #21048=ORIENTED_EDGE('',*,*,#16393,.T.); #21049=ORIENTED_EDGE('',*,*,#16393,.F.); #21050=ORIENTED_EDGE('',*,*,#16394,.F.); #21051=ORIENTED_EDGE('',*,*,#16395,.T.); #21052=ORIENTED_EDGE('',*,*,#16392,.F.); #21053=ORIENTED_EDGE('',*,*,#16395,.F.); #21054=ORIENTED_EDGE('',*,*,#16396,.T.); #21055=ORIENTED_EDGE('',*,*,#16397,.T.); #21056=ORIENTED_EDGE('',*,*,#16397,.F.); #21057=ORIENTED_EDGE('',*,*,#16398,.F.); #21058=ORIENTED_EDGE('',*,*,#16399,.T.); #21059=ORIENTED_EDGE('',*,*,#16396,.F.); #21060=ORIENTED_EDGE('',*,*,#16399,.F.); #21061=ORIENTED_EDGE('',*,*,#16400,.T.); #21062=ORIENTED_EDGE('',*,*,#16401,.T.); #21063=ORIENTED_EDGE('',*,*,#16401,.F.); #21064=ORIENTED_EDGE('',*,*,#16402,.F.); #21065=ORIENTED_EDGE('',*,*,#16403,.T.); #21066=ORIENTED_EDGE('',*,*,#16400,.F.); #21067=ORIENTED_EDGE('',*,*,#16403,.F.); #21068=ORIENTED_EDGE('',*,*,#16404,.T.); #21069=ORIENTED_EDGE('',*,*,#16405,.T.); #21070=ORIENTED_EDGE('',*,*,#16405,.F.); #21071=ORIENTED_EDGE('',*,*,#16406,.F.); #21072=ORIENTED_EDGE('',*,*,#16407,.T.); #21073=ORIENTED_EDGE('',*,*,#16404,.F.); #21074=ORIENTED_EDGE('',*,*,#16407,.F.); #21075=ORIENTED_EDGE('',*,*,#16408,.T.); #21076=ORIENTED_EDGE('',*,*,#16409,.T.); #21077=ORIENTED_EDGE('',*,*,#16409,.F.); #21078=ORIENTED_EDGE('',*,*,#16410,.F.); #21079=ORIENTED_EDGE('',*,*,#16411,.T.); #21080=ORIENTED_EDGE('',*,*,#16408,.F.); #21081=ORIENTED_EDGE('',*,*,#16411,.F.); #21082=ORIENTED_EDGE('',*,*,#16412,.T.); #21083=ORIENTED_EDGE('',*,*,#16413,.T.); #21084=ORIENTED_EDGE('',*,*,#16413,.F.); #21085=ORIENTED_EDGE('',*,*,#16414,.F.); #21086=ORIENTED_EDGE('',*,*,#16415,.T.); #21087=ORIENTED_EDGE('',*,*,#16412,.F.); #21088=ORIENTED_EDGE('',*,*,#16415,.F.); #21089=ORIENTED_EDGE('',*,*,#16416,.T.); #21090=ORIENTED_EDGE('',*,*,#16417,.T.); #21091=ORIENTED_EDGE('',*,*,#16417,.F.); #21092=ORIENTED_EDGE('',*,*,#16418,.F.); #21093=ORIENTED_EDGE('',*,*,#16419,.T.); #21094=ORIENTED_EDGE('',*,*,#16416,.F.); #21095=ORIENTED_EDGE('',*,*,#16419,.F.); #21096=ORIENTED_EDGE('',*,*,#16420,.T.); #21097=ORIENTED_EDGE('',*,*,#16421,.T.); #21098=ORIENTED_EDGE('',*,*,#16421,.F.); #21099=ORIENTED_EDGE('',*,*,#16422,.F.); #21100=ORIENTED_EDGE('',*,*,#16423,.T.); #21101=ORIENTED_EDGE('',*,*,#16420,.F.); #21102=ORIENTED_EDGE('',*,*,#16423,.F.); #21103=ORIENTED_EDGE('',*,*,#16424,.F.); #21104=ORIENTED_EDGE('',*,*,#16425,.T.); #21105=ORIENTED_EDGE('',*,*,#16016,.T.); #21106=ORIENTED_EDGE('',*,*,#16019,.F.); #21107=ORIENTED_EDGE('',*,*,#16017,.T.); #21108=ORIENTED_EDGE('',*,*,#16425,.F.); #21109=ORIENTED_EDGE('',*,*,#16426,.F.); #21110=ORIENTED_EDGE('',*,*,#16427,.F.); #21111=ORIENTED_EDGE('',*,*,#15970,.F.); #21112=ORIENTED_EDGE('',*,*,#16428,.F.); #21113=ORIENTED_EDGE('',*,*,#16306,.T.); #21114=ORIENTED_EDGE('',*,*,#16310,.T.); #21115=ORIENTED_EDGE('',*,*,#16314,.T.); #21116=ORIENTED_EDGE('',*,*,#16318,.T.); #21117=ORIENTED_EDGE('',*,*,#16322,.T.); #21118=ORIENTED_EDGE('',*,*,#16326,.T.); #21119=ORIENTED_EDGE('',*,*,#16330,.T.); #21120=ORIENTED_EDGE('',*,*,#16334,.T.); #21121=ORIENTED_EDGE('',*,*,#16338,.T.); #21122=ORIENTED_EDGE('',*,*,#16427,.T.); #21123=ORIENTED_EDGE('',*,*,#16429,.T.); #21124=ORIENTED_EDGE('',*,*,#16430,.T.); #21125=ORIENTED_EDGE('',*,*,#16431,.T.); #21126=ORIENTED_EDGE('',*,*,#16432,.F.); #21127=ORIENTED_EDGE('',*,*,#16433,.F.); #21128=ORIENTED_EDGE('',*,*,#15967,.F.); #21129=ORIENTED_EDGE('',*,*,#15971,.T.); #21130=ORIENTED_EDGE('',*,*,#16434,.F.); #21131=ORIENTED_EDGE('',*,*,#16435,.T.); #21132=ORIENTED_EDGE('',*,*,#16436,.F.); #21133=ORIENTED_EDGE('',*,*,#16437,.T.); #21134=ORIENTED_EDGE('',*,*,#16438,.T.); #21135=ORIENTED_EDGE('',*,*,#16439,.T.); #21136=ORIENTED_EDGE('',*,*,#16440,.T.); #21137=ORIENTED_EDGE('',*,*,#16441,.T.); #21138=ORIENTED_EDGE('',*,*,#16442,.T.); #21139=ORIENTED_EDGE('',*,*,#16443,.T.); #21140=ORIENTED_EDGE('',*,*,#16444,.T.); #21141=ORIENTED_EDGE('',*,*,#16445,.T.); #21142=ORIENTED_EDGE('',*,*,#16426,.T.); #21143=ORIENTED_EDGE('',*,*,#16446,.F.); #21144=ORIENTED_EDGE('',*,*,#16447,.F.); #21145=ORIENTED_EDGE('',*,*,#16429,.F.); #21146=ORIENTED_EDGE('',*,*,#16282,.T.); #21147=ORIENTED_EDGE('',*,*,#16286,.T.); #21148=ORIENTED_EDGE('',*,*,#16290,.T.); #21149=ORIENTED_EDGE('',*,*,#16294,.T.); #21150=ORIENTED_EDGE('',*,*,#16298,.T.); #21151=ORIENTED_EDGE('',*,*,#16302,.T.); #21152=ORIENTED_EDGE('',*,*,#16447,.T.); #21153=ORIENTED_EDGE('',*,*,#16448,.T.); #21154=ORIENTED_EDGE('',*,*,#16449,.T.); #21155=ORIENTED_EDGE('',*,*,#16450,.T.); #21156=ORIENTED_EDGE('',*,*,#16451,.T.); #21157=ORIENTED_EDGE('',*,*,#16430,.F.); #21158=ORIENTED_EDGE('',*,*,#16064,.T.); #21159=ORIENTED_EDGE('',*,*,#16068,.T.); #21160=ORIENTED_EDGE('',*,*,#16072,.T.); #21161=ORIENTED_EDGE('',*,*,#16076,.T.); #21162=ORIENTED_EDGE('',*,*,#16080,.T.); #21163=ORIENTED_EDGE('',*,*,#16084,.T.); #21164=ORIENTED_EDGE('',*,*,#16088,.T.); #21165=ORIENTED_EDGE('',*,*,#16092,.T.); #21166=ORIENTED_EDGE('',*,*,#16096,.T.); #21167=ORIENTED_EDGE('',*,*,#16100,.T.); #21168=ORIENTED_EDGE('',*,*,#16104,.T.); #21169=ORIENTED_EDGE('',*,*,#16106,.T.); #21170=ORIENTED_EDGE('',*,*,#16110,.T.); #21171=ORIENTED_EDGE('',*,*,#16114,.T.); #21172=ORIENTED_EDGE('',*,*,#16118,.T.); #21173=ORIENTED_EDGE('',*,*,#16122,.T.); #21174=ORIENTED_EDGE('',*,*,#16126,.T.); #21175=ORIENTED_EDGE('',*,*,#16130,.T.); #21176=ORIENTED_EDGE('',*,*,#16134,.T.); #21177=ORIENTED_EDGE('',*,*,#16138,.T.); #21178=ORIENTED_EDGE('',*,*,#16142,.T.); #21179=ORIENTED_EDGE('',*,*,#16146,.T.); #21180=ORIENTED_EDGE('',*,*,#16150,.T.); #21181=ORIENTED_EDGE('',*,*,#16154,.T.); #21182=ORIENTED_EDGE('',*,*,#16158,.T.); #21183=ORIENTED_EDGE('',*,*,#16162,.T.); #21184=ORIENTED_EDGE('',*,*,#16166,.T.); #21185=ORIENTED_EDGE('',*,*,#16170,.T.); #21186=ORIENTED_EDGE('',*,*,#16174,.T.); #21187=ORIENTED_EDGE('',*,*,#16178,.T.); #21188=ORIENTED_EDGE('',*,*,#16182,.T.); #21189=ORIENTED_EDGE('',*,*,#16186,.T.); #21190=ORIENTED_EDGE('',*,*,#16190,.T.); #21191=ORIENTED_EDGE('',*,*,#16194,.T.); #21192=ORIENTED_EDGE('',*,*,#16198,.T.); #21193=ORIENTED_EDGE('',*,*,#16202,.T.); #21194=ORIENTED_EDGE('',*,*,#16206,.T.); #21195=ORIENTED_EDGE('',*,*,#16210,.T.); #21196=ORIENTED_EDGE('',*,*,#16214,.T.); #21197=ORIENTED_EDGE('',*,*,#16218,.T.); #21198=ORIENTED_EDGE('',*,*,#16366,.T.); #21199=ORIENTED_EDGE('',*,*,#16370,.T.); #21200=ORIENTED_EDGE('',*,*,#16374,.T.); #21201=ORIENTED_EDGE('',*,*,#16378,.T.); #21202=ORIENTED_EDGE('',*,*,#16382,.T.); #21203=ORIENTED_EDGE('',*,*,#16386,.T.); #21204=ORIENTED_EDGE('',*,*,#16390,.T.); #21205=ORIENTED_EDGE('',*,*,#16394,.T.); #21206=ORIENTED_EDGE('',*,*,#16398,.T.); #21207=ORIENTED_EDGE('',*,*,#16402,.T.); #21208=ORIENTED_EDGE('',*,*,#16406,.T.); #21209=ORIENTED_EDGE('',*,*,#16410,.T.); #21210=ORIENTED_EDGE('',*,*,#16414,.T.); #21211=ORIENTED_EDGE('',*,*,#16418,.T.); #21212=ORIENTED_EDGE('',*,*,#16422,.T.); #21213=ORIENTED_EDGE('',*,*,#16424,.T.); #21214=ORIENTED_EDGE('',*,*,#16446,.T.); #21215=ORIENTED_EDGE('',*,*,#16452,.T.); #21216=ORIENTED_EDGE('',*,*,#16453,.F.); #21217=ORIENTED_EDGE('',*,*,#16448,.F.); #21218=ORIENTED_EDGE('',*,*,#16258,.T.); #21219=ORIENTED_EDGE('',*,*,#16262,.T.); #21220=ORIENTED_EDGE('',*,*,#16266,.T.); #21221=ORIENTED_EDGE('',*,*,#16270,.T.); #21222=ORIENTED_EDGE('',*,*,#16274,.T.); #21223=ORIENTED_EDGE('',*,*,#16278,.T.); #21224=ORIENTED_EDGE('',*,*,#16428,.T.); #21225=ORIENTED_EDGE('',*,*,#15969,.F.); #21226=ORIENTED_EDGE('',*,*,#16454,.F.); #21227=ORIENTED_EDGE('',*,*,#16452,.F.); #21228=ORIENTED_EDGE('',*,*,#16222,.T.); #21229=ORIENTED_EDGE('',*,*,#16226,.T.); #21230=ORIENTED_EDGE('',*,*,#16230,.T.); #21231=ORIENTED_EDGE('',*,*,#16234,.T.); #21232=ORIENTED_EDGE('',*,*,#16238,.T.); #21233=ORIENTED_EDGE('',*,*,#16242,.T.); #21234=ORIENTED_EDGE('',*,*,#16246,.T.); #21235=ORIENTED_EDGE('',*,*,#16250,.T.); #21236=ORIENTED_EDGE('',*,*,#16254,.T.); #21237=ORIENTED_EDGE('',*,*,#16454,.T.); #21238=ORIENTED_EDGE('',*,*,#15968,.T.); #21239=ORIENTED_EDGE('',*,*,#15965,.F.); #21240=ORIENTED_EDGE('',*,*,#16455,.F.); #21241=ORIENTED_EDGE('',*,*,#16456,.F.); #21242=ORIENTED_EDGE('',*,*,#16457,.T.); #21243=ORIENTED_EDGE('',*,*,#16449,.F.); #21244=ORIENTED_EDGE('',*,*,#16453,.T.); #21245=ORIENTED_EDGE('',*,*,#16458,.F.); #21246=ORIENTED_EDGE('',*,*,#16459,.T.); #21247=ORIENTED_EDGE('',*,*,#16460,.T.); #21248=ORIENTED_EDGE('',*,*,#16461,.T.); #21249=ORIENTED_EDGE('',*,*,#16462,.T.); #21250=ORIENTED_EDGE('',*,*,#16463,.T.); #21251=ORIENTED_EDGE('',*,*,#16464,.T.); #21252=ORIENTED_EDGE('',*,*,#16465,.T.); #21253=ORIENTED_EDGE('',*,*,#16466,.T.); #21254=ORIENTED_EDGE('',*,*,#16467,.T.); #21255=ORIENTED_EDGE('',*,*,#16468,.F.); #21256=ORIENTED_EDGE('',*,*,#16469,.T.); #21257=ORIENTED_EDGE('',*,*,#16458,.T.); #21258=ORIENTED_EDGE('',*,*,#16470,.T.); #21259=ORIENTED_EDGE('',*,*,#16434,.T.); #21260=ORIENTED_EDGE('',*,*,#16471,.T.); #21261=ORIENTED_EDGE('',*,*,#16471,.F.); #21262=ORIENTED_EDGE('',*,*,#16445,.F.); #21263=ORIENTED_EDGE('',*,*,#16472,.F.); #21264=ORIENTED_EDGE('',*,*,#16459,.F.); #21265=ORIENTED_EDGE('',*,*,#16436,.T.); #21266=ORIENTED_EDGE('',*,*,#16473,.T.); #21267=ORIENTED_EDGE('',*,*,#16468,.T.); #21268=ORIENTED_EDGE('',*,*,#16474,.T.); #21269=ORIENTED_EDGE('',*,*,#16470,.F.); #21270=ORIENTED_EDGE('',*,*,#16469,.F.); #21271=ORIENTED_EDGE('',*,*,#16473,.F.); #21272=ORIENTED_EDGE('',*,*,#16435,.F.); #21273=ORIENTED_EDGE('',*,*,#16460,.F.); #21274=ORIENTED_EDGE('',*,*,#16472,.T.); #21275=ORIENTED_EDGE('',*,*,#16444,.F.); #21276=ORIENTED_EDGE('',*,*,#16475,.F.); #21277=ORIENTED_EDGE('',*,*,#16464,.F.); #21278=ORIENTED_EDGE('',*,*,#16476,.T.); #21279=ORIENTED_EDGE('',*,*,#16440,.F.); #21280=ORIENTED_EDGE('',*,*,#16477,.F.); #21281=ORIENTED_EDGE('',*,*,#16466,.F.); #21282=ORIENTED_EDGE('',*,*,#16478,.T.); #21283=ORIENTED_EDGE('',*,*,#16438,.F.); #21284=ORIENTED_EDGE('',*,*,#16479,.T.); #21285=ORIENTED_EDGE('',*,*,#16462,.F.); #21286=ORIENTED_EDGE('',*,*,#16480,.T.); #21287=ORIENTED_EDGE('',*,*,#16442,.F.); #21288=ORIENTED_EDGE('',*,*,#16481,.F.); #21289=ORIENTED_EDGE('',*,*,#16476,.F.); #21290=ORIENTED_EDGE('',*,*,#16463,.F.); #21291=ORIENTED_EDGE('',*,*,#16481,.T.); #21292=ORIENTED_EDGE('',*,*,#16441,.F.); #21293=ORIENTED_EDGE('',*,*,#16451,.F.); #21294=ORIENTED_EDGE('',*,*,#16482,.T.); #21295=ORIENTED_EDGE('',*,*,#16483,.F.); #21296=ORIENTED_EDGE('',*,*,#16431,.F.); #21297=ORIENTED_EDGE('',*,*,#15982,.T.); #21298=ORIENTED_EDGE('',*,*,#15986,.T.); #21299=ORIENTED_EDGE('',*,*,#15990,.T.); #21300=ORIENTED_EDGE('',*,*,#15994,.T.); #21301=ORIENTED_EDGE('',*,*,#15998,.T.); #21302=ORIENTED_EDGE('',*,*,#16002,.T.); #21303=ORIENTED_EDGE('',*,*,#16006,.T.); #21304=ORIENTED_EDGE('',*,*,#16010,.T.); #21305=ORIENTED_EDGE('',*,*,#16012,.T.); #21306=ORIENTED_EDGE('',*,*,#16450,.F.); #21307=ORIENTED_EDGE('',*,*,#16457,.F.); #21308=ORIENTED_EDGE('',*,*,#16484,.F.); #21309=ORIENTED_EDGE('',*,*,#16485,.F.); #21310=ORIENTED_EDGE('',*,*,#16486,.F.); #21311=ORIENTED_EDGE('',*,*,#16482,.F.); #21312=ORIENTED_EDGE('',*,*,#16022,.T.); #21313=ORIENTED_EDGE('',*,*,#16026,.T.); #21314=ORIENTED_EDGE('',*,*,#16030,.T.); #21315=ORIENTED_EDGE('',*,*,#16034,.T.); #21316=ORIENTED_EDGE('',*,*,#16041,.T.); #21317=ORIENTED_EDGE('',*,*,#16046,.T.); #21318=ORIENTED_EDGE('',*,*,#16050,.T.); #21319=ORIENTED_EDGE('',*,*,#16054,.T.); #21320=ORIENTED_EDGE('',*,*,#16058,.T.); #21321=ORIENTED_EDGE('',*,*,#16486,.T.); #21322=ORIENTED_EDGE('',*,*,#16487,.F.); #21323=ORIENTED_EDGE('',*,*,#16488,.F.); #21324=ORIENTED_EDGE('',*,*,#16432,.T.); #21325=ORIENTED_EDGE('',*,*,#16483,.T.); #21326=ORIENTED_EDGE('',*,*,#16485,.T.); #21327=ORIENTED_EDGE('',*,*,#16489,.T.); #21328=ORIENTED_EDGE('',*,*,#16487,.T.); #21329=ORIENTED_EDGE('',*,*,#16433,.T.); #21330=ORIENTED_EDGE('',*,*,#16488,.T.); #21331=ORIENTED_EDGE('',*,*,#16490,.F.); #21332=ORIENTED_EDGE('',*,*,#16455,.T.); #21333=ORIENTED_EDGE('',*,*,#15964,.F.); #21334=ORIENTED_EDGE('',*,*,#16484,.T.); #21335=ORIENTED_EDGE('',*,*,#16456,.T.); #21336=ORIENTED_EDGE('',*,*,#16490,.T.); #21337=ORIENTED_EDGE('',*,*,#16489,.F.); #21338=ORIENTED_EDGE('',*,*,#16475,.T.); #21339=ORIENTED_EDGE('',*,*,#16443,.F.); #21340=ORIENTED_EDGE('',*,*,#16480,.F.); #21341=ORIENTED_EDGE('',*,*,#16461,.F.); #21342=ORIENTED_EDGE('',*,*,#16477,.T.); #21343=ORIENTED_EDGE('',*,*,#16439,.F.); #21344=ORIENTED_EDGE('',*,*,#16478,.F.); #21345=ORIENTED_EDGE('',*,*,#16465,.F.); #21346=ORIENTED_EDGE('',*,*,#16474,.F.); #21347=ORIENTED_EDGE('',*,*,#16467,.F.); #21348=ORIENTED_EDGE('',*,*,#16479,.F.); #21349=ORIENTED_EDGE('',*,*,#16437,.F.); #21350=ORIENTED_EDGE('',*,*,#16491,.F.); #21351=ORIENTED_EDGE('',*,*,#16492,.T.); #21352=ORIENTED_EDGE('',*,*,#16493,.T.); #21353=ORIENTED_EDGE('',*,*,#16492,.F.); #21354=ORIENTED_EDGE('',*,*,#16494,.F.); #21355=ORIENTED_EDGE('',*,*,#16495,.T.); #21356=ORIENTED_EDGE('',*,*,#16496,.T.); #21357=ORIENTED_EDGE('',*,*,#16495,.F.); #21358=ORIENTED_EDGE('',*,*,#16497,.F.); #21359=ORIENTED_EDGE('',*,*,#16498,.T.); #21360=ORIENTED_EDGE('',*,*,#16499,.T.); #21361=ORIENTED_EDGE('',*,*,#16498,.F.); #21362=ORIENTED_EDGE('',*,*,#16500,.F.); #21363=ORIENTED_EDGE('',*,*,#16501,.T.); #21364=ORIENTED_EDGE('',*,*,#16502,.T.); #21365=ORIENTED_EDGE('',*,*,#16501,.F.); #21366=ORIENTED_EDGE('',*,*,#16503,.F.); #21367=ORIENTED_EDGE('',*,*,#16504,.T.); #21368=ORIENTED_EDGE('',*,*,#16505,.T.); #21369=ORIENTED_EDGE('',*,*,#16504,.F.); #21370=ORIENTED_EDGE('',*,*,#16506,.F.); #21371=ORIENTED_EDGE('',*,*,#16507,.T.); #21372=ORIENTED_EDGE('',*,*,#16508,.T.); #21373=ORIENTED_EDGE('',*,*,#16507,.F.); #21374=ORIENTED_EDGE('',*,*,#16509,.F.); #21375=ORIENTED_EDGE('',*,*,#16510,.T.); #21376=ORIENTED_EDGE('',*,*,#16511,.T.); #21377=ORIENTED_EDGE('',*,*,#16510,.F.); #21378=ORIENTED_EDGE('',*,*,#16512,.F.); #21379=ORIENTED_EDGE('',*,*,#16513,.T.); #21380=ORIENTED_EDGE('',*,*,#16514,.T.); #21381=ORIENTED_EDGE('',*,*,#16513,.F.); #21382=ORIENTED_EDGE('',*,*,#16515,.F.); #21383=ORIENTED_EDGE('',*,*,#16516,.T.); #21384=ORIENTED_EDGE('',*,*,#16517,.T.); #21385=ORIENTED_EDGE('',*,*,#16516,.F.); #21386=ORIENTED_EDGE('',*,*,#16518,.F.); #21387=ORIENTED_EDGE('',*,*,#16519,.T.); #21388=ORIENTED_EDGE('',*,*,#16520,.T.); #21389=ORIENTED_EDGE('',*,*,#16519,.F.); #21390=ORIENTED_EDGE('',*,*,#16521,.F.); #21391=ORIENTED_EDGE('',*,*,#16522,.T.); #21392=ORIENTED_EDGE('',*,*,#16523,.T.); #21393=ORIENTED_EDGE('',*,*,#16522,.F.); #21394=ORIENTED_EDGE('',*,*,#16524,.F.); #21395=ORIENTED_EDGE('',*,*,#16525,.T.); #21396=ORIENTED_EDGE('',*,*,#16526,.T.); #21397=ORIENTED_EDGE('',*,*,#16525,.F.); #21398=ORIENTED_EDGE('',*,*,#16527,.F.); #21399=ORIENTED_EDGE('',*,*,#16528,.T.); #21400=ORIENTED_EDGE('',*,*,#16529,.T.); #21401=ORIENTED_EDGE('',*,*,#16528,.F.); #21402=ORIENTED_EDGE('',*,*,#16530,.F.); #21403=ORIENTED_EDGE('',*,*,#16531,.T.); #21404=ORIENTED_EDGE('',*,*,#16532,.T.); #21405=ORIENTED_EDGE('',*,*,#16531,.F.); #21406=ORIENTED_EDGE('',*,*,#16533,.F.); #21407=ORIENTED_EDGE('',*,*,#16534,.T.); #21408=ORIENTED_EDGE('',*,*,#16535,.T.); #21409=ORIENTED_EDGE('',*,*,#16534,.F.); #21410=ORIENTED_EDGE('',*,*,#16536,.F.); #21411=ORIENTED_EDGE('',*,*,#16537,.T.); #21412=ORIENTED_EDGE('',*,*,#16538,.T.); #21413=ORIENTED_EDGE('',*,*,#16537,.F.); #21414=ORIENTED_EDGE('',*,*,#16539,.F.); #21415=ORIENTED_EDGE('',*,*,#16540,.T.); #21416=ORIENTED_EDGE('',*,*,#16541,.T.); #21417=ORIENTED_EDGE('',*,*,#16540,.F.); #21418=ORIENTED_EDGE('',*,*,#16542,.F.); #21419=ORIENTED_EDGE('',*,*,#16543,.T.); #21420=ORIENTED_EDGE('',*,*,#16544,.T.); #21421=ORIENTED_EDGE('',*,*,#16543,.F.); #21422=ORIENTED_EDGE('',*,*,#16545,.F.); #21423=ORIENTED_EDGE('',*,*,#16546,.T.); #21424=ORIENTED_EDGE('',*,*,#16547,.T.); #21425=ORIENTED_EDGE('',*,*,#16546,.F.); #21426=ORIENTED_EDGE('',*,*,#16548,.F.); #21427=ORIENTED_EDGE('',*,*,#16549,.T.); #21428=ORIENTED_EDGE('',*,*,#16550,.T.); #21429=ORIENTED_EDGE('',*,*,#16549,.F.); #21430=ORIENTED_EDGE('',*,*,#16551,.F.); #21431=ORIENTED_EDGE('',*,*,#16552,.T.); #21432=ORIENTED_EDGE('',*,*,#16553,.T.); #21433=ORIENTED_EDGE('',*,*,#16552,.F.); #21434=ORIENTED_EDGE('',*,*,#16554,.F.); #21435=ORIENTED_EDGE('',*,*,#16555,.T.); #21436=ORIENTED_EDGE('',*,*,#16556,.T.); #21437=ORIENTED_EDGE('',*,*,#16555,.F.); #21438=ORIENTED_EDGE('',*,*,#16557,.F.); #21439=ORIENTED_EDGE('',*,*,#16558,.T.); #21440=ORIENTED_EDGE('',*,*,#16559,.T.); #21441=ORIENTED_EDGE('',*,*,#16558,.F.); #21442=ORIENTED_EDGE('',*,*,#16560,.F.); #21443=ORIENTED_EDGE('',*,*,#16561,.T.); #21444=ORIENTED_EDGE('',*,*,#16562,.T.); #21445=ORIENTED_EDGE('',*,*,#16561,.F.); #21446=ORIENTED_EDGE('',*,*,#16563,.F.); #21447=ORIENTED_EDGE('',*,*,#16564,.T.); #21448=ORIENTED_EDGE('',*,*,#16565,.T.); #21449=ORIENTED_EDGE('',*,*,#16564,.F.); #21450=ORIENTED_EDGE('',*,*,#16566,.F.); #21451=ORIENTED_EDGE('',*,*,#16567,.T.); #21452=ORIENTED_EDGE('',*,*,#16568,.T.); #21453=ORIENTED_EDGE('',*,*,#16567,.F.); #21454=ORIENTED_EDGE('',*,*,#16569,.F.); #21455=ORIENTED_EDGE('',*,*,#16570,.T.); #21456=ORIENTED_EDGE('',*,*,#16571,.T.); #21457=ORIENTED_EDGE('',*,*,#16570,.F.); #21458=ORIENTED_EDGE('',*,*,#16572,.F.); #21459=ORIENTED_EDGE('',*,*,#16573,.T.); #21460=ORIENTED_EDGE('',*,*,#16574,.T.); #21461=ORIENTED_EDGE('',*,*,#16573,.F.); #21462=ORIENTED_EDGE('',*,*,#16575,.F.); #21463=ORIENTED_EDGE('',*,*,#16576,.T.); #21464=ORIENTED_EDGE('',*,*,#16577,.T.); #21465=ORIENTED_EDGE('',*,*,#16576,.F.); #21466=ORIENTED_EDGE('',*,*,#16578,.F.); #21467=ORIENTED_EDGE('',*,*,#16579,.T.); #21468=ORIENTED_EDGE('',*,*,#16580,.T.); #21469=ORIENTED_EDGE('',*,*,#16579,.F.); #21470=ORIENTED_EDGE('',*,*,#16581,.F.); #21471=ORIENTED_EDGE('',*,*,#16582,.T.); #21472=ORIENTED_EDGE('',*,*,#16583,.T.); #21473=ORIENTED_EDGE('',*,*,#16582,.F.); #21474=ORIENTED_EDGE('',*,*,#16584,.F.); #21475=ORIENTED_EDGE('',*,*,#16585,.T.); #21476=ORIENTED_EDGE('',*,*,#16586,.T.); #21477=ORIENTED_EDGE('',*,*,#16585,.F.); #21478=ORIENTED_EDGE('',*,*,#16587,.F.); #21479=ORIENTED_EDGE('',*,*,#16588,.T.); #21480=ORIENTED_EDGE('',*,*,#16589,.T.); #21481=ORIENTED_EDGE('',*,*,#16588,.F.); #21482=ORIENTED_EDGE('',*,*,#16590,.F.); #21483=ORIENTED_EDGE('',*,*,#16591,.T.); #21484=ORIENTED_EDGE('',*,*,#16592,.T.); #21485=ORIENTED_EDGE('',*,*,#16591,.F.); #21486=ORIENTED_EDGE('',*,*,#16593,.F.); #21487=ORIENTED_EDGE('',*,*,#16594,.T.); #21488=ORIENTED_EDGE('',*,*,#16595,.T.); #21489=ORIENTED_EDGE('',*,*,#16594,.F.); #21490=ORIENTED_EDGE('',*,*,#16596,.F.); #21491=ORIENTED_EDGE('',*,*,#16597,.T.); #21492=ORIENTED_EDGE('',*,*,#16598,.T.); #21493=ORIENTED_EDGE('',*,*,#16597,.F.); #21494=ORIENTED_EDGE('',*,*,#16599,.F.); #21495=ORIENTED_EDGE('',*,*,#16600,.T.); #21496=ORIENTED_EDGE('',*,*,#16601,.T.); #21497=ORIENTED_EDGE('',*,*,#16600,.F.); #21498=ORIENTED_EDGE('',*,*,#16602,.F.); #21499=ORIENTED_EDGE('',*,*,#16603,.T.); #21500=ORIENTED_EDGE('',*,*,#16604,.T.); #21501=ORIENTED_EDGE('',*,*,#16603,.F.); #21502=ORIENTED_EDGE('',*,*,#16605,.F.); #21503=ORIENTED_EDGE('',*,*,#16606,.T.); #21504=ORIENTED_EDGE('',*,*,#16607,.T.); #21505=ORIENTED_EDGE('',*,*,#16606,.F.); #21506=ORIENTED_EDGE('',*,*,#16608,.F.); #21507=ORIENTED_EDGE('',*,*,#16609,.T.); #21508=ORIENTED_EDGE('',*,*,#16610,.T.); #21509=ORIENTED_EDGE('',*,*,#16609,.F.); #21510=ORIENTED_EDGE('',*,*,#16611,.F.); #21511=ORIENTED_EDGE('',*,*,#16612,.T.); #21512=ORIENTED_EDGE('',*,*,#16613,.T.); #21513=ORIENTED_EDGE('',*,*,#16612,.F.); #21514=ORIENTED_EDGE('',*,*,#16614,.F.); #21515=ORIENTED_EDGE('',*,*,#16615,.T.); #21516=ORIENTED_EDGE('',*,*,#16616,.T.); #21517=ORIENTED_EDGE('',*,*,#16615,.F.); #21518=ORIENTED_EDGE('',*,*,#16617,.F.); #21519=ORIENTED_EDGE('',*,*,#16618,.T.); #21520=ORIENTED_EDGE('',*,*,#16619,.T.); #21521=ORIENTED_EDGE('',*,*,#16618,.F.); #21522=ORIENTED_EDGE('',*,*,#16620,.F.); #21523=ORIENTED_EDGE('',*,*,#16621,.T.); #21524=ORIENTED_EDGE('',*,*,#16622,.T.); #21525=ORIENTED_EDGE('',*,*,#16621,.F.); #21526=ORIENTED_EDGE('',*,*,#16623,.F.); #21527=ORIENTED_EDGE('',*,*,#16624,.T.); #21528=ORIENTED_EDGE('',*,*,#16625,.T.); #21529=ORIENTED_EDGE('',*,*,#16624,.F.); #21530=ORIENTED_EDGE('',*,*,#16626,.F.); #21531=ORIENTED_EDGE('',*,*,#16627,.T.); #21532=ORIENTED_EDGE('',*,*,#16628,.T.); #21533=ORIENTED_EDGE('',*,*,#16627,.F.); #21534=ORIENTED_EDGE('',*,*,#16629,.F.); #21535=ORIENTED_EDGE('',*,*,#16630,.T.); #21536=ORIENTED_EDGE('',*,*,#16631,.T.); #21537=ORIENTED_EDGE('',*,*,#16630,.F.); #21538=ORIENTED_EDGE('',*,*,#16632,.F.); #21539=ORIENTED_EDGE('',*,*,#16633,.T.); #21540=ORIENTED_EDGE('',*,*,#16634,.T.); #21541=ORIENTED_EDGE('',*,*,#16633,.F.); #21542=ORIENTED_EDGE('',*,*,#16635,.F.); #21543=ORIENTED_EDGE('',*,*,#16636,.T.); #21544=ORIENTED_EDGE('',*,*,#16637,.T.); #21545=ORIENTED_EDGE('',*,*,#16636,.F.); #21546=ORIENTED_EDGE('',*,*,#16638,.F.); #21547=ORIENTED_EDGE('',*,*,#16639,.T.); #21548=ORIENTED_EDGE('',*,*,#16640,.T.); #21549=ORIENTED_EDGE('',*,*,#16639,.F.); #21550=ORIENTED_EDGE('',*,*,#16641,.F.); #21551=ORIENTED_EDGE('',*,*,#16642,.T.); #21552=ORIENTED_EDGE('',*,*,#16643,.T.); #21553=ORIENTED_EDGE('',*,*,#16642,.F.); #21554=ORIENTED_EDGE('',*,*,#16644,.F.); #21555=ORIENTED_EDGE('',*,*,#16645,.T.); #21556=ORIENTED_EDGE('',*,*,#16646,.T.); #21557=ORIENTED_EDGE('',*,*,#16645,.F.); #21558=ORIENTED_EDGE('',*,*,#16647,.F.); #21559=ORIENTED_EDGE('',*,*,#16648,.T.); #21560=ORIENTED_EDGE('',*,*,#16649,.T.); #21561=ORIENTED_EDGE('',*,*,#16648,.F.); #21562=ORIENTED_EDGE('',*,*,#16650,.F.); #21563=ORIENTED_EDGE('',*,*,#16651,.T.); #21564=ORIENTED_EDGE('',*,*,#16652,.T.); #21565=ORIENTED_EDGE('',*,*,#16651,.F.); #21566=ORIENTED_EDGE('',*,*,#16653,.F.); #21567=ORIENTED_EDGE('',*,*,#16654,.T.); #21568=ORIENTED_EDGE('',*,*,#16655,.T.); #21569=ORIENTED_EDGE('',*,*,#16654,.F.); #21570=ORIENTED_EDGE('',*,*,#16656,.F.); #21571=ORIENTED_EDGE('',*,*,#16657,.T.); #21572=ORIENTED_EDGE('',*,*,#16658,.T.); #21573=ORIENTED_EDGE('',*,*,#16657,.F.); #21574=ORIENTED_EDGE('',*,*,#16659,.F.); #21575=ORIENTED_EDGE('',*,*,#16660,.T.); #21576=ORIENTED_EDGE('',*,*,#16661,.T.); #21577=ORIENTED_EDGE('',*,*,#16660,.F.); #21578=ORIENTED_EDGE('',*,*,#16662,.F.); #21579=ORIENTED_EDGE('',*,*,#16663,.T.); #21580=ORIENTED_EDGE('',*,*,#16664,.T.); #21581=ORIENTED_EDGE('',*,*,#16663,.F.); #21582=ORIENTED_EDGE('',*,*,#16665,.F.); #21583=ORIENTED_EDGE('',*,*,#16666,.T.); #21584=ORIENTED_EDGE('',*,*,#16667,.T.); #21585=ORIENTED_EDGE('',*,*,#16666,.F.); #21586=ORIENTED_EDGE('',*,*,#16668,.F.); #21587=ORIENTED_EDGE('',*,*,#16669,.T.); #21588=ORIENTED_EDGE('',*,*,#16670,.T.); #21589=ORIENTED_EDGE('',*,*,#16669,.F.); #21590=ORIENTED_EDGE('',*,*,#16671,.F.); #21591=ORIENTED_EDGE('',*,*,#16672,.T.); #21592=ORIENTED_EDGE('',*,*,#16673,.T.); #21593=ORIENTED_EDGE('',*,*,#16672,.F.); #21594=ORIENTED_EDGE('',*,*,#16674,.F.); #21595=ORIENTED_EDGE('',*,*,#16675,.T.); #21596=ORIENTED_EDGE('',*,*,#16676,.T.); #21597=ORIENTED_EDGE('',*,*,#16675,.F.); #21598=ORIENTED_EDGE('',*,*,#16677,.F.); #21599=ORIENTED_EDGE('',*,*,#16678,.T.); #21600=ORIENTED_EDGE('',*,*,#16679,.T.); #21601=ORIENTED_EDGE('',*,*,#16678,.F.); #21602=ORIENTED_EDGE('',*,*,#16680,.F.); #21603=ORIENTED_EDGE('',*,*,#16681,.T.); #21604=ORIENTED_EDGE('',*,*,#16682,.T.); #21605=ORIENTED_EDGE('',*,*,#16681,.F.); #21606=ORIENTED_EDGE('',*,*,#16683,.F.); #21607=ORIENTED_EDGE('',*,*,#16684,.T.); #21608=ORIENTED_EDGE('',*,*,#16685,.T.); #21609=ORIENTED_EDGE('',*,*,#16684,.F.); #21610=ORIENTED_EDGE('',*,*,#16686,.F.); #21611=ORIENTED_EDGE('',*,*,#16687,.T.); #21612=ORIENTED_EDGE('',*,*,#16688,.T.); #21613=ORIENTED_EDGE('',*,*,#16687,.F.); #21614=ORIENTED_EDGE('',*,*,#16689,.F.); #21615=ORIENTED_EDGE('',*,*,#16690,.T.); #21616=ORIENTED_EDGE('',*,*,#16691,.T.); #21617=ORIENTED_EDGE('',*,*,#16690,.F.); #21618=ORIENTED_EDGE('',*,*,#16692,.F.); #21619=ORIENTED_EDGE('',*,*,#16693,.T.); #21620=ORIENTED_EDGE('',*,*,#16694,.T.); #21621=ORIENTED_EDGE('',*,*,#16693,.F.); #21622=ORIENTED_EDGE('',*,*,#16695,.F.); #21623=ORIENTED_EDGE('',*,*,#16696,.T.); #21624=ORIENTED_EDGE('',*,*,#16697,.T.); #21625=ORIENTED_EDGE('',*,*,#16696,.F.); #21626=ORIENTED_EDGE('',*,*,#16698,.F.); #21627=ORIENTED_EDGE('',*,*,#16699,.T.); #21628=ORIENTED_EDGE('',*,*,#16700,.T.); #21629=ORIENTED_EDGE('',*,*,#16699,.F.); #21630=ORIENTED_EDGE('',*,*,#16701,.F.); #21631=ORIENTED_EDGE('',*,*,#16702,.T.); #21632=ORIENTED_EDGE('',*,*,#16703,.T.); #21633=ORIENTED_EDGE('',*,*,#16702,.F.); #21634=ORIENTED_EDGE('',*,*,#16704,.F.); #21635=ORIENTED_EDGE('',*,*,#16705,.T.); #21636=ORIENTED_EDGE('',*,*,#16706,.T.); #21637=ORIENTED_EDGE('',*,*,#16705,.F.); #21638=ORIENTED_EDGE('',*,*,#16707,.F.); #21639=ORIENTED_EDGE('',*,*,#16708,.T.); #21640=ORIENTED_EDGE('',*,*,#16709,.T.); #21641=ORIENTED_EDGE('',*,*,#16708,.F.); #21642=ORIENTED_EDGE('',*,*,#16710,.F.); #21643=ORIENTED_EDGE('',*,*,#16711,.T.); #21644=ORIENTED_EDGE('',*,*,#16712,.T.); #21645=ORIENTED_EDGE('',*,*,#16711,.F.); #21646=ORIENTED_EDGE('',*,*,#16713,.F.); #21647=ORIENTED_EDGE('',*,*,#16714,.T.); #21648=ORIENTED_EDGE('',*,*,#16715,.T.); #21649=ORIENTED_EDGE('',*,*,#16714,.F.); #21650=ORIENTED_EDGE('',*,*,#16716,.F.); #21651=ORIENTED_EDGE('',*,*,#16717,.T.); #21652=ORIENTED_EDGE('',*,*,#16718,.T.); #21653=ORIENTED_EDGE('',*,*,#16717,.F.); #21654=ORIENTED_EDGE('',*,*,#16719,.F.); #21655=ORIENTED_EDGE('',*,*,#16720,.T.); #21656=ORIENTED_EDGE('',*,*,#16721,.T.); #21657=ORIENTED_EDGE('',*,*,#16720,.F.); #21658=ORIENTED_EDGE('',*,*,#16722,.F.); #21659=ORIENTED_EDGE('',*,*,#16723,.T.); #21660=ORIENTED_EDGE('',*,*,#16724,.T.); #21661=ORIENTED_EDGE('',*,*,#16723,.F.); #21662=ORIENTED_EDGE('',*,*,#16725,.F.); #21663=ORIENTED_EDGE('',*,*,#16726,.T.); #21664=ORIENTED_EDGE('',*,*,#16727,.T.); #21665=ORIENTED_EDGE('',*,*,#16726,.F.); #21666=ORIENTED_EDGE('',*,*,#16728,.F.); #21667=ORIENTED_EDGE('',*,*,#16729,.T.); #21668=ORIENTED_EDGE('',*,*,#16730,.T.); #21669=ORIENTED_EDGE('',*,*,#16729,.F.); #21670=ORIENTED_EDGE('',*,*,#16731,.F.); #21671=ORIENTED_EDGE('',*,*,#16732,.T.); #21672=ORIENTED_EDGE('',*,*,#16733,.T.); #21673=ORIENTED_EDGE('',*,*,#16732,.F.); #21674=ORIENTED_EDGE('',*,*,#16734,.F.); #21675=ORIENTED_EDGE('',*,*,#16735,.T.); #21676=ORIENTED_EDGE('',*,*,#16736,.T.); #21677=ORIENTED_EDGE('',*,*,#16735,.F.); #21678=ORIENTED_EDGE('',*,*,#16737,.F.); #21679=ORIENTED_EDGE('',*,*,#16738,.T.); #21680=ORIENTED_EDGE('',*,*,#16739,.T.); #21681=ORIENTED_EDGE('',*,*,#16738,.F.); #21682=ORIENTED_EDGE('',*,*,#16740,.F.); #21683=ORIENTED_EDGE('',*,*,#16741,.T.); #21684=ORIENTED_EDGE('',*,*,#16742,.T.); #21685=ORIENTED_EDGE('',*,*,#16741,.F.); #21686=ORIENTED_EDGE('',*,*,#16743,.F.); #21687=ORIENTED_EDGE('',*,*,#16744,.T.); #21688=ORIENTED_EDGE('',*,*,#16745,.T.); #21689=ORIENTED_EDGE('',*,*,#16744,.F.); #21690=ORIENTED_EDGE('',*,*,#16746,.F.); #21691=ORIENTED_EDGE('',*,*,#16747,.T.); #21692=ORIENTED_EDGE('',*,*,#16748,.T.); #21693=ORIENTED_EDGE('',*,*,#16747,.F.); #21694=ORIENTED_EDGE('',*,*,#16749,.F.); #21695=ORIENTED_EDGE('',*,*,#16750,.T.); #21696=ORIENTED_EDGE('',*,*,#16751,.T.); #21697=ORIENTED_EDGE('',*,*,#16750,.F.); #21698=ORIENTED_EDGE('',*,*,#16752,.F.); #21699=ORIENTED_EDGE('',*,*,#16753,.T.); #21700=ORIENTED_EDGE('',*,*,#16754,.T.); #21701=ORIENTED_EDGE('',*,*,#16753,.F.); #21702=ORIENTED_EDGE('',*,*,#16755,.F.); #21703=ORIENTED_EDGE('',*,*,#16756,.T.); #21704=ORIENTED_EDGE('',*,*,#16757,.T.); #21705=ORIENTED_EDGE('',*,*,#16756,.F.); #21706=ORIENTED_EDGE('',*,*,#16758,.F.); #21707=ORIENTED_EDGE('',*,*,#16759,.T.); #21708=ORIENTED_EDGE('',*,*,#16760,.T.); #21709=ORIENTED_EDGE('',*,*,#16759,.F.); #21710=ORIENTED_EDGE('',*,*,#16761,.F.); #21711=ORIENTED_EDGE('',*,*,#16762,.T.); #21712=ORIENTED_EDGE('',*,*,#16763,.T.); #21713=ORIENTED_EDGE('',*,*,#16762,.F.); #21714=ORIENTED_EDGE('',*,*,#16764,.F.); #21715=ORIENTED_EDGE('',*,*,#16765,.T.); #21716=ORIENTED_EDGE('',*,*,#16766,.T.); #21717=ORIENTED_EDGE('',*,*,#16765,.F.); #21718=ORIENTED_EDGE('',*,*,#16767,.F.); #21719=ORIENTED_EDGE('',*,*,#16768,.T.); #21720=ORIENTED_EDGE('',*,*,#16769,.T.); #21721=ORIENTED_EDGE('',*,*,#16768,.F.); #21722=ORIENTED_EDGE('',*,*,#16770,.F.); #21723=ORIENTED_EDGE('',*,*,#16771,.T.); #21724=ORIENTED_EDGE('',*,*,#16772,.T.); #21725=ORIENTED_EDGE('',*,*,#16771,.F.); #21726=ORIENTED_EDGE('',*,*,#16773,.F.); #21727=ORIENTED_EDGE('',*,*,#16774,.T.); #21728=ORIENTED_EDGE('',*,*,#16775,.T.); #21729=ORIENTED_EDGE('',*,*,#16774,.F.); #21730=ORIENTED_EDGE('',*,*,#16776,.F.); #21731=ORIENTED_EDGE('',*,*,#16777,.T.); #21732=ORIENTED_EDGE('',*,*,#16778,.T.); #21733=ORIENTED_EDGE('',*,*,#16777,.F.); #21734=ORIENTED_EDGE('',*,*,#16779,.T.); #21735=ORIENTED_EDGE('',*,*,#16780,.T.); #21736=ORIENTED_EDGE('',*,*,#16781,.F.); #21737=ORIENTED_EDGE('',*,*,#16782,.F.); #21738=ORIENTED_EDGE('',*,*,#16783,.T.); #21739=ORIENTED_EDGE('',*,*,#16782,.T.); #21740=ORIENTED_EDGE('',*,*,#16784,.F.); #21741=ORIENTED_EDGE('',*,*,#16785,.F.); #21742=ORIENTED_EDGE('',*,*,#16786,.T.); #21743=ORIENTED_EDGE('',*,*,#16785,.T.); #21744=ORIENTED_EDGE('',*,*,#16787,.F.); #21745=ORIENTED_EDGE('',*,*,#16788,.F.); #21746=ORIENTED_EDGE('',*,*,#16789,.T.); #21747=ORIENTED_EDGE('',*,*,#16788,.T.); #21748=ORIENTED_EDGE('',*,*,#16790,.F.); #21749=ORIENTED_EDGE('',*,*,#16780,.F.); #21750=ORIENTED_EDGE('',*,*,#16790,.T.); #21751=ORIENTED_EDGE('',*,*,#16787,.T.); #21752=ORIENTED_EDGE('',*,*,#16784,.T.); #21753=ORIENTED_EDGE('',*,*,#16781,.T.); #21754=ORIENTED_EDGE('',*,*,#16776,.T.); #21755=ORIENTED_EDGE('',*,*,#16773,.T.); #21756=ORIENTED_EDGE('',*,*,#16770,.T.); #21757=ORIENTED_EDGE('',*,*,#16767,.T.); #21758=ORIENTED_EDGE('',*,*,#16764,.T.); #21759=ORIENTED_EDGE('',*,*,#16761,.T.); #21760=ORIENTED_EDGE('',*,*,#16758,.T.); #21761=ORIENTED_EDGE('',*,*,#16755,.T.); #21762=ORIENTED_EDGE('',*,*,#16752,.T.); #21763=ORIENTED_EDGE('',*,*,#16749,.T.); #21764=ORIENTED_EDGE('',*,*,#16746,.T.); #21765=ORIENTED_EDGE('',*,*,#16743,.T.); #21766=ORIENTED_EDGE('',*,*,#16740,.T.); #21767=ORIENTED_EDGE('',*,*,#16737,.T.); #21768=ORIENTED_EDGE('',*,*,#16734,.T.); #21769=ORIENTED_EDGE('',*,*,#16731,.T.); #21770=ORIENTED_EDGE('',*,*,#16728,.T.); #21771=ORIENTED_EDGE('',*,*,#16725,.T.); #21772=ORIENTED_EDGE('',*,*,#16722,.T.); #21773=ORIENTED_EDGE('',*,*,#16719,.T.); #21774=ORIENTED_EDGE('',*,*,#16716,.T.); #21775=ORIENTED_EDGE('',*,*,#16713,.T.); #21776=ORIENTED_EDGE('',*,*,#16710,.T.); #21777=ORIENTED_EDGE('',*,*,#16707,.T.); #21778=ORIENTED_EDGE('',*,*,#16704,.T.); #21779=ORIENTED_EDGE('',*,*,#16701,.T.); #21780=ORIENTED_EDGE('',*,*,#16698,.T.); #21781=ORIENTED_EDGE('',*,*,#16695,.T.); #21782=ORIENTED_EDGE('',*,*,#16692,.T.); #21783=ORIENTED_EDGE('',*,*,#16689,.T.); #21784=ORIENTED_EDGE('',*,*,#16686,.T.); #21785=ORIENTED_EDGE('',*,*,#16683,.T.); #21786=ORIENTED_EDGE('',*,*,#16680,.T.); #21787=ORIENTED_EDGE('',*,*,#16677,.T.); #21788=ORIENTED_EDGE('',*,*,#16674,.T.); #21789=ORIENTED_EDGE('',*,*,#16671,.T.); #21790=ORIENTED_EDGE('',*,*,#16668,.T.); #21791=ORIENTED_EDGE('',*,*,#16665,.T.); #21792=ORIENTED_EDGE('',*,*,#16662,.T.); #21793=ORIENTED_EDGE('',*,*,#16659,.T.); #21794=ORIENTED_EDGE('',*,*,#16656,.T.); #21795=ORIENTED_EDGE('',*,*,#16653,.T.); #21796=ORIENTED_EDGE('',*,*,#16650,.T.); #21797=ORIENTED_EDGE('',*,*,#16647,.T.); #21798=ORIENTED_EDGE('',*,*,#16644,.T.); #21799=ORIENTED_EDGE('',*,*,#16641,.T.); #21800=ORIENTED_EDGE('',*,*,#16638,.T.); #21801=ORIENTED_EDGE('',*,*,#16635,.T.); #21802=ORIENTED_EDGE('',*,*,#16632,.T.); #21803=ORIENTED_EDGE('',*,*,#16629,.T.); #21804=ORIENTED_EDGE('',*,*,#16626,.T.); #21805=ORIENTED_EDGE('',*,*,#16623,.T.); #21806=ORIENTED_EDGE('',*,*,#16620,.T.); #21807=ORIENTED_EDGE('',*,*,#16617,.T.); #21808=ORIENTED_EDGE('',*,*,#16614,.T.); #21809=ORIENTED_EDGE('',*,*,#16611,.T.); #21810=ORIENTED_EDGE('',*,*,#16608,.T.); #21811=ORIENTED_EDGE('',*,*,#16605,.T.); #21812=ORIENTED_EDGE('',*,*,#16602,.T.); #21813=ORIENTED_EDGE('',*,*,#16599,.T.); #21814=ORIENTED_EDGE('',*,*,#16596,.T.); #21815=ORIENTED_EDGE('',*,*,#16593,.T.); #21816=ORIENTED_EDGE('',*,*,#16590,.T.); #21817=ORIENTED_EDGE('',*,*,#16587,.T.); #21818=ORIENTED_EDGE('',*,*,#16584,.T.); #21819=ORIENTED_EDGE('',*,*,#16581,.T.); #21820=ORIENTED_EDGE('',*,*,#16578,.T.); #21821=ORIENTED_EDGE('',*,*,#16575,.T.); #21822=ORIENTED_EDGE('',*,*,#16572,.T.); #21823=ORIENTED_EDGE('',*,*,#16569,.T.); #21824=ORIENTED_EDGE('',*,*,#16566,.T.); #21825=ORIENTED_EDGE('',*,*,#16563,.T.); #21826=ORIENTED_EDGE('',*,*,#16560,.T.); #21827=ORIENTED_EDGE('',*,*,#16557,.T.); #21828=ORIENTED_EDGE('',*,*,#16554,.T.); #21829=ORIENTED_EDGE('',*,*,#16551,.T.); #21830=ORIENTED_EDGE('',*,*,#16548,.T.); #21831=ORIENTED_EDGE('',*,*,#16545,.T.); #21832=ORIENTED_EDGE('',*,*,#16542,.T.); #21833=ORIENTED_EDGE('',*,*,#16539,.T.); #21834=ORIENTED_EDGE('',*,*,#16536,.T.); #21835=ORIENTED_EDGE('',*,*,#16533,.T.); #21836=ORIENTED_EDGE('',*,*,#16530,.T.); #21837=ORIENTED_EDGE('',*,*,#16527,.T.); #21838=ORIENTED_EDGE('',*,*,#16524,.T.); #21839=ORIENTED_EDGE('',*,*,#16521,.T.); #21840=ORIENTED_EDGE('',*,*,#16518,.T.); #21841=ORIENTED_EDGE('',*,*,#16515,.T.); #21842=ORIENTED_EDGE('',*,*,#16512,.T.); #21843=ORIENTED_EDGE('',*,*,#16509,.T.); #21844=ORIENTED_EDGE('',*,*,#16506,.T.); #21845=ORIENTED_EDGE('',*,*,#16503,.T.); #21846=ORIENTED_EDGE('',*,*,#16500,.T.); #21847=ORIENTED_EDGE('',*,*,#16497,.T.); #21848=ORIENTED_EDGE('',*,*,#16494,.T.); #21849=ORIENTED_EDGE('',*,*,#16491,.T.); #21850=ORIENTED_EDGE('',*,*,#16789,.F.); #21851=ORIENTED_EDGE('',*,*,#16779,.F.); #21852=ORIENTED_EDGE('',*,*,#16783,.F.); #21853=ORIENTED_EDGE('',*,*,#16786,.F.); #21854=ORIENTED_EDGE('',*,*,#16778,.F.); #21855=ORIENTED_EDGE('',*,*,#16775,.F.); #21856=ORIENTED_EDGE('',*,*,#16772,.F.); #21857=ORIENTED_EDGE('',*,*,#16769,.F.); #21858=ORIENTED_EDGE('',*,*,#16766,.F.); #21859=ORIENTED_EDGE('',*,*,#16763,.F.); #21860=ORIENTED_EDGE('',*,*,#16760,.F.); #21861=ORIENTED_EDGE('',*,*,#16757,.F.); #21862=ORIENTED_EDGE('',*,*,#16754,.F.); #21863=ORIENTED_EDGE('',*,*,#16751,.F.); #21864=ORIENTED_EDGE('',*,*,#16748,.F.); #21865=ORIENTED_EDGE('',*,*,#16745,.F.); #21866=ORIENTED_EDGE('',*,*,#16742,.F.); #21867=ORIENTED_EDGE('',*,*,#16739,.F.); #21868=ORIENTED_EDGE('',*,*,#16736,.F.); #21869=ORIENTED_EDGE('',*,*,#16733,.F.); #21870=ORIENTED_EDGE('',*,*,#16730,.F.); #21871=ORIENTED_EDGE('',*,*,#16727,.F.); #21872=ORIENTED_EDGE('',*,*,#16724,.F.); #21873=ORIENTED_EDGE('',*,*,#16721,.F.); #21874=ORIENTED_EDGE('',*,*,#16718,.F.); #21875=ORIENTED_EDGE('',*,*,#16715,.F.); #21876=ORIENTED_EDGE('',*,*,#16712,.F.); #21877=ORIENTED_EDGE('',*,*,#16709,.F.); #21878=ORIENTED_EDGE('',*,*,#16706,.F.); #21879=ORIENTED_EDGE('',*,*,#16703,.F.); #21880=ORIENTED_EDGE('',*,*,#16700,.F.); #21881=ORIENTED_EDGE('',*,*,#16697,.F.); #21882=ORIENTED_EDGE('',*,*,#16694,.F.); #21883=ORIENTED_EDGE('',*,*,#16691,.F.); #21884=ORIENTED_EDGE('',*,*,#16688,.F.); #21885=ORIENTED_EDGE('',*,*,#16685,.F.); #21886=ORIENTED_EDGE('',*,*,#16682,.F.); #21887=ORIENTED_EDGE('',*,*,#16679,.F.); #21888=ORIENTED_EDGE('',*,*,#16676,.F.); #21889=ORIENTED_EDGE('',*,*,#16673,.F.); #21890=ORIENTED_EDGE('',*,*,#16670,.F.); #21891=ORIENTED_EDGE('',*,*,#16667,.F.); #21892=ORIENTED_EDGE('',*,*,#16664,.F.); #21893=ORIENTED_EDGE('',*,*,#16661,.F.); #21894=ORIENTED_EDGE('',*,*,#16658,.F.); #21895=ORIENTED_EDGE('',*,*,#16655,.F.); #21896=ORIENTED_EDGE('',*,*,#16652,.F.); #21897=ORIENTED_EDGE('',*,*,#16649,.F.); #21898=ORIENTED_EDGE('',*,*,#16646,.F.); #21899=ORIENTED_EDGE('',*,*,#16643,.F.); #21900=ORIENTED_EDGE('',*,*,#16640,.F.); #21901=ORIENTED_EDGE('',*,*,#16637,.F.); #21902=ORIENTED_EDGE('',*,*,#16634,.F.); #21903=ORIENTED_EDGE('',*,*,#16631,.F.); #21904=ORIENTED_EDGE('',*,*,#16628,.F.); #21905=ORIENTED_EDGE('',*,*,#16625,.F.); #21906=ORIENTED_EDGE('',*,*,#16622,.F.); #21907=ORIENTED_EDGE('',*,*,#16619,.F.); #21908=ORIENTED_EDGE('',*,*,#16616,.F.); #21909=ORIENTED_EDGE('',*,*,#16613,.F.); #21910=ORIENTED_EDGE('',*,*,#16610,.F.); #21911=ORIENTED_EDGE('',*,*,#16607,.F.); #21912=ORIENTED_EDGE('',*,*,#16604,.F.); #21913=ORIENTED_EDGE('',*,*,#16601,.F.); #21914=ORIENTED_EDGE('',*,*,#16598,.F.); #21915=ORIENTED_EDGE('',*,*,#16595,.F.); #21916=ORIENTED_EDGE('',*,*,#16592,.F.); #21917=ORIENTED_EDGE('',*,*,#16589,.F.); #21918=ORIENTED_EDGE('',*,*,#16586,.F.); #21919=ORIENTED_EDGE('',*,*,#16583,.F.); #21920=ORIENTED_EDGE('',*,*,#16580,.F.); #21921=ORIENTED_EDGE('',*,*,#16577,.F.); #21922=ORIENTED_EDGE('',*,*,#16574,.F.); #21923=ORIENTED_EDGE('',*,*,#16571,.F.); #21924=ORIENTED_EDGE('',*,*,#16568,.F.); #21925=ORIENTED_EDGE('',*,*,#16565,.F.); #21926=ORIENTED_EDGE('',*,*,#16562,.F.); #21927=ORIENTED_EDGE('',*,*,#16559,.F.); #21928=ORIENTED_EDGE('',*,*,#16556,.F.); #21929=ORIENTED_EDGE('',*,*,#16553,.F.); #21930=ORIENTED_EDGE('',*,*,#16550,.F.); #21931=ORIENTED_EDGE('',*,*,#16547,.F.); #21932=ORIENTED_EDGE('',*,*,#16544,.F.); #21933=ORIENTED_EDGE('',*,*,#16541,.F.); #21934=ORIENTED_EDGE('',*,*,#16538,.F.); #21935=ORIENTED_EDGE('',*,*,#16535,.F.); #21936=ORIENTED_EDGE('',*,*,#16532,.F.); #21937=ORIENTED_EDGE('',*,*,#16529,.F.); #21938=ORIENTED_EDGE('',*,*,#16526,.F.); #21939=ORIENTED_EDGE('',*,*,#16523,.F.); #21940=ORIENTED_EDGE('',*,*,#16520,.F.); #21941=ORIENTED_EDGE('',*,*,#16517,.F.); #21942=ORIENTED_EDGE('',*,*,#16514,.F.); #21943=ORIENTED_EDGE('',*,*,#16511,.F.); #21944=ORIENTED_EDGE('',*,*,#16508,.F.); #21945=ORIENTED_EDGE('',*,*,#16505,.F.); #21946=ORIENTED_EDGE('',*,*,#16502,.F.); #21947=ORIENTED_EDGE('',*,*,#16499,.F.); #21948=ORIENTED_EDGE('',*,*,#16496,.F.); #21949=ORIENTED_EDGE('',*,*,#16493,.F.); #21950=ORIENTED_EDGE('',*,*,#16791,.F.); #21951=ORIENTED_EDGE('',*,*,#16792,.T.); #21952=ORIENTED_EDGE('',*,*,#16793,.F.); #21953=ORIENTED_EDGE('',*,*,#16792,.F.); #21954=ORIENTED_EDGE('',*,*,#16794,.F.); #21955=ORIENTED_EDGE('',*,*,#16795,.T.); #21956=ORIENTED_EDGE('',*,*,#16796,.F.); #21957=ORIENTED_EDGE('',*,*,#16795,.F.); #21958=ORIENTED_EDGE('',*,*,#16797,.F.); #21959=ORIENTED_EDGE('',*,*,#16798,.T.); #21960=ORIENTED_EDGE('',*,*,#16799,.F.); #21961=ORIENTED_EDGE('',*,*,#16798,.F.); #21962=ORIENTED_EDGE('',*,*,#16800,.F.); #21963=ORIENTED_EDGE('',*,*,#16801,.T.); #21964=ORIENTED_EDGE('',*,*,#16802,.F.); #21965=ORIENTED_EDGE('',*,*,#16801,.F.); #21966=ORIENTED_EDGE('',*,*,#16803,.F.); #21967=ORIENTED_EDGE('',*,*,#16804,.T.); #21968=ORIENTED_EDGE('',*,*,#16805,.F.); #21969=ORIENTED_EDGE('',*,*,#16806,.T.); #21970=ORIENTED_EDGE('',*,*,#16803,.T.); #21971=ORIENTED_EDGE('',*,*,#16806,.F.); #21972=ORIENTED_EDGE('',*,*,#16804,.F.); #21973=ORIENTED_EDGE('',*,*,#16807,.T.); #21974=ORIENTED_EDGE('',*,*,#16808,.F.); #21975=ORIENTED_EDGE('',*,*,#16807,.F.); #21976=ORIENTED_EDGE('',*,*,#16809,.F.); #21977=ORIENTED_EDGE('',*,*,#16810,.T.); #21978=ORIENTED_EDGE('',*,*,#16811,.F.); #21979=ORIENTED_EDGE('',*,*,#16812,.T.); #21980=ORIENTED_EDGE('',*,*,#16809,.T.); #21981=ORIENTED_EDGE('',*,*,#16812,.F.); #21982=ORIENTED_EDGE('',*,*,#16810,.F.); #21983=ORIENTED_EDGE('',*,*,#16813,.T.); #21984=ORIENTED_EDGE('',*,*,#16814,.F.); #21985=ORIENTED_EDGE('',*,*,#16813,.F.); #21986=ORIENTED_EDGE('',*,*,#16815,.F.); #21987=ORIENTED_EDGE('',*,*,#16816,.T.); #21988=ORIENTED_EDGE('',*,*,#16817,.F.); #21989=ORIENTED_EDGE('',*,*,#16816,.F.); #21990=ORIENTED_EDGE('',*,*,#16818,.F.); #21991=ORIENTED_EDGE('',*,*,#16819,.T.); #21992=ORIENTED_EDGE('',*,*,#16820,.T.); #21993=ORIENTED_EDGE('',*,*,#16819,.F.); #21994=ORIENTED_EDGE('',*,*,#16820,.F.); #21995=ORIENTED_EDGE('',*,*,#16815,.T.); #21996=ORIENTED_EDGE('',*,*,#16821,.F.); #21997=ORIENTED_EDGE('',*,*,#16822,.T.); #21998=ORIENTED_EDGE('',*,*,#16823,.F.); #21999=ORIENTED_EDGE('',*,*,#16824,.T.); #22000=ORIENTED_EDGE('',*,*,#16821,.T.); #22001=ORIENTED_EDGE('',*,*,#16824,.F.); #22002=ORIENTED_EDGE('',*,*,#16822,.F.); #22003=ORIENTED_EDGE('',*,*,#16825,.T.); #22004=ORIENTED_EDGE('',*,*,#16826,.F.); #22005=ORIENTED_EDGE('',*,*,#16825,.F.); #22006=ORIENTED_EDGE('',*,*,#16827,.F.); #22007=ORIENTED_EDGE('',*,*,#16828,.T.); #22008=ORIENTED_EDGE('',*,*,#16829,.F.); #22009=ORIENTED_EDGE('',*,*,#16828,.F.); #22010=ORIENTED_EDGE('',*,*,#16830,.F.); #22011=ORIENTED_EDGE('',*,*,#16831,.T.); #22012=ORIENTED_EDGE('',*,*,#16832,.T.); #22013=ORIENTED_EDGE('',*,*,#16831,.F.); #22014=ORIENTED_EDGE('',*,*,#16832,.F.); #22015=ORIENTED_EDGE('',*,*,#16827,.T.); #22016=ORIENTED_EDGE('',*,*,#16833,.F.); #22017=ORIENTED_EDGE('',*,*,#16834,.T.); #22018=ORIENTED_EDGE('',*,*,#16835,.F.); #22019=ORIENTED_EDGE('',*,*,#16836,.T.); #22020=ORIENTED_EDGE('',*,*,#16833,.T.); #22021=ORIENTED_EDGE('',*,*,#16836,.F.); #22022=ORIENTED_EDGE('',*,*,#16834,.F.); #22023=ORIENTED_EDGE('',*,*,#16837,.T.); #22024=ORIENTED_EDGE('',*,*,#16838,.F.); #22025=ORIENTED_EDGE('',*,*,#16837,.F.); #22026=ORIENTED_EDGE('',*,*,#16839,.F.); #22027=ORIENTED_EDGE('',*,*,#16840,.T.); #22028=ORIENTED_EDGE('',*,*,#16841,.F.); #22029=ORIENTED_EDGE('',*,*,#16840,.F.); #22030=ORIENTED_EDGE('',*,*,#16842,.F.); #22031=ORIENTED_EDGE('',*,*,#16843,.T.); #22032=ORIENTED_EDGE('',*,*,#16844,.T.); #22033=ORIENTED_EDGE('',*,*,#16843,.F.); #22034=ORIENTED_EDGE('',*,*,#16844,.F.); #22035=ORIENTED_EDGE('',*,*,#16839,.T.); #22036=ORIENTED_EDGE('',*,*,#16845,.F.); #22037=ORIENTED_EDGE('',*,*,#16846,.T.); #22038=ORIENTED_EDGE('',*,*,#16847,.F.); #22039=ORIENTED_EDGE('',*,*,#16848,.T.); #22040=ORIENTED_EDGE('',*,*,#16845,.T.); #22041=ORIENTED_EDGE('',*,*,#16848,.F.); #22042=ORIENTED_EDGE('',*,*,#16846,.F.); #22043=ORIENTED_EDGE('',*,*,#16849,.T.); #22044=ORIENTED_EDGE('',*,*,#16850,.F.); #22045=ORIENTED_EDGE('',*,*,#16849,.F.); #22046=ORIENTED_EDGE('',*,*,#16851,.F.); #22047=ORIENTED_EDGE('',*,*,#16852,.T.); #22048=ORIENTED_EDGE('',*,*,#16853,.F.); #22049=ORIENTED_EDGE('',*,*,#16852,.F.); #22050=ORIENTED_EDGE('',*,*,#16854,.F.); #22051=ORIENTED_EDGE('',*,*,#16855,.T.); #22052=ORIENTED_EDGE('',*,*,#16856,.T.); #22053=ORIENTED_EDGE('',*,*,#16855,.F.); #22054=ORIENTED_EDGE('',*,*,#16856,.F.); #22055=ORIENTED_EDGE('',*,*,#16851,.T.); #22056=ORIENTED_EDGE('',*,*,#16857,.F.); #22057=ORIENTED_EDGE('',*,*,#16858,.T.); #22058=ORIENTED_EDGE('',*,*,#16859,.F.); #22059=ORIENTED_EDGE('',*,*,#16860,.T.); #22060=ORIENTED_EDGE('',*,*,#16857,.T.); #22061=ORIENTED_EDGE('',*,*,#16860,.F.); #22062=ORIENTED_EDGE('',*,*,#16858,.F.); #22063=ORIENTED_EDGE('',*,*,#16861,.T.); #22064=ORIENTED_EDGE('',*,*,#16862,.F.); #22065=ORIENTED_EDGE('',*,*,#16861,.F.); #22066=ORIENTED_EDGE('',*,*,#16863,.F.); #22067=ORIENTED_EDGE('',*,*,#16864,.T.); #22068=ORIENTED_EDGE('',*,*,#16865,.F.); #22069=ORIENTED_EDGE('',*,*,#16864,.F.); #22070=ORIENTED_EDGE('',*,*,#16866,.F.); #22071=ORIENTED_EDGE('',*,*,#16867,.T.); #22072=ORIENTED_EDGE('',*,*,#16868,.T.); #22073=ORIENTED_EDGE('',*,*,#16867,.F.); #22074=ORIENTED_EDGE('',*,*,#16868,.F.); #22075=ORIENTED_EDGE('',*,*,#16863,.T.); #22076=ORIENTED_EDGE('',*,*,#16869,.F.); #22077=ORIENTED_EDGE('',*,*,#16870,.T.); #22078=ORIENTED_EDGE('',*,*,#16871,.F.); #22079=ORIENTED_EDGE('',*,*,#16872,.T.); #22080=ORIENTED_EDGE('',*,*,#16869,.T.); #22081=ORIENTED_EDGE('',*,*,#16872,.F.); #22082=ORIENTED_EDGE('',*,*,#16870,.F.); #22083=ORIENTED_EDGE('',*,*,#16873,.T.); #22084=ORIENTED_EDGE('',*,*,#16874,.F.); #22085=ORIENTED_EDGE('',*,*,#16873,.F.); #22086=ORIENTED_EDGE('',*,*,#16875,.F.); #22087=ORIENTED_EDGE('',*,*,#16876,.T.); #22088=ORIENTED_EDGE('',*,*,#16877,.F.); #22089=ORIENTED_EDGE('',*,*,#16876,.F.); #22090=ORIENTED_EDGE('',*,*,#16878,.F.); #22091=ORIENTED_EDGE('',*,*,#16879,.T.); #22092=ORIENTED_EDGE('',*,*,#16880,.T.); #22093=ORIENTED_EDGE('',*,*,#16879,.F.); #22094=ORIENTED_EDGE('',*,*,#16880,.F.); #22095=ORIENTED_EDGE('',*,*,#16875,.T.); #22096=ORIENTED_EDGE('',*,*,#16881,.F.); #22097=ORIENTED_EDGE('',*,*,#16882,.T.); #22098=ORIENTED_EDGE('',*,*,#16883,.F.); #22099=ORIENTED_EDGE('',*,*,#16884,.T.); #22100=ORIENTED_EDGE('',*,*,#16881,.T.); #22101=ORIENTED_EDGE('',*,*,#16884,.F.); #22102=ORIENTED_EDGE('',*,*,#16882,.F.); #22103=ORIENTED_EDGE('',*,*,#16885,.T.); #22104=ORIENTED_EDGE('',*,*,#16886,.F.); #22105=ORIENTED_EDGE('',*,*,#16885,.F.); #22106=ORIENTED_EDGE('',*,*,#16887,.F.); #22107=ORIENTED_EDGE('',*,*,#16888,.T.); #22108=ORIENTED_EDGE('',*,*,#16889,.F.); #22109=ORIENTED_EDGE('',*,*,#16888,.F.); #22110=ORIENTED_EDGE('',*,*,#16890,.F.); #22111=ORIENTED_EDGE('',*,*,#16891,.T.); #22112=ORIENTED_EDGE('',*,*,#16892,.T.); #22113=ORIENTED_EDGE('',*,*,#16891,.F.); #22114=ORIENTED_EDGE('',*,*,#16892,.F.); #22115=ORIENTED_EDGE('',*,*,#16887,.T.); #22116=ORIENTED_EDGE('',*,*,#16893,.T.); #22117=ORIENTED_EDGE('',*,*,#16894,.F.); #22118=ORIENTED_EDGE('',*,*,#16895,.F.); #22119=ORIENTED_EDGE('',*,*,#16896,.T.); #22120=ORIENTED_EDGE('',*,*,#16893,.F.); #22121=ORIENTED_EDGE('',*,*,#16896,.F.); #22122=ORIENTED_EDGE('',*,*,#16894,.T.); #22123=ORIENTED_EDGE('',*,*,#16897,.T.); #22124=ORIENTED_EDGE('',*,*,#16898,.F.); #22125=ORIENTED_EDGE('',*,*,#16897,.F.); #22126=ORIENTED_EDGE('',*,*,#16899,.T.); #22127=ORIENTED_EDGE('',*,*,#16900,.F.); #22128=ORIENTED_EDGE('',*,*,#16901,.F.); #22129=ORIENTED_EDGE('',*,*,#16902,.T.); #22130=ORIENTED_EDGE('',*,*,#16899,.F.); #22131=ORIENTED_EDGE('',*,*,#16902,.F.); #22132=ORIENTED_EDGE('',*,*,#16900,.T.); #22133=ORIENTED_EDGE('',*,*,#16903,.T.); #22134=ORIENTED_EDGE('',*,*,#16904,.F.); #22135=ORIENTED_EDGE('',*,*,#16903,.F.); #22136=ORIENTED_EDGE('',*,*,#16905,.T.); #22137=ORIENTED_EDGE('',*,*,#16906,.F.); #22138=ORIENTED_EDGE('',*,*,#16907,.F.); #22139=ORIENTED_EDGE('',*,*,#16908,.T.); #22140=ORIENTED_EDGE('',*,*,#16905,.F.); #22141=ORIENTED_EDGE('',*,*,#16908,.F.); #22142=ORIENTED_EDGE('',*,*,#16906,.T.); #22143=ORIENTED_EDGE('',*,*,#16909,.T.); #22144=ORIENTED_EDGE('',*,*,#16910,.F.); #22145=ORIENTED_EDGE('',*,*,#16909,.F.); #22146=ORIENTED_EDGE('',*,*,#16911,.T.); #22147=ORIENTED_EDGE('',*,*,#16912,.F.); #22148=ORIENTED_EDGE('',*,*,#16913,.F.); #22149=ORIENTED_EDGE('',*,*,#16914,.T.); #22150=ORIENTED_EDGE('',*,*,#16911,.F.); #22151=ORIENTED_EDGE('',*,*,#16914,.F.); #22152=ORIENTED_EDGE('',*,*,#16912,.T.); #22153=ORIENTED_EDGE('',*,*,#16915,.T.); #22154=ORIENTED_EDGE('',*,*,#16916,.F.); #22155=ORIENTED_EDGE('',*,*,#16915,.F.); #22156=ORIENTED_EDGE('',*,*,#16917,.T.); #22157=ORIENTED_EDGE('',*,*,#16918,.F.); #22158=ORIENTED_EDGE('',*,*,#16919,.F.); #22159=ORIENTED_EDGE('',*,*,#16920,.T.); #22160=ORIENTED_EDGE('',*,*,#16917,.F.); #22161=ORIENTED_EDGE('',*,*,#16920,.F.); #22162=ORIENTED_EDGE('',*,*,#16918,.T.); #22163=ORIENTED_EDGE('',*,*,#16921,.T.); #22164=ORIENTED_EDGE('',*,*,#16922,.F.); #22165=ORIENTED_EDGE('',*,*,#16921,.F.); #22166=ORIENTED_EDGE('',*,*,#16923,.T.); #22167=ORIENTED_EDGE('',*,*,#16924,.F.); #22168=ORIENTED_EDGE('',*,*,#16925,.F.); #22169=ORIENTED_EDGE('',*,*,#16926,.T.); #22170=ORIENTED_EDGE('',*,*,#16923,.F.); #22171=ORIENTED_EDGE('',*,*,#16926,.F.); #22172=ORIENTED_EDGE('',*,*,#16924,.T.); #22173=ORIENTED_EDGE('',*,*,#16927,.T.); #22174=ORIENTED_EDGE('',*,*,#16928,.F.); #22175=ORIENTED_EDGE('',*,*,#16927,.F.); #22176=ORIENTED_EDGE('',*,*,#16929,.T.); #22177=ORIENTED_EDGE('',*,*,#16930,.F.); #22178=ORIENTED_EDGE('',*,*,#16931,.F.); #22179=ORIENTED_EDGE('',*,*,#16932,.T.); #22180=ORIENTED_EDGE('',*,*,#16929,.F.); #22181=ORIENTED_EDGE('',*,*,#16932,.F.); #22182=ORIENTED_EDGE('',*,*,#16930,.T.); #22183=ORIENTED_EDGE('',*,*,#16933,.T.); #22184=ORIENTED_EDGE('',*,*,#16934,.F.); #22185=ORIENTED_EDGE('',*,*,#16933,.F.); #22186=ORIENTED_EDGE('',*,*,#16935,.T.); #22187=ORIENTED_EDGE('',*,*,#16936,.F.); #22188=ORIENTED_EDGE('',*,*,#16937,.F.); #22189=ORIENTED_EDGE('',*,*,#16938,.T.); #22190=ORIENTED_EDGE('',*,*,#16935,.F.); #22191=ORIENTED_EDGE('',*,*,#16938,.F.); #22192=ORIENTED_EDGE('',*,*,#16936,.T.); #22193=ORIENTED_EDGE('',*,*,#16939,.T.); #22194=ORIENTED_EDGE('',*,*,#16940,.F.); #22195=ORIENTED_EDGE('',*,*,#16939,.F.); #22196=ORIENTED_EDGE('',*,*,#16941,.T.); #22197=ORIENTED_EDGE('',*,*,#16942,.F.); #22198=ORIENTED_EDGE('',*,*,#16943,.F.); #22199=ORIENTED_EDGE('',*,*,#16944,.T.); #22200=ORIENTED_EDGE('',*,*,#16941,.F.); #22201=ORIENTED_EDGE('',*,*,#16944,.F.); #22202=ORIENTED_EDGE('',*,*,#16942,.T.); #22203=ORIENTED_EDGE('',*,*,#16945,.T.); #22204=ORIENTED_EDGE('',*,*,#16946,.F.); #22205=ORIENTED_EDGE('',*,*,#16945,.F.); #22206=ORIENTED_EDGE('',*,*,#16947,.T.); #22207=ORIENTED_EDGE('',*,*,#16948,.F.); #22208=ORIENTED_EDGE('',*,*,#16949,.F.); #22209=ORIENTED_EDGE('',*,*,#16950,.T.); #22210=ORIENTED_EDGE('',*,*,#16947,.F.); #22211=ORIENTED_EDGE('',*,*,#16950,.F.); #22212=ORIENTED_EDGE('',*,*,#16948,.T.); #22213=ORIENTED_EDGE('',*,*,#16951,.T.); #22214=ORIENTED_EDGE('',*,*,#16952,.F.); #22215=ORIENTED_EDGE('',*,*,#16951,.F.); #22216=ORIENTED_EDGE('',*,*,#16953,.T.); #22217=ORIENTED_EDGE('',*,*,#16954,.F.); #22218=ORIENTED_EDGE('',*,*,#16955,.F.); #22219=ORIENTED_EDGE('',*,*,#16956,.T.); #22220=ORIENTED_EDGE('',*,*,#16953,.F.); #22221=ORIENTED_EDGE('',*,*,#16956,.F.); #22222=ORIENTED_EDGE('',*,*,#16954,.T.); #22223=ORIENTED_EDGE('',*,*,#16957,.T.); #22224=ORIENTED_EDGE('',*,*,#16958,.F.); #22225=ORIENTED_EDGE('',*,*,#16957,.F.); #22226=ORIENTED_EDGE('',*,*,#16959,.T.); #22227=ORIENTED_EDGE('',*,*,#16960,.F.); #22228=ORIENTED_EDGE('',*,*,#16961,.F.); #22229=ORIENTED_EDGE('',*,*,#16962,.T.); #22230=ORIENTED_EDGE('',*,*,#16959,.F.); #22231=ORIENTED_EDGE('',*,*,#16962,.F.); #22232=ORIENTED_EDGE('',*,*,#16960,.T.); #22233=ORIENTED_EDGE('',*,*,#16963,.T.); #22234=ORIENTED_EDGE('',*,*,#16964,.F.); #22235=ORIENTED_EDGE('',*,*,#16963,.F.); #22236=ORIENTED_EDGE('',*,*,#16965,.T.); #22237=ORIENTED_EDGE('',*,*,#16966,.F.); #22238=ORIENTED_EDGE('',*,*,#16967,.F.); #22239=ORIENTED_EDGE('',*,*,#16968,.T.); #22240=ORIENTED_EDGE('',*,*,#16965,.F.); #22241=ORIENTED_EDGE('',*,*,#16968,.F.); #22242=ORIENTED_EDGE('',*,*,#16966,.T.); #22243=ORIENTED_EDGE('',*,*,#16969,.T.); #22244=ORIENTED_EDGE('',*,*,#16970,.F.); #22245=ORIENTED_EDGE('',*,*,#16969,.F.); #22246=ORIENTED_EDGE('',*,*,#16971,.T.); #22247=ORIENTED_EDGE('',*,*,#16972,.F.); #22248=ORIENTED_EDGE('',*,*,#16973,.F.); #22249=ORIENTED_EDGE('',*,*,#16974,.T.); #22250=ORIENTED_EDGE('',*,*,#16971,.F.); #22251=ORIENTED_EDGE('',*,*,#16974,.F.); #22252=ORIENTED_EDGE('',*,*,#16972,.T.); #22253=ORIENTED_EDGE('',*,*,#16975,.T.); #22254=ORIENTED_EDGE('',*,*,#16976,.F.); #22255=ORIENTED_EDGE('',*,*,#16975,.F.); #22256=ORIENTED_EDGE('',*,*,#16977,.T.); #22257=ORIENTED_EDGE('',*,*,#16978,.F.); #22258=ORIENTED_EDGE('',*,*,#16979,.F.); #22259=ORIENTED_EDGE('',*,*,#16980,.T.); #22260=ORIENTED_EDGE('',*,*,#16977,.F.); #22261=ORIENTED_EDGE('',*,*,#16980,.F.); #22262=ORIENTED_EDGE('',*,*,#16978,.T.); #22263=ORIENTED_EDGE('',*,*,#16981,.T.); #22264=ORIENTED_EDGE('',*,*,#16982,.F.); #22265=ORIENTED_EDGE('',*,*,#16981,.F.); #22266=ORIENTED_EDGE('',*,*,#16983,.F.); #22267=ORIENTED_EDGE('',*,*,#16984,.T.); #22268=ORIENTED_EDGE('',*,*,#16985,.F.); #22269=ORIENTED_EDGE('',*,*,#16984,.F.); #22270=ORIENTED_EDGE('',*,*,#16986,.F.); #22271=ORIENTED_EDGE('',*,*,#16987,.T.); #22272=ORIENTED_EDGE('',*,*,#16988,.F.); #22273=ORIENTED_EDGE('',*,*,#16987,.F.); #22274=ORIENTED_EDGE('',*,*,#16989,.T.); #22275=ORIENTED_EDGE('',*,*,#16990,.T.); #22276=ORIENTED_EDGE('',*,*,#16990,.F.); #22277=ORIENTED_EDGE('',*,*,#16991,.F.); #22278=ORIENTED_EDGE('',*,*,#16992,.T.); #22279=ORIENTED_EDGE('',*,*,#16989,.F.); #22280=ORIENTED_EDGE('',*,*,#16992,.F.); #22281=ORIENTED_EDGE('',*,*,#16993,.T.); #22282=ORIENTED_EDGE('',*,*,#16994,.T.); #22283=ORIENTED_EDGE('',*,*,#16994,.F.); #22284=ORIENTED_EDGE('',*,*,#16995,.F.); #22285=ORIENTED_EDGE('',*,*,#16996,.T.); #22286=ORIENTED_EDGE('',*,*,#16993,.F.); #22287=ORIENTED_EDGE('',*,*,#16996,.F.); #22288=ORIENTED_EDGE('',*,*,#16997,.T.); #22289=ORIENTED_EDGE('',*,*,#16998,.T.); #22290=ORIENTED_EDGE('',*,*,#16998,.F.); #22291=ORIENTED_EDGE('',*,*,#16999,.F.); #22292=ORIENTED_EDGE('',*,*,#17000,.T.); #22293=ORIENTED_EDGE('',*,*,#16997,.F.); #22294=ORIENTED_EDGE('',*,*,#17000,.F.); #22295=ORIENTED_EDGE('',*,*,#17001,.T.); #22296=ORIENTED_EDGE('',*,*,#17002,.T.); #22297=ORIENTED_EDGE('',*,*,#17002,.F.); #22298=ORIENTED_EDGE('',*,*,#17003,.F.); #22299=ORIENTED_EDGE('',*,*,#17004,.T.); #22300=ORIENTED_EDGE('',*,*,#17001,.F.); #22301=ORIENTED_EDGE('',*,*,#17004,.F.); #22302=ORIENTED_EDGE('',*,*,#17005,.T.); #22303=ORIENTED_EDGE('',*,*,#17006,.T.); #22304=ORIENTED_EDGE('',*,*,#17006,.F.); #22305=ORIENTED_EDGE('',*,*,#17007,.F.); #22306=ORIENTED_EDGE('',*,*,#17008,.T.); #22307=ORIENTED_EDGE('',*,*,#17005,.F.); #22308=ORIENTED_EDGE('',*,*,#17008,.F.); #22309=ORIENTED_EDGE('',*,*,#17009,.T.); #22310=ORIENTED_EDGE('',*,*,#17010,.T.); #22311=ORIENTED_EDGE('',*,*,#17010,.F.); #22312=ORIENTED_EDGE('',*,*,#17011,.F.); #22313=ORIENTED_EDGE('',*,*,#17012,.T.); #22314=ORIENTED_EDGE('',*,*,#17009,.F.); #22315=ORIENTED_EDGE('',*,*,#17012,.F.); #22316=ORIENTED_EDGE('',*,*,#17013,.T.); #22317=ORIENTED_EDGE('',*,*,#17014,.T.); #22318=ORIENTED_EDGE('',*,*,#17014,.F.); #22319=ORIENTED_EDGE('',*,*,#17015,.F.); #22320=ORIENTED_EDGE('',*,*,#17016,.T.); #22321=ORIENTED_EDGE('',*,*,#17013,.F.); #22322=ORIENTED_EDGE('',*,*,#17016,.F.); #22323=ORIENTED_EDGE('',*,*,#17017,.T.); #22324=ORIENTED_EDGE('',*,*,#17018,.T.); #22325=ORIENTED_EDGE('',*,*,#17018,.F.); #22326=ORIENTED_EDGE('',*,*,#17019,.F.); #22327=ORIENTED_EDGE('',*,*,#17020,.T.); #22328=ORIENTED_EDGE('',*,*,#17017,.F.); #22329=ORIENTED_EDGE('',*,*,#17020,.F.); #22330=ORIENTED_EDGE('',*,*,#17021,.T.); #22331=ORIENTED_EDGE('',*,*,#17022,.T.); #22332=ORIENTED_EDGE('',*,*,#17022,.F.); #22333=ORIENTED_EDGE('',*,*,#17023,.F.); #22334=ORIENTED_EDGE('',*,*,#17024,.T.); #22335=ORIENTED_EDGE('',*,*,#17021,.F.); #22336=ORIENTED_EDGE('',*,*,#17024,.F.); #22337=ORIENTED_EDGE('',*,*,#17025,.T.); #22338=ORIENTED_EDGE('',*,*,#17026,.T.); #22339=ORIENTED_EDGE('',*,*,#17026,.F.); #22340=ORIENTED_EDGE('',*,*,#17027,.F.); #22341=ORIENTED_EDGE('',*,*,#17028,.T.); #22342=ORIENTED_EDGE('',*,*,#17025,.F.); #22343=ORIENTED_EDGE('',*,*,#17028,.F.); #22344=ORIENTED_EDGE('',*,*,#17029,.T.); #22345=ORIENTED_EDGE('',*,*,#17030,.T.); #22346=ORIENTED_EDGE('',*,*,#17030,.F.); #22347=ORIENTED_EDGE('',*,*,#17031,.F.); #22348=ORIENTED_EDGE('',*,*,#17032,.T.); #22349=ORIENTED_EDGE('',*,*,#17029,.F.); #22350=ORIENTED_EDGE('',*,*,#17032,.F.); #22351=ORIENTED_EDGE('',*,*,#17033,.T.); #22352=ORIENTED_EDGE('',*,*,#17034,.T.); #22353=ORIENTED_EDGE('',*,*,#17034,.F.); #22354=ORIENTED_EDGE('',*,*,#17035,.F.); #22355=ORIENTED_EDGE('',*,*,#17036,.T.); #22356=ORIENTED_EDGE('',*,*,#17033,.F.); #22357=ORIENTED_EDGE('',*,*,#17036,.F.); #22358=ORIENTED_EDGE('',*,*,#17037,.T.); #22359=ORIENTED_EDGE('',*,*,#17038,.T.); #22360=ORIENTED_EDGE('',*,*,#17038,.F.); #22361=ORIENTED_EDGE('',*,*,#17039,.F.); #22362=ORIENTED_EDGE('',*,*,#17040,.T.); #22363=ORIENTED_EDGE('',*,*,#17037,.F.); #22364=ORIENTED_EDGE('',*,*,#17040,.F.); #22365=ORIENTED_EDGE('',*,*,#17041,.T.); #22366=ORIENTED_EDGE('',*,*,#17042,.T.); #22367=ORIENTED_EDGE('',*,*,#17042,.F.); #22368=ORIENTED_EDGE('',*,*,#17043,.F.); #22369=ORIENTED_EDGE('',*,*,#17044,.T.); #22370=ORIENTED_EDGE('',*,*,#17041,.F.); #22371=ORIENTED_EDGE('',*,*,#17044,.F.); #22372=ORIENTED_EDGE('',*,*,#17045,.T.); #22373=ORIENTED_EDGE('',*,*,#17046,.T.); #22374=ORIENTED_EDGE('',*,*,#17046,.F.); #22375=ORIENTED_EDGE('',*,*,#17047,.F.); #22376=ORIENTED_EDGE('',*,*,#17048,.T.); #22377=ORIENTED_EDGE('',*,*,#17045,.F.); #22378=ORIENTED_EDGE('',*,*,#17048,.F.); #22379=ORIENTED_EDGE('',*,*,#17049,.T.); #22380=ORIENTED_EDGE('',*,*,#17050,.T.); #22381=ORIENTED_EDGE('',*,*,#17050,.F.); #22382=ORIENTED_EDGE('',*,*,#17051,.F.); #22383=ORIENTED_EDGE('',*,*,#17052,.T.); #22384=ORIENTED_EDGE('',*,*,#17049,.F.); #22385=ORIENTED_EDGE('',*,*,#17052,.F.); #22386=ORIENTED_EDGE('',*,*,#17053,.T.); #22387=ORIENTED_EDGE('',*,*,#17054,.T.); #22388=ORIENTED_EDGE('',*,*,#17054,.F.); #22389=ORIENTED_EDGE('',*,*,#17055,.F.); #22390=ORIENTED_EDGE('',*,*,#17056,.T.); #22391=ORIENTED_EDGE('',*,*,#17053,.F.); #22392=ORIENTED_EDGE('',*,*,#17056,.F.); #22393=ORIENTED_EDGE('',*,*,#17057,.T.); #22394=ORIENTED_EDGE('',*,*,#17058,.T.); #22395=ORIENTED_EDGE('',*,*,#17058,.F.); #22396=ORIENTED_EDGE('',*,*,#17059,.F.); #22397=ORIENTED_EDGE('',*,*,#17060,.T.); #22398=ORIENTED_EDGE('',*,*,#17057,.F.); #22399=ORIENTED_EDGE('',*,*,#17060,.F.); #22400=ORIENTED_EDGE('',*,*,#17061,.T.); #22401=ORIENTED_EDGE('',*,*,#17062,.T.); #22402=ORIENTED_EDGE('',*,*,#17062,.F.); #22403=ORIENTED_EDGE('',*,*,#17063,.F.); #22404=ORIENTED_EDGE('',*,*,#17064,.T.); #22405=ORIENTED_EDGE('',*,*,#17061,.F.); #22406=ORIENTED_EDGE('',*,*,#17064,.F.); #22407=ORIENTED_EDGE('',*,*,#17065,.T.); #22408=ORIENTED_EDGE('',*,*,#17066,.T.); #22409=ORIENTED_EDGE('',*,*,#17066,.F.); #22410=ORIENTED_EDGE('',*,*,#17067,.F.); #22411=ORIENTED_EDGE('',*,*,#17068,.T.); #22412=ORIENTED_EDGE('',*,*,#17065,.F.); #22413=ORIENTED_EDGE('',*,*,#17068,.F.); #22414=ORIENTED_EDGE('',*,*,#17069,.T.); #22415=ORIENTED_EDGE('',*,*,#17070,.T.); #22416=ORIENTED_EDGE('',*,*,#17070,.F.); #22417=ORIENTED_EDGE('',*,*,#17071,.F.); #22418=ORIENTED_EDGE('',*,*,#17072,.T.); #22419=ORIENTED_EDGE('',*,*,#17069,.F.); #22420=ORIENTED_EDGE('',*,*,#17072,.F.); #22421=ORIENTED_EDGE('',*,*,#17073,.F.); #22422=ORIENTED_EDGE('',*,*,#17074,.T.); #22423=ORIENTED_EDGE('',*,*,#17075,.F.); #22424=ORIENTED_EDGE('',*,*,#17074,.F.); #22425=ORIENTED_EDGE('',*,*,#17076,.F.); #22426=ORIENTED_EDGE('',*,*,#17077,.T.); #22427=ORIENTED_EDGE('',*,*,#17078,.F.); #22428=ORIENTED_EDGE('',*,*,#17077,.F.); #22429=ORIENTED_EDGE('',*,*,#17079,.F.); #22430=ORIENTED_EDGE('',*,*,#17080,.T.); #22431=ORIENTED_EDGE('',*,*,#17081,.F.); #22432=ORIENTED_EDGE('',*,*,#17080,.F.); #22433=ORIENTED_EDGE('',*,*,#17082,.F.); #22434=ORIENTED_EDGE('',*,*,#17083,.T.); #22435=ORIENTED_EDGE('',*,*,#17084,.F.); #22436=ORIENTED_EDGE('',*,*,#17083,.F.); #22437=ORIENTED_EDGE('',*,*,#17085,.F.); #22438=ORIENTED_EDGE('',*,*,#17086,.T.); #22439=ORIENTED_EDGE('',*,*,#17087,.F.); #22440=ORIENTED_EDGE('',*,*,#17086,.F.); #22441=ORIENTED_EDGE('',*,*,#17088,.F.); #22442=ORIENTED_EDGE('',*,*,#17089,.T.); #22443=ORIENTED_EDGE('',*,*,#17090,.F.); #22444=ORIENTED_EDGE('',*,*,#17089,.F.); #22445=ORIENTED_EDGE('',*,*,#17091,.F.); #22446=ORIENTED_EDGE('',*,*,#17092,.T.); #22447=ORIENTED_EDGE('',*,*,#17093,.F.); #22448=ORIENTED_EDGE('',*,*,#17092,.F.); #22449=ORIENTED_EDGE('',*,*,#17094,.F.); #22450=ORIENTED_EDGE('',*,*,#17095,.T.); #22451=ORIENTED_EDGE('',*,*,#17096,.F.); #22452=ORIENTED_EDGE('',*,*,#17095,.F.); #22453=ORIENTED_EDGE('',*,*,#17097,.F.); #22454=ORIENTED_EDGE('',*,*,#17098,.T.); #22455=ORIENTED_EDGE('',*,*,#17099,.F.); #22456=ORIENTED_EDGE('',*,*,#17098,.F.); #22457=ORIENTED_EDGE('',*,*,#17100,.F.); #22458=ORIENTED_EDGE('',*,*,#17101,.T.); #22459=ORIENTED_EDGE('',*,*,#17102,.F.); #22460=ORIENTED_EDGE('',*,*,#17101,.F.); #22461=ORIENTED_EDGE('',*,*,#17103,.T.); #22462=ORIENTED_EDGE('',*,*,#17104,.T.); #22463=ORIENTED_EDGE('',*,*,#17105,.T.); #22464=ORIENTED_EDGE('',*,*,#17106,.T.); #22465=ORIENTED_EDGE('',*,*,#17107,.T.); #22466=ORIENTED_EDGE('',*,*,#17108,.T.); #22467=ORIENTED_EDGE('',*,*,#17105,.F.); #22468=ORIENTED_EDGE('',*,*,#17109,.T.); #22469=ORIENTED_EDGE('',*,*,#17110,.T.); #22470=ORIENTED_EDGE('',*,*,#17111,.T.); #22471=ORIENTED_EDGE('',*,*,#17107,.F.); #22472=ORIENTED_EDGE('',*,*,#17112,.T.); #22473=ORIENTED_EDGE('',*,*,#17113,.T.); #22474=ORIENTED_EDGE('',*,*,#17114,.T.); #22475=ORIENTED_EDGE('',*,*,#17110,.F.); #22476=ORIENTED_EDGE('',*,*,#17115,.T.); #22477=ORIENTED_EDGE('',*,*,#17116,.T.); #22478=ORIENTED_EDGE('',*,*,#17117,.T.); #22479=ORIENTED_EDGE('',*,*,#17113,.F.); #22480=ORIENTED_EDGE('',*,*,#17118,.T.); #22481=ORIENTED_EDGE('',*,*,#17119,.T.); #22482=ORIENTED_EDGE('',*,*,#17120,.T.); #22483=ORIENTED_EDGE('',*,*,#17116,.F.); #22484=ORIENTED_EDGE('',*,*,#17121,.T.); #22485=ORIENTED_EDGE('',*,*,#17122,.T.); #22486=ORIENTED_EDGE('',*,*,#17123,.T.); #22487=ORIENTED_EDGE('',*,*,#17119,.F.); #22488=ORIENTED_EDGE('',*,*,#17124,.T.); #22489=ORIENTED_EDGE('',*,*,#17103,.F.); #22490=ORIENTED_EDGE('',*,*,#17125,.T.); #22491=ORIENTED_EDGE('',*,*,#17122,.F.); #22492=ORIENTED_EDGE('',*,*,#17126,.T.); #22493=ORIENTED_EDGE('',*,*,#17115,.F.); #22494=ORIENTED_EDGE('',*,*,#17127,.T.); #22495=ORIENTED_EDGE('',*,*,#17128,.F.); #22496=ORIENTED_EDGE('',*,*,#17129,.F.); #22497=ORIENTED_EDGE('',*,*,#17112,.F.); #22498=ORIENTED_EDGE('',*,*,#17130,.T.); #22499=ORIENTED_EDGE('',*,*,#17131,.F.); #22500=ORIENTED_EDGE('',*,*,#17127,.F.); #22501=ORIENTED_EDGE('',*,*,#17109,.F.); #22502=ORIENTED_EDGE('',*,*,#17132,.T.); #22503=ORIENTED_EDGE('',*,*,#17133,.F.); #22504=ORIENTED_EDGE('',*,*,#17130,.F.); #22505=ORIENTED_EDGE('',*,*,#17104,.F.); #22506=ORIENTED_EDGE('',*,*,#17134,.T.); #22507=ORIENTED_EDGE('',*,*,#17135,.F.); #22508=ORIENTED_EDGE('',*,*,#17132,.F.); #22509=ORIENTED_EDGE('',*,*,#17136,.T.); #22510=ORIENTED_EDGE('',*,*,#17137,.T.); #22511=ORIENTED_EDGE('',*,*,#17138,.T.); #22512=ORIENTED_EDGE('',*,*,#17139,.T.); #22513=ORIENTED_EDGE('',*,*,#17140,.T.); #22514=ORIENTED_EDGE('',*,*,#17141,.T.); #22515=ORIENTED_EDGE('',*,*,#17142,.T.); #22516=ORIENTED_EDGE('',*,*,#17143,.T.); #22517=ORIENTED_EDGE('',*,*,#17106,.F.); #22518=ORIENTED_EDGE('',*,*,#17108,.F.); #22519=ORIENTED_EDGE('',*,*,#17111,.F.); #22520=ORIENTED_EDGE('',*,*,#17114,.F.); #22521=ORIENTED_EDGE('',*,*,#17117,.F.); #22522=ORIENTED_EDGE('',*,*,#17120,.F.); #22523=ORIENTED_EDGE('',*,*,#17123,.F.); #22524=ORIENTED_EDGE('',*,*,#17125,.F.); #22525=ORIENTED_EDGE('',*,*,#17118,.F.); #22526=ORIENTED_EDGE('',*,*,#17129,.T.); #22527=ORIENTED_EDGE('',*,*,#17144,.F.); #22528=ORIENTED_EDGE('',*,*,#17145,.F.); #22529=ORIENTED_EDGE('',*,*,#17121,.F.); #22530=ORIENTED_EDGE('',*,*,#17145,.T.); #22531=ORIENTED_EDGE('',*,*,#17146,.F.); #22532=ORIENTED_EDGE('',*,*,#17147,.F.); #22533=ORIENTED_EDGE('',*,*,#17124,.F.); #22534=ORIENTED_EDGE('',*,*,#17147,.T.); #22535=ORIENTED_EDGE('',*,*,#17148,.F.); #22536=ORIENTED_EDGE('',*,*,#17149,.F.); #22537=ORIENTED_EDGE('',*,*,#17126,.F.); #22538=ORIENTED_EDGE('',*,*,#17149,.T.); #22539=ORIENTED_EDGE('',*,*,#17150,.F.); #22540=ORIENTED_EDGE('',*,*,#17134,.F.); #22541=ORIENTED_EDGE('',*,*,#17151,.F.); #22542=ORIENTED_EDGE('',*,*,#17152,.T.); #22543=ORIENTED_EDGE('',*,*,#17153,.F.); #22544=ORIENTED_EDGE('',*,*,#17152,.F.); #22545=ORIENTED_EDGE('',*,*,#17154,.F.); #22546=ORIENTED_EDGE('',*,*,#17155,.T.); #22547=ORIENTED_EDGE('',*,*,#17156,.F.); #22548=ORIENTED_EDGE('',*,*,#17155,.F.); #22549=ORIENTED_EDGE('',*,*,#17157,.F.); #22550=ORIENTED_EDGE('',*,*,#17158,.T.); #22551=ORIENTED_EDGE('',*,*,#17159,.F.); #22552=ORIENTED_EDGE('',*,*,#17158,.F.); #22553=ORIENTED_EDGE('',*,*,#17160,.F.); #22554=ORIENTED_EDGE('',*,*,#17161,.T.); #22555=ORIENTED_EDGE('',*,*,#17162,.F.); #22556=ORIENTED_EDGE('',*,*,#17161,.F.); #22557=ORIENTED_EDGE('',*,*,#17163,.F.); #22558=ORIENTED_EDGE('',*,*,#17164,.T.); #22559=ORIENTED_EDGE('',*,*,#17165,.F.); #22560=ORIENTED_EDGE('',*,*,#17164,.F.); #22561=ORIENTED_EDGE('',*,*,#17166,.F.); #22562=ORIENTED_EDGE('',*,*,#17167,.T.); #22563=ORIENTED_EDGE('',*,*,#17168,.F.); #22564=ORIENTED_EDGE('',*,*,#17167,.F.); #22565=ORIENTED_EDGE('',*,*,#17169,.F.); #22566=ORIENTED_EDGE('',*,*,#17170,.T.); #22567=ORIENTED_EDGE('',*,*,#17171,.F.); #22568=ORIENTED_EDGE('',*,*,#17170,.F.); #22569=ORIENTED_EDGE('',*,*,#17172,.F.); #22570=ORIENTED_EDGE('',*,*,#17173,.T.); #22571=ORIENTED_EDGE('',*,*,#17174,.F.); #22572=ORIENTED_EDGE('',*,*,#17173,.F.); #22573=ORIENTED_EDGE('',*,*,#17175,.F.); #22574=ORIENTED_EDGE('',*,*,#17176,.T.); #22575=ORIENTED_EDGE('',*,*,#17177,.F.); #22576=ORIENTED_EDGE('',*,*,#17176,.F.); #22577=ORIENTED_EDGE('',*,*,#17178,.F.); #22578=ORIENTED_EDGE('',*,*,#17179,.T.); #22579=ORIENTED_EDGE('',*,*,#17180,.F.); #22580=ORIENTED_EDGE('',*,*,#17179,.F.); #22581=ORIENTED_EDGE('',*,*,#17181,.F.); #22582=ORIENTED_EDGE('',*,*,#17182,.T.); #22583=ORIENTED_EDGE('',*,*,#17183,.F.); #22584=ORIENTED_EDGE('',*,*,#17182,.F.); #22585=ORIENTED_EDGE('',*,*,#17184,.F.); #22586=ORIENTED_EDGE('',*,*,#17185,.T.); #22587=ORIENTED_EDGE('',*,*,#17186,.F.); #22588=ORIENTED_EDGE('',*,*,#17185,.F.); #22589=ORIENTED_EDGE('',*,*,#17187,.F.); #22590=ORIENTED_EDGE('',*,*,#17188,.T.); #22591=ORIENTED_EDGE('',*,*,#17189,.F.); #22592=ORIENTED_EDGE('',*,*,#17188,.F.); #22593=ORIENTED_EDGE('',*,*,#17190,.F.); #22594=ORIENTED_EDGE('',*,*,#17191,.T.); #22595=ORIENTED_EDGE('',*,*,#17192,.F.); #22596=ORIENTED_EDGE('',*,*,#17191,.F.); #22597=ORIENTED_EDGE('',*,*,#17193,.F.); #22598=ORIENTED_EDGE('',*,*,#17194,.T.); #22599=ORIENTED_EDGE('',*,*,#17195,.F.); #22600=ORIENTED_EDGE('',*,*,#17194,.F.); #22601=ORIENTED_EDGE('',*,*,#17196,.F.); #22602=ORIENTED_EDGE('',*,*,#17197,.T.); #22603=ORIENTED_EDGE('',*,*,#17198,.F.); #22604=ORIENTED_EDGE('',*,*,#17197,.F.); #22605=ORIENTED_EDGE('',*,*,#17199,.F.); #22606=ORIENTED_EDGE('',*,*,#17200,.T.); #22607=ORIENTED_EDGE('',*,*,#17201,.F.); #22608=ORIENTED_EDGE('',*,*,#17200,.F.); #22609=ORIENTED_EDGE('',*,*,#17202,.F.); #22610=ORIENTED_EDGE('',*,*,#17203,.T.); #22611=ORIENTED_EDGE('',*,*,#17204,.F.); #22612=ORIENTED_EDGE('',*,*,#17203,.F.); #22613=ORIENTED_EDGE('',*,*,#17205,.F.); #22614=ORIENTED_EDGE('',*,*,#17206,.T.); #22615=ORIENTED_EDGE('',*,*,#17207,.F.); #22616=ORIENTED_EDGE('',*,*,#17206,.F.); #22617=ORIENTED_EDGE('',*,*,#17208,.F.); #22618=ORIENTED_EDGE('',*,*,#17209,.T.); #22619=ORIENTED_EDGE('',*,*,#17210,.F.); #22620=ORIENTED_EDGE('',*,*,#17209,.F.); #22621=ORIENTED_EDGE('',*,*,#17211,.F.); #22622=ORIENTED_EDGE('',*,*,#17212,.T.); #22623=ORIENTED_EDGE('',*,*,#17213,.F.); #22624=ORIENTED_EDGE('',*,*,#17212,.F.); #22625=ORIENTED_EDGE('',*,*,#17214,.F.); #22626=ORIENTED_EDGE('',*,*,#17215,.T.); #22627=ORIENTED_EDGE('',*,*,#17216,.F.); #22628=ORIENTED_EDGE('',*,*,#17215,.F.); #22629=ORIENTED_EDGE('',*,*,#17217,.F.); #22630=ORIENTED_EDGE('',*,*,#17218,.T.); #22631=ORIENTED_EDGE('',*,*,#17219,.F.); #22632=ORIENTED_EDGE('',*,*,#17218,.F.); #22633=ORIENTED_EDGE('',*,*,#17220,.F.); #22634=ORIENTED_EDGE('',*,*,#17221,.T.); #22635=ORIENTED_EDGE('',*,*,#17222,.F.); #22636=ORIENTED_EDGE('',*,*,#17221,.F.); #22637=ORIENTED_EDGE('',*,*,#17223,.F.); #22638=ORIENTED_EDGE('',*,*,#17224,.T.); #22639=ORIENTED_EDGE('',*,*,#17225,.F.); #22640=ORIENTED_EDGE('',*,*,#17224,.F.); #22641=ORIENTED_EDGE('',*,*,#17226,.F.); #22642=ORIENTED_EDGE('',*,*,#17227,.T.); #22643=ORIENTED_EDGE('',*,*,#17228,.F.); #22644=ORIENTED_EDGE('',*,*,#17227,.F.); #22645=ORIENTED_EDGE('',*,*,#17229,.F.); #22646=ORIENTED_EDGE('',*,*,#17230,.T.); #22647=ORIENTED_EDGE('',*,*,#17231,.F.); #22648=ORIENTED_EDGE('',*,*,#17230,.F.); #22649=ORIENTED_EDGE('',*,*,#17232,.F.); #22650=ORIENTED_EDGE('',*,*,#17233,.T.); #22651=ORIENTED_EDGE('',*,*,#17234,.F.); #22652=ORIENTED_EDGE('',*,*,#17233,.F.); #22653=ORIENTED_EDGE('',*,*,#17235,.F.); #22654=ORIENTED_EDGE('',*,*,#17236,.T.); #22655=ORIENTED_EDGE('',*,*,#17237,.F.); #22656=ORIENTED_EDGE('',*,*,#17236,.F.); #22657=ORIENTED_EDGE('',*,*,#17238,.F.); #22658=ORIENTED_EDGE('',*,*,#17239,.T.); #22659=ORIENTED_EDGE('',*,*,#17240,.F.); #22660=ORIENTED_EDGE('',*,*,#17239,.F.); #22661=ORIENTED_EDGE('',*,*,#17241,.F.); #22662=ORIENTED_EDGE('',*,*,#17242,.T.); #22663=ORIENTED_EDGE('',*,*,#17243,.F.); #22664=ORIENTED_EDGE('',*,*,#17242,.F.); #22665=ORIENTED_EDGE('',*,*,#17244,.F.); #22666=ORIENTED_EDGE('',*,*,#17245,.T.); #22667=ORIENTED_EDGE('',*,*,#17246,.F.); #22668=ORIENTED_EDGE('',*,*,#17245,.F.); #22669=ORIENTED_EDGE('',*,*,#17247,.F.); #22670=ORIENTED_EDGE('',*,*,#17248,.T.); #22671=ORIENTED_EDGE('',*,*,#17249,.F.); #22672=ORIENTED_EDGE('',*,*,#17248,.F.); #22673=ORIENTED_EDGE('',*,*,#17250,.F.); #22674=ORIENTED_EDGE('',*,*,#17251,.T.); #22675=ORIENTED_EDGE('',*,*,#17252,.F.); #22676=ORIENTED_EDGE('',*,*,#17251,.F.); #22677=ORIENTED_EDGE('',*,*,#17253,.F.); #22678=ORIENTED_EDGE('',*,*,#17254,.T.); #22679=ORIENTED_EDGE('',*,*,#17255,.F.); #22680=ORIENTED_EDGE('',*,*,#17254,.F.); #22681=ORIENTED_EDGE('',*,*,#17256,.F.); #22682=ORIENTED_EDGE('',*,*,#17257,.T.); #22683=ORIENTED_EDGE('',*,*,#17258,.F.); #22684=ORIENTED_EDGE('',*,*,#17257,.F.); #22685=ORIENTED_EDGE('',*,*,#17259,.F.); #22686=ORIENTED_EDGE('',*,*,#17260,.T.); #22687=ORIENTED_EDGE('',*,*,#17261,.F.); #22688=ORIENTED_EDGE('',*,*,#17260,.F.); #22689=ORIENTED_EDGE('',*,*,#17262,.F.); #22690=ORIENTED_EDGE('',*,*,#17263,.T.); #22691=ORIENTED_EDGE('',*,*,#17264,.F.); #22692=ORIENTED_EDGE('',*,*,#17263,.F.); #22693=ORIENTED_EDGE('',*,*,#17265,.F.); #22694=ORIENTED_EDGE('',*,*,#17266,.T.); #22695=ORIENTED_EDGE('',*,*,#17267,.F.); #22696=ORIENTED_EDGE('',*,*,#17266,.F.); #22697=ORIENTED_EDGE('',*,*,#17268,.F.); #22698=ORIENTED_EDGE('',*,*,#17269,.T.); #22699=ORIENTED_EDGE('',*,*,#17270,.F.); #22700=ORIENTED_EDGE('',*,*,#17269,.F.); #22701=ORIENTED_EDGE('',*,*,#17271,.F.); #22702=ORIENTED_EDGE('',*,*,#17272,.T.); #22703=ORIENTED_EDGE('',*,*,#17273,.F.); #22704=ORIENTED_EDGE('',*,*,#17272,.F.); #22705=ORIENTED_EDGE('',*,*,#17274,.F.); #22706=ORIENTED_EDGE('',*,*,#17275,.T.); #22707=ORIENTED_EDGE('',*,*,#17276,.F.); #22708=ORIENTED_EDGE('',*,*,#17275,.F.); #22709=ORIENTED_EDGE('',*,*,#17277,.F.); #22710=ORIENTED_EDGE('',*,*,#17278,.T.); #22711=ORIENTED_EDGE('',*,*,#17279,.F.); #22712=ORIENTED_EDGE('',*,*,#17278,.F.); #22713=ORIENTED_EDGE('',*,*,#17280,.F.); #22714=ORIENTED_EDGE('',*,*,#17281,.T.); #22715=ORIENTED_EDGE('',*,*,#17282,.F.); #22716=ORIENTED_EDGE('',*,*,#17281,.F.); #22717=ORIENTED_EDGE('',*,*,#17283,.F.); #22718=ORIENTED_EDGE('',*,*,#17284,.T.); #22719=ORIENTED_EDGE('',*,*,#17285,.F.); #22720=ORIENTED_EDGE('',*,*,#17284,.F.); #22721=ORIENTED_EDGE('',*,*,#17286,.F.); #22722=ORIENTED_EDGE('',*,*,#17287,.T.); #22723=ORIENTED_EDGE('',*,*,#17288,.F.); #22724=ORIENTED_EDGE('',*,*,#17287,.F.); #22725=ORIENTED_EDGE('',*,*,#17289,.F.); #22726=ORIENTED_EDGE('',*,*,#17290,.T.); #22727=ORIENTED_EDGE('',*,*,#17291,.F.); #22728=ORIENTED_EDGE('',*,*,#17290,.F.); #22729=ORIENTED_EDGE('',*,*,#17292,.F.); #22730=ORIENTED_EDGE('',*,*,#17293,.T.); #22731=ORIENTED_EDGE('',*,*,#17294,.F.); #22732=ORIENTED_EDGE('',*,*,#17293,.F.); #22733=ORIENTED_EDGE('',*,*,#17295,.F.); #22734=ORIENTED_EDGE('',*,*,#17296,.T.); #22735=ORIENTED_EDGE('',*,*,#17297,.F.); #22736=ORIENTED_EDGE('',*,*,#17296,.F.); #22737=ORIENTED_EDGE('',*,*,#17298,.F.); #22738=ORIENTED_EDGE('',*,*,#17299,.T.); #22739=ORIENTED_EDGE('',*,*,#17300,.F.); #22740=ORIENTED_EDGE('',*,*,#17299,.F.); #22741=ORIENTED_EDGE('',*,*,#17301,.F.); #22742=ORIENTED_EDGE('',*,*,#17302,.T.); #22743=ORIENTED_EDGE('',*,*,#17303,.F.); #22744=ORIENTED_EDGE('',*,*,#17302,.F.); #22745=ORIENTED_EDGE('',*,*,#17304,.F.); #22746=ORIENTED_EDGE('',*,*,#17305,.T.); #22747=ORIENTED_EDGE('',*,*,#17306,.F.); #22748=ORIENTED_EDGE('',*,*,#17305,.F.); #22749=ORIENTED_EDGE('',*,*,#17307,.F.); #22750=ORIENTED_EDGE('',*,*,#17308,.T.); #22751=ORIENTED_EDGE('',*,*,#17309,.F.); #22752=ORIENTED_EDGE('',*,*,#17308,.F.); #22753=ORIENTED_EDGE('',*,*,#17310,.F.); #22754=ORIENTED_EDGE('',*,*,#17311,.T.); #22755=ORIENTED_EDGE('',*,*,#17312,.F.); #22756=ORIENTED_EDGE('',*,*,#17311,.F.); #22757=ORIENTED_EDGE('',*,*,#17313,.F.); #22758=ORIENTED_EDGE('',*,*,#17314,.T.); #22759=ORIENTED_EDGE('',*,*,#17315,.F.); #22760=ORIENTED_EDGE('',*,*,#17314,.F.); #22761=ORIENTED_EDGE('',*,*,#17316,.F.); #22762=ORIENTED_EDGE('',*,*,#17317,.F.); #22763=ORIENTED_EDGE('',*,*,#17318,.T.); #22764=ORIENTED_EDGE('',*,*,#17319,.T.); #22765=ORIENTED_EDGE('',*,*,#17320,.F.); #22766=ORIENTED_EDGE('',*,*,#17319,.F.); #22767=ORIENTED_EDGE('',*,*,#17321,.T.); #22768=ORIENTED_EDGE('',*,*,#17322,.T.); #22769=ORIENTED_EDGE('',*,*,#17323,.F.); #22770=ORIENTED_EDGE('',*,*,#17322,.F.); #22771=ORIENTED_EDGE('',*,*,#17324,.T.); #22772=ORIENTED_EDGE('',*,*,#17325,.T.); #22773=ORIENTED_EDGE('',*,*,#17326,.F.); #22774=ORIENTED_EDGE('',*,*,#17325,.F.); #22775=ORIENTED_EDGE('',*,*,#17327,.T.); #22776=ORIENTED_EDGE('',*,*,#17328,.T.); #22777=ORIENTED_EDGE('',*,*,#17140,.F.); #22778=ORIENTED_EDGE('',*,*,#17329,.T.); #22779=ORIENTED_EDGE('',*,*,#17330,.F.); #22780=ORIENTED_EDGE('',*,*,#17331,.F.); #22781=ORIENTED_EDGE('',*,*,#17141,.F.); #22782=ORIENTED_EDGE('',*,*,#17331,.T.); #22783=ORIENTED_EDGE('',*,*,#17332,.F.); #22784=ORIENTED_EDGE('',*,*,#17333,.F.); #22785=ORIENTED_EDGE('',*,*,#17142,.F.); #22786=ORIENTED_EDGE('',*,*,#17333,.T.); #22787=ORIENTED_EDGE('',*,*,#17334,.F.); #22788=ORIENTED_EDGE('',*,*,#17335,.F.); #22789=ORIENTED_EDGE('',*,*,#17143,.F.); #22790=ORIENTED_EDGE('',*,*,#17335,.T.); #22791=ORIENTED_EDGE('',*,*,#17336,.F.); #22792=ORIENTED_EDGE('',*,*,#17337,.T.); #22793=ORIENTED_EDGE('',*,*,#17338,.F.); #22794=ORIENTED_EDGE('',*,*,#17328,.F.); #22795=ORIENTED_EDGE('',*,*,#17339,.T.); #22796=ORIENTED_EDGE('',*,*,#17340,.F.); #22797=ORIENTED_EDGE('',*,*,#17136,.F.); #22798=ORIENTED_EDGE('',*,*,#17337,.F.); #22799=ORIENTED_EDGE('',*,*,#17341,.F.); #22800=ORIENTED_EDGE('',*,*,#17342,.F.); #22801=ORIENTED_EDGE('',*,*,#17137,.F.); #22802=ORIENTED_EDGE('',*,*,#17342,.T.); #22803=ORIENTED_EDGE('',*,*,#17343,.F.); #22804=ORIENTED_EDGE('',*,*,#17344,.F.); #22805=ORIENTED_EDGE('',*,*,#17138,.F.); #22806=ORIENTED_EDGE('',*,*,#17344,.T.); #22807=ORIENTED_EDGE('',*,*,#17345,.F.); #22808=ORIENTED_EDGE('',*,*,#17346,.F.); #22809=ORIENTED_EDGE('',*,*,#17139,.F.); #22810=ORIENTED_EDGE('',*,*,#17346,.T.); #22811=ORIENTED_EDGE('',*,*,#17347,.F.); #22812=ORIENTED_EDGE('',*,*,#17329,.F.); #22813=ORIENTED_EDGE('',*,*,#17348,.F.); #22814=ORIENTED_EDGE('',*,*,#17349,.F.); #22815=ORIENTED_EDGE('',*,*,#17350,.T.); #22816=ORIENTED_EDGE('',*,*,#17317,.T.); #22817=ORIENTED_EDGE('',*,*,#17350,.F.); #22818=ORIENTED_EDGE('',*,*,#17351,.F.); #22819=ORIENTED_EDGE('',*,*,#17352,.F.); #22820=ORIENTED_EDGE('',*,*,#17339,.F.); #22821=ORIENTED_EDGE('',*,*,#17327,.F.); #22822=ORIENTED_EDGE('',*,*,#17324,.F.); #22823=ORIENTED_EDGE('',*,*,#17321,.F.); #22824=ORIENTED_EDGE('',*,*,#17318,.F.); #22825=ORIENTED_EDGE('',*,*,#17334,.T.); #22826=ORIENTED_EDGE('',*,*,#17332,.T.); #22827=ORIENTED_EDGE('',*,*,#17330,.T.); #22828=ORIENTED_EDGE('',*,*,#17347,.T.); #22829=ORIENTED_EDGE('',*,*,#17345,.T.); #22830=ORIENTED_EDGE('',*,*,#17343,.T.); #22831=ORIENTED_EDGE('',*,*,#17341,.T.); #22832=ORIENTED_EDGE('',*,*,#17336,.T.); #22833=ORIENTED_EDGE('',*,*,#17353,.F.); #22834=ORIENTED_EDGE('',*,*,#17354,.F.); #22835=ORIENTED_EDGE('',*,*,#17351,.T.); #22836=ORIENTED_EDGE('',*,*,#17349,.T.); #22837=ORIENTED_EDGE('',*,*,#17355,.F.); #22838=ORIENTED_EDGE('',*,*,#17340,.T.); #22839=ORIENTED_EDGE('',*,*,#17352,.T.); #22840=ORIENTED_EDGE('',*,*,#17354,.T.); #22841=ORIENTED_EDGE('',*,*,#17356,.T.); #22842=ORIENTED_EDGE('',*,*,#17357,.T.); #22843=ORIENTED_EDGE('',*,*,#17357,.F.); #22844=ORIENTED_EDGE('',*,*,#17358,.F.); #22845=ORIENTED_EDGE('',*,*,#17359,.T.); #22846=ORIENTED_EDGE('',*,*,#17356,.F.); #22847=ORIENTED_EDGE('',*,*,#17359,.F.); #22848=ORIENTED_EDGE('',*,*,#17360,.T.); #22849=ORIENTED_EDGE('',*,*,#17361,.T.); #22850=ORIENTED_EDGE('',*,*,#17361,.F.); #22851=ORIENTED_EDGE('',*,*,#17362,.F.); #22852=ORIENTED_EDGE('',*,*,#17363,.T.); #22853=ORIENTED_EDGE('',*,*,#17360,.F.); #22854=ORIENTED_EDGE('',*,*,#17363,.F.); #22855=ORIENTED_EDGE('',*,*,#17364,.T.); #22856=ORIENTED_EDGE('',*,*,#17365,.T.); #22857=ORIENTED_EDGE('',*,*,#17365,.F.); #22858=ORIENTED_EDGE('',*,*,#17366,.F.); #22859=ORIENTED_EDGE('',*,*,#17367,.T.); #22860=ORIENTED_EDGE('',*,*,#17364,.F.); #22861=ORIENTED_EDGE('',*,*,#17367,.F.); #22862=ORIENTED_EDGE('',*,*,#17368,.T.); #22863=ORIENTED_EDGE('',*,*,#17369,.T.); #22864=ORIENTED_EDGE('',*,*,#17369,.F.); #22865=ORIENTED_EDGE('',*,*,#17370,.F.); #22866=ORIENTED_EDGE('',*,*,#17371,.T.); #22867=ORIENTED_EDGE('',*,*,#17368,.F.); #22868=ORIENTED_EDGE('',*,*,#17371,.F.); #22869=ORIENTED_EDGE('',*,*,#17372,.T.); #22870=ORIENTED_EDGE('',*,*,#17373,.T.); #22871=ORIENTED_EDGE('',*,*,#17373,.F.); #22872=ORIENTED_EDGE('',*,*,#17374,.F.); #22873=ORIENTED_EDGE('',*,*,#17375,.T.); #22874=ORIENTED_EDGE('',*,*,#17372,.F.); #22875=ORIENTED_EDGE('',*,*,#17375,.F.); #22876=ORIENTED_EDGE('',*,*,#17376,.T.); #22877=ORIENTED_EDGE('',*,*,#17377,.T.); #22878=ORIENTED_EDGE('',*,*,#17377,.F.); #22879=ORIENTED_EDGE('',*,*,#17378,.F.); #22880=ORIENTED_EDGE('',*,*,#17379,.T.); #22881=ORIENTED_EDGE('',*,*,#17376,.F.); #22882=ORIENTED_EDGE('',*,*,#17379,.F.); #22883=ORIENTED_EDGE('',*,*,#17380,.T.); #22884=ORIENTED_EDGE('',*,*,#17381,.T.); #22885=ORIENTED_EDGE('',*,*,#17381,.F.); #22886=ORIENTED_EDGE('',*,*,#17382,.F.); #22887=ORIENTED_EDGE('',*,*,#17383,.T.); #22888=ORIENTED_EDGE('',*,*,#17380,.F.); #22889=ORIENTED_EDGE('',*,*,#17383,.F.); #22890=ORIENTED_EDGE('',*,*,#17384,.T.); #22891=ORIENTED_EDGE('',*,*,#17385,.T.); #22892=ORIENTED_EDGE('',*,*,#17385,.F.); #22893=ORIENTED_EDGE('',*,*,#17386,.F.); #22894=ORIENTED_EDGE('',*,*,#17387,.T.); #22895=ORIENTED_EDGE('',*,*,#17384,.F.); #22896=ORIENTED_EDGE('',*,*,#17387,.F.); #22897=ORIENTED_EDGE('',*,*,#17388,.T.); #22898=ORIENTED_EDGE('',*,*,#17389,.T.); #22899=ORIENTED_EDGE('',*,*,#17389,.F.); #22900=ORIENTED_EDGE('',*,*,#17390,.F.); #22901=ORIENTED_EDGE('',*,*,#17391,.T.); #22902=ORIENTED_EDGE('',*,*,#17388,.F.); #22903=ORIENTED_EDGE('',*,*,#17391,.F.); #22904=ORIENTED_EDGE('',*,*,#17392,.T.); #22905=ORIENTED_EDGE('',*,*,#17393,.T.); #22906=ORIENTED_EDGE('',*,*,#17393,.F.); #22907=ORIENTED_EDGE('',*,*,#17394,.F.); #22908=ORIENTED_EDGE('',*,*,#17395,.T.); #22909=ORIENTED_EDGE('',*,*,#17392,.F.); #22910=ORIENTED_EDGE('',*,*,#17395,.F.); #22911=ORIENTED_EDGE('',*,*,#17396,.T.); #22912=ORIENTED_EDGE('',*,*,#17397,.T.); #22913=ORIENTED_EDGE('',*,*,#17397,.F.); #22914=ORIENTED_EDGE('',*,*,#17398,.F.); #22915=ORIENTED_EDGE('',*,*,#17399,.T.); #22916=ORIENTED_EDGE('',*,*,#17396,.F.); #22917=ORIENTED_EDGE('',*,*,#17399,.F.); #22918=ORIENTED_EDGE('',*,*,#17400,.T.); #22919=ORIENTED_EDGE('',*,*,#17401,.T.); #22920=ORIENTED_EDGE('',*,*,#17401,.F.); #22921=ORIENTED_EDGE('',*,*,#17402,.F.); #22922=ORIENTED_EDGE('',*,*,#17403,.T.); #22923=ORIENTED_EDGE('',*,*,#17400,.F.); #22924=ORIENTED_EDGE('',*,*,#17403,.F.); #22925=ORIENTED_EDGE('',*,*,#17404,.T.); #22926=ORIENTED_EDGE('',*,*,#17405,.T.); #22927=ORIENTED_EDGE('',*,*,#17405,.F.); #22928=ORIENTED_EDGE('',*,*,#17406,.F.); #22929=ORIENTED_EDGE('',*,*,#17407,.T.); #22930=ORIENTED_EDGE('',*,*,#17404,.F.); #22931=ORIENTED_EDGE('',*,*,#17407,.F.); #22932=ORIENTED_EDGE('',*,*,#17408,.T.); #22933=ORIENTED_EDGE('',*,*,#17409,.T.); #22934=ORIENTED_EDGE('',*,*,#17409,.F.); #22935=ORIENTED_EDGE('',*,*,#17410,.F.); #22936=ORIENTED_EDGE('',*,*,#17411,.T.); #22937=ORIENTED_EDGE('',*,*,#17408,.F.); #22938=ORIENTED_EDGE('',*,*,#17411,.F.); #22939=ORIENTED_EDGE('',*,*,#17412,.T.); #22940=ORIENTED_EDGE('',*,*,#17413,.T.); #22941=ORIENTED_EDGE('',*,*,#17413,.F.); #22942=ORIENTED_EDGE('',*,*,#17414,.F.); #22943=ORIENTED_EDGE('',*,*,#17415,.T.); #22944=ORIENTED_EDGE('',*,*,#17412,.F.); #22945=ORIENTED_EDGE('',*,*,#17415,.F.); #22946=ORIENTED_EDGE('',*,*,#17416,.T.); #22947=ORIENTED_EDGE('',*,*,#17417,.T.); #22948=ORIENTED_EDGE('',*,*,#17417,.F.); #22949=ORIENTED_EDGE('',*,*,#17418,.F.); #22950=ORIENTED_EDGE('',*,*,#17419,.T.); #22951=ORIENTED_EDGE('',*,*,#17416,.F.); #22952=ORIENTED_EDGE('',*,*,#17419,.F.); #22953=ORIENTED_EDGE('',*,*,#17420,.T.); #22954=ORIENTED_EDGE('',*,*,#17421,.T.); #22955=ORIENTED_EDGE('',*,*,#17421,.F.); #22956=ORIENTED_EDGE('',*,*,#17422,.F.); #22957=ORIENTED_EDGE('',*,*,#17423,.T.); #22958=ORIENTED_EDGE('',*,*,#17420,.F.); #22959=ORIENTED_EDGE('',*,*,#17423,.F.); #22960=ORIENTED_EDGE('',*,*,#17424,.T.); #22961=ORIENTED_EDGE('',*,*,#17425,.T.); #22962=ORIENTED_EDGE('',*,*,#17425,.F.); #22963=ORIENTED_EDGE('',*,*,#17426,.F.); #22964=ORIENTED_EDGE('',*,*,#17427,.T.); #22965=ORIENTED_EDGE('',*,*,#17424,.F.); #22966=ORIENTED_EDGE('',*,*,#17427,.F.); #22967=ORIENTED_EDGE('',*,*,#17428,.T.); #22968=ORIENTED_EDGE('',*,*,#17429,.T.); #22969=ORIENTED_EDGE('',*,*,#17429,.F.); #22970=ORIENTED_EDGE('',*,*,#17430,.F.); #22971=ORIENTED_EDGE('',*,*,#17431,.T.); #22972=ORIENTED_EDGE('',*,*,#17428,.F.); #22973=ORIENTED_EDGE('',*,*,#17431,.F.); #22974=ORIENTED_EDGE('',*,*,#17432,.T.); #22975=ORIENTED_EDGE('',*,*,#17433,.T.); #22976=ORIENTED_EDGE('',*,*,#17433,.F.); #22977=ORIENTED_EDGE('',*,*,#17434,.F.); #22978=ORIENTED_EDGE('',*,*,#17435,.T.); #22979=ORIENTED_EDGE('',*,*,#17432,.F.); #22980=ORIENTED_EDGE('',*,*,#17435,.F.); #22981=ORIENTED_EDGE('',*,*,#17436,.T.); #22982=ORIENTED_EDGE('',*,*,#17437,.T.); #22983=ORIENTED_EDGE('',*,*,#17437,.F.); #22984=ORIENTED_EDGE('',*,*,#17438,.F.); #22985=ORIENTED_EDGE('',*,*,#17439,.T.); #22986=ORIENTED_EDGE('',*,*,#17436,.F.); #22987=ORIENTED_EDGE('',*,*,#17439,.F.); #22988=ORIENTED_EDGE('',*,*,#17440,.T.); #22989=ORIENTED_EDGE('',*,*,#17441,.T.); #22990=ORIENTED_EDGE('',*,*,#17441,.F.); #22991=ORIENTED_EDGE('',*,*,#17442,.F.); #22992=ORIENTED_EDGE('',*,*,#17443,.T.); #22993=ORIENTED_EDGE('',*,*,#17440,.F.); #22994=ORIENTED_EDGE('',*,*,#17443,.F.); #22995=ORIENTED_EDGE('',*,*,#17444,.T.); #22996=ORIENTED_EDGE('',*,*,#17445,.T.); #22997=ORIENTED_EDGE('',*,*,#17445,.F.); #22998=ORIENTED_EDGE('',*,*,#17446,.F.); #22999=ORIENTED_EDGE('',*,*,#17447,.T.); #23000=ORIENTED_EDGE('',*,*,#17444,.F.); #23001=ORIENTED_EDGE('',*,*,#17447,.F.); #23002=ORIENTED_EDGE('',*,*,#17448,.T.); #23003=ORIENTED_EDGE('',*,*,#17449,.T.); #23004=ORIENTED_EDGE('',*,*,#17449,.F.); #23005=ORIENTED_EDGE('',*,*,#17450,.F.); #23006=ORIENTED_EDGE('',*,*,#17451,.T.); #23007=ORIENTED_EDGE('',*,*,#17448,.F.); #23008=ORIENTED_EDGE('',*,*,#17451,.F.); #23009=ORIENTED_EDGE('',*,*,#17452,.T.); #23010=ORIENTED_EDGE('',*,*,#17453,.T.); #23011=ORIENTED_EDGE('',*,*,#17453,.F.); #23012=ORIENTED_EDGE('',*,*,#17454,.F.); #23013=ORIENTED_EDGE('',*,*,#17455,.T.); #23014=ORIENTED_EDGE('',*,*,#17452,.F.); #23015=ORIENTED_EDGE('',*,*,#17455,.F.); #23016=ORIENTED_EDGE('',*,*,#17456,.T.); #23017=ORIENTED_EDGE('',*,*,#17457,.T.); #23018=ORIENTED_EDGE('',*,*,#17457,.F.); #23019=ORIENTED_EDGE('',*,*,#17458,.F.); #23020=ORIENTED_EDGE('',*,*,#17459,.T.); #23021=ORIENTED_EDGE('',*,*,#17456,.F.); #23022=ORIENTED_EDGE('',*,*,#17459,.F.); #23023=ORIENTED_EDGE('',*,*,#17460,.T.); #23024=ORIENTED_EDGE('',*,*,#17461,.T.); #23025=ORIENTED_EDGE('',*,*,#17461,.F.); #23026=ORIENTED_EDGE('',*,*,#17462,.F.); #23027=ORIENTED_EDGE('',*,*,#17463,.T.); #23028=ORIENTED_EDGE('',*,*,#17460,.F.); #23029=ORIENTED_EDGE('',*,*,#17463,.F.); #23030=ORIENTED_EDGE('',*,*,#17464,.T.); #23031=ORIENTED_EDGE('',*,*,#17465,.T.); #23032=ORIENTED_EDGE('',*,*,#17465,.F.); #23033=ORIENTED_EDGE('',*,*,#17466,.F.); #23034=ORIENTED_EDGE('',*,*,#17467,.T.); #23035=ORIENTED_EDGE('',*,*,#17464,.F.); #23036=ORIENTED_EDGE('',*,*,#17467,.F.); #23037=ORIENTED_EDGE('',*,*,#17468,.T.); #23038=ORIENTED_EDGE('',*,*,#17469,.T.); #23039=ORIENTED_EDGE('',*,*,#17469,.F.); #23040=ORIENTED_EDGE('',*,*,#17470,.F.); #23041=ORIENTED_EDGE('',*,*,#17471,.T.); #23042=ORIENTED_EDGE('',*,*,#17468,.F.); #23043=ORIENTED_EDGE('',*,*,#17471,.F.); #23044=ORIENTED_EDGE('',*,*,#17472,.T.); #23045=ORIENTED_EDGE('',*,*,#17473,.T.); #23046=ORIENTED_EDGE('',*,*,#17473,.F.); #23047=ORIENTED_EDGE('',*,*,#17474,.F.); #23048=ORIENTED_EDGE('',*,*,#17475,.T.); #23049=ORIENTED_EDGE('',*,*,#17472,.F.); #23050=ORIENTED_EDGE('',*,*,#17475,.F.); #23051=ORIENTED_EDGE('',*,*,#17476,.T.); #23052=ORIENTED_EDGE('',*,*,#17477,.T.); #23053=ORIENTED_EDGE('',*,*,#17477,.F.); #23054=ORIENTED_EDGE('',*,*,#17478,.F.); #23055=ORIENTED_EDGE('',*,*,#17479,.T.); #23056=ORIENTED_EDGE('',*,*,#17476,.F.); #23057=ORIENTED_EDGE('',*,*,#17479,.F.); #23058=ORIENTED_EDGE('',*,*,#17480,.T.); #23059=ORIENTED_EDGE('',*,*,#17481,.T.); #23060=ORIENTED_EDGE('',*,*,#17481,.F.); #23061=ORIENTED_EDGE('',*,*,#17482,.F.); #23062=ORIENTED_EDGE('',*,*,#17483,.T.); #23063=ORIENTED_EDGE('',*,*,#17480,.F.); #23064=ORIENTED_EDGE('',*,*,#17483,.F.); #23065=ORIENTED_EDGE('',*,*,#17484,.T.); #23066=ORIENTED_EDGE('',*,*,#17485,.T.); #23067=ORIENTED_EDGE('',*,*,#17485,.F.); #23068=ORIENTED_EDGE('',*,*,#17486,.F.); #23069=ORIENTED_EDGE('',*,*,#17487,.T.); #23070=ORIENTED_EDGE('',*,*,#17484,.F.); #23071=ORIENTED_EDGE('',*,*,#17487,.F.); #23072=ORIENTED_EDGE('',*,*,#17488,.T.); #23073=ORIENTED_EDGE('',*,*,#17489,.T.); #23074=ORIENTED_EDGE('',*,*,#17489,.F.); #23075=ORIENTED_EDGE('',*,*,#17490,.F.); #23076=ORIENTED_EDGE('',*,*,#17491,.T.); #23077=ORIENTED_EDGE('',*,*,#17488,.F.); #23078=ORIENTED_EDGE('',*,*,#17491,.F.); #23079=ORIENTED_EDGE('',*,*,#17492,.T.); #23080=ORIENTED_EDGE('',*,*,#17493,.T.); #23081=ORIENTED_EDGE('',*,*,#17493,.F.); #23082=ORIENTED_EDGE('',*,*,#17494,.F.); #23083=ORIENTED_EDGE('',*,*,#17495,.T.); #23084=ORIENTED_EDGE('',*,*,#17492,.F.); #23085=ORIENTED_EDGE('',*,*,#17495,.F.); #23086=ORIENTED_EDGE('',*,*,#17496,.F.); #23087=ORIENTED_EDGE('',*,*,#17497,.F.); #23088=ORIENTED_EDGE('',*,*,#17498,.F.); #23089=ORIENTED_EDGE('',*,*,#17499,.F.); #23090=ORIENTED_EDGE('',*,*,#17047,.T.); #23091=ORIENTED_EDGE('',*,*,#17051,.T.); #23092=ORIENTED_EDGE('',*,*,#17055,.T.); #23093=ORIENTED_EDGE('',*,*,#17059,.T.); #23094=ORIENTED_EDGE('',*,*,#17063,.T.); #23095=ORIENTED_EDGE('',*,*,#17067,.T.); #23096=ORIENTED_EDGE('',*,*,#17071,.T.); #23097=ORIENTED_EDGE('',*,*,#17498,.T.); #23098=ORIENTED_EDGE('',*,*,#17500,.T.); #23099=ORIENTED_EDGE('',*,*,#17501,.T.); #23100=ORIENTED_EDGE('',*,*,#17502,.T.); #23101=ORIENTED_EDGE('',*,*,#17503,.T.); #23102=ORIENTED_EDGE('',*,*,#17504,.T.); #23103=ORIENTED_EDGE('',*,*,#16793,.T.); #23104=ORIENTED_EDGE('',*,*,#16796,.T.); #23105=ORIENTED_EDGE('',*,*,#16799,.T.); #23106=ORIENTED_EDGE('',*,*,#16802,.T.); #23107=ORIENTED_EDGE('',*,*,#16808,.T.); #23108=ORIENTED_EDGE('',*,*,#16814,.T.); #23109=ORIENTED_EDGE('',*,*,#16817,.T.); #23110=ORIENTED_EDGE('',*,*,#16826,.T.); #23111=ORIENTED_EDGE('',*,*,#16829,.T.); #23112=ORIENTED_EDGE('',*,*,#16838,.T.); #23113=ORIENTED_EDGE('',*,*,#16841,.T.); #23114=ORIENTED_EDGE('',*,*,#16850,.T.); #23115=ORIENTED_EDGE('',*,*,#16853,.T.); #23116=ORIENTED_EDGE('',*,*,#16862,.T.); #23117=ORIENTED_EDGE('',*,*,#16865,.T.); #23118=ORIENTED_EDGE('',*,*,#16874,.T.); #23119=ORIENTED_EDGE('',*,*,#16877,.T.); #23120=ORIENTED_EDGE('',*,*,#16886,.T.); #23121=ORIENTED_EDGE('',*,*,#16889,.T.); #23122=ORIENTED_EDGE('',*,*,#16898,.T.); #23123=ORIENTED_EDGE('',*,*,#16904,.T.); #23124=ORIENTED_EDGE('',*,*,#16910,.T.); #23125=ORIENTED_EDGE('',*,*,#16916,.T.); #23126=ORIENTED_EDGE('',*,*,#16922,.T.); #23127=ORIENTED_EDGE('',*,*,#16928,.T.); #23128=ORIENTED_EDGE('',*,*,#16934,.T.); #23129=ORIENTED_EDGE('',*,*,#16940,.T.); #23130=ORIENTED_EDGE('',*,*,#16946,.T.); #23131=ORIENTED_EDGE('',*,*,#16952,.T.); #23132=ORIENTED_EDGE('',*,*,#16958,.T.); #23133=ORIENTED_EDGE('',*,*,#16964,.T.); #23134=ORIENTED_EDGE('',*,*,#16970,.T.); #23135=ORIENTED_EDGE('',*,*,#16976,.T.); #23136=ORIENTED_EDGE('',*,*,#16982,.T.); #23137=ORIENTED_EDGE('',*,*,#16985,.T.); #23138=ORIENTED_EDGE('',*,*,#16988,.T.); #23139=ORIENTED_EDGE('',*,*,#17075,.T.); #23140=ORIENTED_EDGE('',*,*,#17078,.T.); #23141=ORIENTED_EDGE('',*,*,#17081,.T.); #23142=ORIENTED_EDGE('',*,*,#17084,.T.); #23143=ORIENTED_EDGE('',*,*,#17087,.T.); #23144=ORIENTED_EDGE('',*,*,#17090,.T.); #23145=ORIENTED_EDGE('',*,*,#17093,.T.); #23146=ORIENTED_EDGE('',*,*,#17096,.T.); #23147=ORIENTED_EDGE('',*,*,#17099,.T.); #23148=ORIENTED_EDGE('',*,*,#17102,.T.); #23149=ORIENTED_EDGE('',*,*,#17148,.T.); #23150=ORIENTED_EDGE('',*,*,#17146,.T.); #23151=ORIENTED_EDGE('',*,*,#17144,.T.); #23152=ORIENTED_EDGE('',*,*,#17128,.T.); #23153=ORIENTED_EDGE('',*,*,#17131,.T.); #23154=ORIENTED_EDGE('',*,*,#17133,.T.); #23155=ORIENTED_EDGE('',*,*,#17135,.T.); #23156=ORIENTED_EDGE('',*,*,#17150,.T.); #23157=ORIENTED_EDGE('',*,*,#17153,.T.); #23158=ORIENTED_EDGE('',*,*,#17156,.T.); #23159=ORIENTED_EDGE('',*,*,#17159,.T.); #23160=ORIENTED_EDGE('',*,*,#17162,.T.); #23161=ORIENTED_EDGE('',*,*,#17165,.T.); #23162=ORIENTED_EDGE('',*,*,#17168,.T.); #23163=ORIENTED_EDGE('',*,*,#17171,.T.); #23164=ORIENTED_EDGE('',*,*,#17174,.T.); #23165=ORIENTED_EDGE('',*,*,#17177,.T.); #23166=ORIENTED_EDGE('',*,*,#17180,.T.); #23167=ORIENTED_EDGE('',*,*,#17183,.T.); #23168=ORIENTED_EDGE('',*,*,#17186,.T.); #23169=ORIENTED_EDGE('',*,*,#17189,.T.); #23170=ORIENTED_EDGE('',*,*,#17192,.T.); #23171=ORIENTED_EDGE('',*,*,#17195,.T.); #23172=ORIENTED_EDGE('',*,*,#17198,.T.); #23173=ORIENTED_EDGE('',*,*,#17201,.T.); #23174=ORIENTED_EDGE('',*,*,#17204,.T.); #23175=ORIENTED_EDGE('',*,*,#17207,.T.); #23176=ORIENTED_EDGE('',*,*,#17210,.T.); #23177=ORIENTED_EDGE('',*,*,#17213,.T.); #23178=ORIENTED_EDGE('',*,*,#17216,.T.); #23179=ORIENTED_EDGE('',*,*,#17219,.T.); #23180=ORIENTED_EDGE('',*,*,#17222,.T.); #23181=ORIENTED_EDGE('',*,*,#17225,.T.); #23182=ORIENTED_EDGE('',*,*,#17228,.T.); #23183=ORIENTED_EDGE('',*,*,#17231,.T.); #23184=ORIENTED_EDGE('',*,*,#17234,.T.); #23185=ORIENTED_EDGE('',*,*,#17237,.T.); #23186=ORIENTED_EDGE('',*,*,#17240,.T.); #23187=ORIENTED_EDGE('',*,*,#17243,.T.); #23188=ORIENTED_EDGE('',*,*,#17246,.T.); #23189=ORIENTED_EDGE('',*,*,#17249,.T.); #23190=ORIENTED_EDGE('',*,*,#17252,.T.); #23191=ORIENTED_EDGE('',*,*,#17255,.T.); #23192=ORIENTED_EDGE('',*,*,#17258,.T.); #23193=ORIENTED_EDGE('',*,*,#17261,.T.); #23194=ORIENTED_EDGE('',*,*,#17264,.T.); #23195=ORIENTED_EDGE('',*,*,#17267,.T.); #23196=ORIENTED_EDGE('',*,*,#17270,.T.); #23197=ORIENTED_EDGE('',*,*,#17273,.T.); #23198=ORIENTED_EDGE('',*,*,#17276,.T.); #23199=ORIENTED_EDGE('',*,*,#17279,.T.); #23200=ORIENTED_EDGE('',*,*,#17282,.T.); #23201=ORIENTED_EDGE('',*,*,#17285,.T.); #23202=ORIENTED_EDGE('',*,*,#17288,.T.); #23203=ORIENTED_EDGE('',*,*,#17291,.T.); #23204=ORIENTED_EDGE('',*,*,#17294,.T.); #23205=ORIENTED_EDGE('',*,*,#17297,.T.); #23206=ORIENTED_EDGE('',*,*,#17300,.T.); #23207=ORIENTED_EDGE('',*,*,#17303,.T.); #23208=ORIENTED_EDGE('',*,*,#17306,.T.); #23209=ORIENTED_EDGE('',*,*,#17309,.T.); #23210=ORIENTED_EDGE('',*,*,#17312,.T.); #23211=ORIENTED_EDGE('',*,*,#17315,.T.); #23212=ORIENTED_EDGE('',*,*,#17497,.T.); #23213=ORIENTED_EDGE('',*,*,#17505,.F.); #23214=ORIENTED_EDGE('',*,*,#17506,.T.); #23215=ORIENTED_EDGE('',*,*,#17500,.F.); #23216=ORIENTED_EDGE('',*,*,#17015,.T.); #23217=ORIENTED_EDGE('',*,*,#17019,.T.); #23218=ORIENTED_EDGE('',*,*,#17023,.T.); #23219=ORIENTED_EDGE('',*,*,#17027,.T.); #23220=ORIENTED_EDGE('',*,*,#17031,.T.); #23221=ORIENTED_EDGE('',*,*,#17035,.T.); #23222=ORIENTED_EDGE('',*,*,#17043,.T.); #23223=ORIENTED_EDGE('',*,*,#17506,.F.); #23224=ORIENTED_EDGE('',*,*,#17507,.F.); #23225=ORIENTED_EDGE('',*,*,#17508,.F.); #23226=ORIENTED_EDGE('',*,*,#17501,.F.); #23227=ORIENTED_EDGE('',*,*,#16991,.T.); #23228=ORIENTED_EDGE('',*,*,#16995,.T.); #23229=ORIENTED_EDGE('',*,*,#16999,.T.); #23230=ORIENTED_EDGE('',*,*,#17003,.T.); #23231=ORIENTED_EDGE('',*,*,#17007,.T.); #23232=ORIENTED_EDGE('',*,*,#17011,.T.); #23233=ORIENTED_EDGE('',*,*,#17039,.T.); #23234=ORIENTED_EDGE('',*,*,#17508,.T.); #23235=ORIENTED_EDGE('',*,*,#17509,.F.); #23236=ORIENTED_EDGE('',*,*,#17510,.T.); #23237=ORIENTED_EDGE('',*,*,#17502,.F.); #23238=ORIENTED_EDGE('',*,*,#17496,.T.); #23239=ORIENTED_EDGE('',*,*,#17511,.T.); #23240=ORIENTED_EDGE('',*,*,#17512,.T.); #23241=ORIENTED_EDGE('',*,*,#17509,.T.); #23242=ORIENTED_EDGE('',*,*,#17507,.T.); #23243=ORIENTED_EDGE('',*,*,#17505,.T.); #23244=ORIENTED_EDGE('',*,*,#16791,.T.); #23245=ORIENTED_EDGE('',*,*,#16794,.T.); #23246=ORIENTED_EDGE('',*,*,#16797,.T.); #23247=ORIENTED_EDGE('',*,*,#16800,.T.); #23248=ORIENTED_EDGE('',*,*,#16805,.T.); #23249=ORIENTED_EDGE('',*,*,#16811,.T.); #23250=ORIENTED_EDGE('',*,*,#16818,.T.); #23251=ORIENTED_EDGE('',*,*,#16823,.T.); #23252=ORIENTED_EDGE('',*,*,#16830,.T.); #23253=ORIENTED_EDGE('',*,*,#16835,.T.); #23254=ORIENTED_EDGE('',*,*,#16842,.T.); #23255=ORIENTED_EDGE('',*,*,#16847,.T.); #23256=ORIENTED_EDGE('',*,*,#16854,.T.); #23257=ORIENTED_EDGE('',*,*,#16859,.T.); #23258=ORIENTED_EDGE('',*,*,#16866,.T.); #23259=ORIENTED_EDGE('',*,*,#16871,.T.); #23260=ORIENTED_EDGE('',*,*,#16878,.T.); #23261=ORIENTED_EDGE('',*,*,#16883,.T.); #23262=ORIENTED_EDGE('',*,*,#16890,.T.); #23263=ORIENTED_EDGE('',*,*,#16895,.T.); #23264=ORIENTED_EDGE('',*,*,#16901,.T.); #23265=ORIENTED_EDGE('',*,*,#16907,.T.); #23266=ORIENTED_EDGE('',*,*,#16913,.T.); #23267=ORIENTED_EDGE('',*,*,#16919,.T.); #23268=ORIENTED_EDGE('',*,*,#16925,.T.); #23269=ORIENTED_EDGE('',*,*,#16931,.T.); #23270=ORIENTED_EDGE('',*,*,#16937,.T.); #23271=ORIENTED_EDGE('',*,*,#16943,.T.); #23272=ORIENTED_EDGE('',*,*,#16949,.T.); #23273=ORIENTED_EDGE('',*,*,#16955,.T.); #23274=ORIENTED_EDGE('',*,*,#16961,.T.); #23275=ORIENTED_EDGE('',*,*,#16967,.T.); #23276=ORIENTED_EDGE('',*,*,#16973,.T.); #23277=ORIENTED_EDGE('',*,*,#16979,.T.); #23278=ORIENTED_EDGE('',*,*,#16983,.T.); #23279=ORIENTED_EDGE('',*,*,#16986,.T.); #23280=ORIENTED_EDGE('',*,*,#17073,.T.); #23281=ORIENTED_EDGE('',*,*,#17076,.T.); #23282=ORIENTED_EDGE('',*,*,#17079,.T.); #23283=ORIENTED_EDGE('',*,*,#17082,.T.); #23284=ORIENTED_EDGE('',*,*,#17085,.T.); #23285=ORIENTED_EDGE('',*,*,#17088,.T.); #23286=ORIENTED_EDGE('',*,*,#17091,.T.); #23287=ORIENTED_EDGE('',*,*,#17094,.T.); #23288=ORIENTED_EDGE('',*,*,#17097,.T.); #23289=ORIENTED_EDGE('',*,*,#17100,.T.); #23290=ORIENTED_EDGE('',*,*,#17151,.T.); #23291=ORIENTED_EDGE('',*,*,#17154,.T.); #23292=ORIENTED_EDGE('',*,*,#17157,.T.); #23293=ORIENTED_EDGE('',*,*,#17160,.T.); #23294=ORIENTED_EDGE('',*,*,#17163,.T.); #23295=ORIENTED_EDGE('',*,*,#17166,.T.); #23296=ORIENTED_EDGE('',*,*,#17169,.T.); #23297=ORIENTED_EDGE('',*,*,#17172,.T.); #23298=ORIENTED_EDGE('',*,*,#17175,.T.); #23299=ORIENTED_EDGE('',*,*,#17178,.T.); #23300=ORIENTED_EDGE('',*,*,#17181,.T.); #23301=ORIENTED_EDGE('',*,*,#17184,.T.); #23302=ORIENTED_EDGE('',*,*,#17187,.T.); #23303=ORIENTED_EDGE('',*,*,#17190,.T.); #23304=ORIENTED_EDGE('',*,*,#17193,.T.); #23305=ORIENTED_EDGE('',*,*,#17196,.T.); #23306=ORIENTED_EDGE('',*,*,#17199,.T.); #23307=ORIENTED_EDGE('',*,*,#17202,.T.); #23308=ORIENTED_EDGE('',*,*,#17205,.T.); #23309=ORIENTED_EDGE('',*,*,#17208,.T.); #23310=ORIENTED_EDGE('',*,*,#17211,.T.); #23311=ORIENTED_EDGE('',*,*,#17214,.T.); #23312=ORIENTED_EDGE('',*,*,#17217,.T.); #23313=ORIENTED_EDGE('',*,*,#17220,.T.); #23314=ORIENTED_EDGE('',*,*,#17223,.T.); #23315=ORIENTED_EDGE('',*,*,#17226,.T.); #23316=ORIENTED_EDGE('',*,*,#17229,.T.); #23317=ORIENTED_EDGE('',*,*,#17232,.T.); #23318=ORIENTED_EDGE('',*,*,#17235,.T.); #23319=ORIENTED_EDGE('',*,*,#17238,.T.); #23320=ORIENTED_EDGE('',*,*,#17241,.T.); #23321=ORIENTED_EDGE('',*,*,#17244,.T.); #23322=ORIENTED_EDGE('',*,*,#17247,.T.); #23323=ORIENTED_EDGE('',*,*,#17250,.T.); #23324=ORIENTED_EDGE('',*,*,#17253,.T.); #23325=ORIENTED_EDGE('',*,*,#17256,.T.); #23326=ORIENTED_EDGE('',*,*,#17259,.T.); #23327=ORIENTED_EDGE('',*,*,#17262,.T.); #23328=ORIENTED_EDGE('',*,*,#17265,.T.); #23329=ORIENTED_EDGE('',*,*,#17268,.T.); #23330=ORIENTED_EDGE('',*,*,#17271,.T.); #23331=ORIENTED_EDGE('',*,*,#17274,.T.); #23332=ORIENTED_EDGE('',*,*,#17277,.T.); #23333=ORIENTED_EDGE('',*,*,#17280,.T.); #23334=ORIENTED_EDGE('',*,*,#17283,.T.); #23335=ORIENTED_EDGE('',*,*,#17286,.T.); #23336=ORIENTED_EDGE('',*,*,#17289,.T.); #23337=ORIENTED_EDGE('',*,*,#17292,.T.); #23338=ORIENTED_EDGE('',*,*,#17295,.T.); #23339=ORIENTED_EDGE('',*,*,#17298,.T.); #23340=ORIENTED_EDGE('',*,*,#17301,.T.); #23341=ORIENTED_EDGE('',*,*,#17304,.T.); #23342=ORIENTED_EDGE('',*,*,#17307,.T.); #23343=ORIENTED_EDGE('',*,*,#17310,.T.); #23344=ORIENTED_EDGE('',*,*,#17313,.T.); #23345=ORIENTED_EDGE('',*,*,#17338,.T.); #23346=ORIENTED_EDGE('',*,*,#17355,.T.); #23347=ORIENTED_EDGE('',*,*,#17353,.T.); #23348=ORIENTED_EDGE('',*,*,#17348,.T.); #23349=ORIENTED_EDGE('',*,*,#17316,.T.); #23350=ORIENTED_EDGE('',*,*,#17320,.T.); #23351=ORIENTED_EDGE('',*,*,#17323,.T.); #23352=ORIENTED_EDGE('',*,*,#17326,.T.); #23353=ORIENTED_EDGE('',*,*,#17358,.T.); #23354=ORIENTED_EDGE('',*,*,#17362,.T.); #23355=ORIENTED_EDGE('',*,*,#17366,.T.); #23356=ORIENTED_EDGE('',*,*,#17370,.T.); #23357=ORIENTED_EDGE('',*,*,#17374,.T.); #23358=ORIENTED_EDGE('',*,*,#17378,.T.); #23359=ORIENTED_EDGE('',*,*,#17382,.T.); #23360=ORIENTED_EDGE('',*,*,#17386,.T.); #23361=ORIENTED_EDGE('',*,*,#17390,.T.); #23362=ORIENTED_EDGE('',*,*,#17394,.T.); #23363=ORIENTED_EDGE('',*,*,#17398,.T.); #23364=ORIENTED_EDGE('',*,*,#17402,.T.); #23365=ORIENTED_EDGE('',*,*,#17406,.T.); #23366=ORIENTED_EDGE('',*,*,#17410,.T.); #23367=ORIENTED_EDGE('',*,*,#17414,.T.); #23368=ORIENTED_EDGE('',*,*,#17418,.T.); #23369=ORIENTED_EDGE('',*,*,#17422,.T.); #23370=ORIENTED_EDGE('',*,*,#17426,.T.); #23371=ORIENTED_EDGE('',*,*,#17430,.T.); #23372=ORIENTED_EDGE('',*,*,#17434,.T.); #23373=ORIENTED_EDGE('',*,*,#17438,.T.); #23374=ORIENTED_EDGE('',*,*,#17442,.T.); #23375=ORIENTED_EDGE('',*,*,#17446,.T.); #23376=ORIENTED_EDGE('',*,*,#17450,.T.); #23377=ORIENTED_EDGE('',*,*,#17454,.T.); #23378=ORIENTED_EDGE('',*,*,#17458,.T.); #23379=ORIENTED_EDGE('',*,*,#17462,.T.); #23380=ORIENTED_EDGE('',*,*,#17466,.T.); #23381=ORIENTED_EDGE('',*,*,#17470,.T.); #23382=ORIENTED_EDGE('',*,*,#17474,.T.); #23383=ORIENTED_EDGE('',*,*,#17478,.T.); #23384=ORIENTED_EDGE('',*,*,#17482,.T.); #23385=ORIENTED_EDGE('',*,*,#17486,.T.); #23386=ORIENTED_EDGE('',*,*,#17490,.T.); #23387=ORIENTED_EDGE('',*,*,#17494,.T.); #23388=ORIENTED_EDGE('',*,*,#17512,.F.); #23389=ORIENTED_EDGE('',*,*,#17513,.T.); #23390=ORIENTED_EDGE('',*,*,#17503,.F.); #23391=ORIENTED_EDGE('',*,*,#17510,.F.); #23392=ORIENTED_EDGE('',*,*,#17499,.T.); #23393=ORIENTED_EDGE('',*,*,#17504,.F.); #23394=ORIENTED_EDGE('',*,*,#17513,.F.); #23395=ORIENTED_EDGE('',*,*,#17511,.F.); #23396=ORIENTED_EDGE('',*,*,#17514,.F.); #23397=ORIENTED_EDGE('',*,*,#17515,.T.); #23398=ORIENTED_EDGE('',*,*,#17516,.F.); #23399=ORIENTED_EDGE('',*,*,#17515,.F.); #23400=ORIENTED_EDGE('',*,*,#17517,.F.); #23401=ORIENTED_EDGE('',*,*,#17518,.T.); #23402=ORIENTED_EDGE('',*,*,#17519,.F.); #23403=ORIENTED_EDGE('',*,*,#17518,.F.); #23404=ORIENTED_EDGE('',*,*,#17520,.F.); #23405=ORIENTED_EDGE('',*,*,#17521,.T.); #23406=ORIENTED_EDGE('',*,*,#17522,.F.); #23407=ORIENTED_EDGE('',*,*,#17521,.F.); #23408=ORIENTED_EDGE('',*,*,#17523,.F.); #23409=ORIENTED_EDGE('',*,*,#17524,.T.); #23410=ORIENTED_EDGE('',*,*,#17525,.F.); #23411=ORIENTED_EDGE('',*,*,#17524,.F.); #23412=ORIENTED_EDGE('',*,*,#17526,.T.); #23413=ORIENTED_EDGE('',*,*,#17527,.T.); #23414=ORIENTED_EDGE('',*,*,#17527,.F.); #23415=ORIENTED_EDGE('',*,*,#17528,.F.); #23416=ORIENTED_EDGE('',*,*,#17529,.T.); #23417=ORIENTED_EDGE('',*,*,#17526,.F.); #23418=ORIENTED_EDGE('',*,*,#17529,.F.); #23419=ORIENTED_EDGE('',*,*,#17530,.T.); #23420=ORIENTED_EDGE('',*,*,#17531,.T.); #23421=ORIENTED_EDGE('',*,*,#17531,.F.); #23422=ORIENTED_EDGE('',*,*,#17532,.F.); #23423=ORIENTED_EDGE('',*,*,#17533,.T.); #23424=ORIENTED_EDGE('',*,*,#17530,.F.); #23425=ORIENTED_EDGE('',*,*,#17533,.F.); #23426=ORIENTED_EDGE('',*,*,#17534,.T.); #23427=ORIENTED_EDGE('',*,*,#17535,.T.); #23428=ORIENTED_EDGE('',*,*,#17535,.F.); #23429=ORIENTED_EDGE('',*,*,#17536,.F.); #23430=ORIENTED_EDGE('',*,*,#17537,.T.); #23431=ORIENTED_EDGE('',*,*,#17534,.F.); #23432=ORIENTED_EDGE('',*,*,#17537,.F.); #23433=ORIENTED_EDGE('',*,*,#17538,.F.); #23434=ORIENTED_EDGE('',*,*,#17539,.F.); #23435=ORIENTED_EDGE('',*,*,#17540,.F.); #23436=ORIENTED_EDGE('',*,*,#17541,.F.); #23437=ORIENTED_EDGE('',*,*,#17542,.F.); #23438=ORIENTED_EDGE('',*,*,#17543,.F.); #23439=ORIENTED_EDGE('',*,*,#17544,.F.); #23440=ORIENTED_EDGE('',*,*,#17545,.F.); #23441=ORIENTED_EDGE('',*,*,#17546,.F.); #23442=ORIENTED_EDGE('',*,*,#17540,.T.); #23443=ORIENTED_EDGE('',*,*,#17547,.T.); #23444=ORIENTED_EDGE('',*,*,#17548,.T.); #23445=ORIENTED_EDGE('',*,*,#17549,.T.); #23446=ORIENTED_EDGE('',*,*,#17514,.T.); #23447=ORIENTED_EDGE('',*,*,#17517,.T.); #23448=ORIENTED_EDGE('',*,*,#17520,.T.); #23449=ORIENTED_EDGE('',*,*,#17523,.T.); #23450=ORIENTED_EDGE('',*,*,#17542,.T.); #23451=ORIENTED_EDGE('',*,*,#17550,.T.); #23452=ORIENTED_EDGE('',*,*,#17551,.F.); #23453=ORIENTED_EDGE('',*,*,#17550,.F.); #23454=ORIENTED_EDGE('',*,*,#17543,.T.); #23455=ORIENTED_EDGE('',*,*,#17552,.T.); #23456=ORIENTED_EDGE('',*,*,#17553,.F.); #23457=ORIENTED_EDGE('',*,*,#17552,.F.); #23458=ORIENTED_EDGE('',*,*,#17544,.T.); #23459=ORIENTED_EDGE('',*,*,#17554,.T.); #23460=ORIENTED_EDGE('',*,*,#17555,.F.); #23461=ORIENTED_EDGE('',*,*,#17554,.F.); #23462=ORIENTED_EDGE('',*,*,#17545,.T.); #23463=ORIENTED_EDGE('',*,*,#17556,.T.); #23464=ORIENTED_EDGE('',*,*,#17557,.F.); #23465=ORIENTED_EDGE('',*,*,#17556,.F.); #23466=ORIENTED_EDGE('',*,*,#17546,.T.); #23467=ORIENTED_EDGE('',*,*,#17558,.T.); #23468=ORIENTED_EDGE('',*,*,#17559,.F.); #23469=ORIENTED_EDGE('',*,*,#17558,.F.); #23470=ORIENTED_EDGE('',*,*,#17539,.T.); #23471=ORIENTED_EDGE('',*,*,#17560,.F.); #23472=ORIENTED_EDGE('',*,*,#17561,.T.); #23473=ORIENTED_EDGE('',*,*,#17547,.F.); #23474=ORIENTED_EDGE('',*,*,#17562,.F.); #23475=ORIENTED_EDGE('',*,*,#17563,.F.); #23476=ORIENTED_EDGE('',*,*,#17564,.F.); #23477=ORIENTED_EDGE('',*,*,#17538,.T.); #23478=ORIENTED_EDGE('',*,*,#17565,.T.); #23479=ORIENTED_EDGE('',*,*,#17566,.T.); #23480=ORIENTED_EDGE('',*,*,#17560,.T.); #23481=ORIENTED_EDGE('',*,*,#17516,.T.); #23482=ORIENTED_EDGE('',*,*,#17519,.T.); #23483=ORIENTED_EDGE('',*,*,#17522,.T.); #23484=ORIENTED_EDGE('',*,*,#17525,.T.); #23485=ORIENTED_EDGE('',*,*,#17567,.T.); #23486=ORIENTED_EDGE('',*,*,#17568,.T.); #23487=ORIENTED_EDGE('',*,*,#17568,.F.); #23488=ORIENTED_EDGE('',*,*,#17562,.T.); #23489=ORIENTED_EDGE('',*,*,#17569,.T.); #23490=ORIENTED_EDGE('',*,*,#17567,.F.); #23491=ORIENTED_EDGE('',*,*,#17569,.F.); #23492=ORIENTED_EDGE('',*,*,#17570,.T.); #23493=ORIENTED_EDGE('',*,*,#17571,.T.); #23494=ORIENTED_EDGE('',*,*,#17571,.F.); #23495=ORIENTED_EDGE('',*,*,#17563,.T.); #23496=ORIENTED_EDGE('',*,*,#17572,.T.); #23497=ORIENTED_EDGE('',*,*,#17570,.F.); #23498=ORIENTED_EDGE('',*,*,#17572,.F.); #23499=ORIENTED_EDGE('',*,*,#17564,.T.); #23500=ORIENTED_EDGE('',*,*,#17573,.T.); #23501=ORIENTED_EDGE('',*,*,#17574,.F.); #23502=ORIENTED_EDGE('',*,*,#17575,.F.); #23503=ORIENTED_EDGE('',*,*,#17576,.F.); #23504=ORIENTED_EDGE('',*,*,#17573,.F.); #23505=ORIENTED_EDGE('',*,*,#17577,.F.); #23506=ORIENTED_EDGE('',*,*,#17574,.T.); #23507=ORIENTED_EDGE('',*,*,#17578,.T.); #23508=ORIENTED_EDGE('',*,*,#17578,.F.); #23509=ORIENTED_EDGE('',*,*,#17576,.T.); #23510=ORIENTED_EDGE('',*,*,#17541,.T.); #23511=ORIENTED_EDGE('',*,*,#17549,.F.); #23512=ORIENTED_EDGE('',*,*,#17579,.F.); #23513=ORIENTED_EDGE('',*,*,#17565,.F.); #23514=ORIENTED_EDGE('',*,*,#17528,.T.); #23515=ORIENTED_EDGE('',*,*,#17532,.T.); #23516=ORIENTED_EDGE('',*,*,#17536,.T.); #23517=ORIENTED_EDGE('',*,*,#17566,.F.); #23518=ORIENTED_EDGE('',*,*,#17579,.T.); #23519=ORIENTED_EDGE('',*,*,#17548,.F.); #23520=ORIENTED_EDGE('',*,*,#17561,.F.); #23521=ORIENTED_EDGE('',*,*,#17551,.T.); #23522=ORIENTED_EDGE('',*,*,#17553,.T.); #23523=ORIENTED_EDGE('',*,*,#17555,.T.); #23524=ORIENTED_EDGE('',*,*,#17557,.T.); #23525=ORIENTED_EDGE('',*,*,#17559,.T.); #23526=ORIENTED_EDGE('',*,*,#17577,.T.); #23527=ORIENTED_EDGE('',*,*,#17575,.T.); #23528=ORIENTED_EDGE('',*,*,#17580,.T.); #23529=ORIENTED_EDGE('',*,*,#17581,.T.); #23530=ORIENTED_EDGE('',*,*,#17582,.T.); #23531=ORIENTED_EDGE('',*,*,#17583,.T.); #23532=ORIENTED_EDGE('',*,*,#17584,.T.); #23533=ORIENTED_EDGE('',*,*,#17585,.F.); #23534=ORIENTED_EDGE('',*,*,#17586,.F.); #23535=ORIENTED_EDGE('',*,*,#17587,.T.); #23536=ORIENTED_EDGE('',*,*,#17584,.F.); #23537=ORIENTED_EDGE('',*,*,#17587,.F.); #23538=ORIENTED_EDGE('',*,*,#17585,.T.); #23539=ORIENTED_EDGE('',*,*,#17588,.T.); #23540=ORIENTED_EDGE('',*,*,#17589,.F.); #23541=ORIENTED_EDGE('',*,*,#17588,.F.); #23542=ORIENTED_EDGE('',*,*,#17590,.T.); #23543=ORIENTED_EDGE('',*,*,#17591,.F.); #23544=ORIENTED_EDGE('',*,*,#17592,.F.); #23545=ORIENTED_EDGE('',*,*,#17593,.T.); #23546=ORIENTED_EDGE('',*,*,#17590,.F.); #23547=ORIENTED_EDGE('',*,*,#17593,.F.); #23548=ORIENTED_EDGE('',*,*,#17591,.T.); #23549=ORIENTED_EDGE('',*,*,#17594,.T.); #23550=ORIENTED_EDGE('',*,*,#17595,.F.); #23551=ORIENTED_EDGE('',*,*,#17594,.F.); #23552=ORIENTED_EDGE('',*,*,#17596,.T.); #23553=ORIENTED_EDGE('',*,*,#17597,.F.); #23554=ORIENTED_EDGE('',*,*,#17598,.F.); #23555=ORIENTED_EDGE('',*,*,#17599,.T.); #23556=ORIENTED_EDGE('',*,*,#17596,.F.); #23557=ORIENTED_EDGE('',*,*,#17599,.F.); #23558=ORIENTED_EDGE('',*,*,#17597,.T.); #23559=ORIENTED_EDGE('',*,*,#17600,.T.); #23560=ORIENTED_EDGE('',*,*,#17601,.F.); #23561=ORIENTED_EDGE('',*,*,#17600,.F.); #23562=ORIENTED_EDGE('',*,*,#17602,.T.); #23563=ORIENTED_EDGE('',*,*,#17603,.F.); #23564=ORIENTED_EDGE('',*,*,#17604,.F.); #23565=ORIENTED_EDGE('',*,*,#17605,.T.); #23566=ORIENTED_EDGE('',*,*,#17602,.F.); #23567=ORIENTED_EDGE('',*,*,#17605,.F.); #23568=ORIENTED_EDGE('',*,*,#17603,.T.); #23569=ORIENTED_EDGE('',*,*,#17606,.T.); #23570=ORIENTED_EDGE('',*,*,#17607,.F.); #23571=ORIENTED_EDGE('',*,*,#17606,.F.); #23572=ORIENTED_EDGE('',*,*,#17608,.T.); #23573=ORIENTED_EDGE('',*,*,#17609,.F.); #23574=ORIENTED_EDGE('',*,*,#17610,.F.); #23575=ORIENTED_EDGE('',*,*,#17611,.T.); #23576=ORIENTED_EDGE('',*,*,#17608,.F.); #23577=ORIENTED_EDGE('',*,*,#17611,.F.); #23578=ORIENTED_EDGE('',*,*,#17609,.T.); #23579=ORIENTED_EDGE('',*,*,#17612,.T.); #23580=ORIENTED_EDGE('',*,*,#17613,.F.); #23581=ORIENTED_EDGE('',*,*,#17612,.F.); #23582=ORIENTED_EDGE('',*,*,#17614,.T.); #23583=ORIENTED_EDGE('',*,*,#17615,.F.); #23584=ORIENTED_EDGE('',*,*,#17616,.F.); #23585=ORIENTED_EDGE('',*,*,#17617,.T.); #23586=ORIENTED_EDGE('',*,*,#17614,.F.); #23587=ORIENTED_EDGE('',*,*,#17617,.F.); #23588=ORIENTED_EDGE('',*,*,#17615,.T.); #23589=ORIENTED_EDGE('',*,*,#17618,.T.); #23590=ORIENTED_EDGE('',*,*,#17619,.F.); #23591=ORIENTED_EDGE('',*,*,#17618,.F.); #23592=ORIENTED_EDGE('',*,*,#17620,.T.); #23593=ORIENTED_EDGE('',*,*,#17621,.F.); #23594=ORIENTED_EDGE('',*,*,#17622,.F.); #23595=ORIENTED_EDGE('',*,*,#17623,.T.); #23596=ORIENTED_EDGE('',*,*,#17620,.F.); #23597=ORIENTED_EDGE('',*,*,#17623,.F.); #23598=ORIENTED_EDGE('',*,*,#17621,.T.); #23599=ORIENTED_EDGE('',*,*,#17624,.T.); #23600=ORIENTED_EDGE('',*,*,#17625,.F.); #23601=ORIENTED_EDGE('',*,*,#17624,.F.); #23602=ORIENTED_EDGE('',*,*,#17626,.T.); #23603=ORIENTED_EDGE('',*,*,#17627,.F.); #23604=ORIENTED_EDGE('',*,*,#17628,.F.); #23605=ORIENTED_EDGE('',*,*,#17629,.T.); #23606=ORIENTED_EDGE('',*,*,#17626,.F.); #23607=ORIENTED_EDGE('',*,*,#17629,.F.); #23608=ORIENTED_EDGE('',*,*,#17627,.T.); #23609=ORIENTED_EDGE('',*,*,#17630,.T.); #23610=ORIENTED_EDGE('',*,*,#17631,.F.); #23611=ORIENTED_EDGE('',*,*,#17630,.F.); #23612=ORIENTED_EDGE('',*,*,#17632,.T.); #23613=ORIENTED_EDGE('',*,*,#17633,.F.); #23614=ORIENTED_EDGE('',*,*,#17634,.F.); #23615=ORIENTED_EDGE('',*,*,#17635,.T.); #23616=ORIENTED_EDGE('',*,*,#17632,.F.); #23617=ORIENTED_EDGE('',*,*,#17635,.F.); #23618=ORIENTED_EDGE('',*,*,#17633,.T.); #23619=ORIENTED_EDGE('',*,*,#17636,.T.); #23620=ORIENTED_EDGE('',*,*,#17637,.F.); #23621=ORIENTED_EDGE('',*,*,#17636,.F.); #23622=ORIENTED_EDGE('',*,*,#17638,.T.); #23623=ORIENTED_EDGE('',*,*,#17639,.F.); #23624=ORIENTED_EDGE('',*,*,#17640,.F.); #23625=ORIENTED_EDGE('',*,*,#17641,.T.); #23626=ORIENTED_EDGE('',*,*,#17638,.F.); #23627=ORIENTED_EDGE('',*,*,#17641,.F.); #23628=ORIENTED_EDGE('',*,*,#17639,.T.); #23629=ORIENTED_EDGE('',*,*,#17642,.T.); #23630=ORIENTED_EDGE('',*,*,#17643,.F.); #23631=ORIENTED_EDGE('',*,*,#17642,.F.); #23632=ORIENTED_EDGE('',*,*,#17644,.T.); #23633=ORIENTED_EDGE('',*,*,#17645,.T.); #23634=ORIENTED_EDGE('',*,*,#17646,.F.); #23635=ORIENTED_EDGE('',*,*,#17647,.F.); #23636=ORIENTED_EDGE('',*,*,#17648,.F.); #23637=ORIENTED_EDGE('',*,*,#17647,.T.); #23638=ORIENTED_EDGE('',*,*,#17649,.T.); #23639=ORIENTED_EDGE('',*,*,#17581,.F.); #23640=ORIENTED_EDGE('',*,*,#17650,.T.); #23641=ORIENTED_EDGE('',*,*,#17583,.F.); #23642=ORIENTED_EDGE('',*,*,#17651,.F.); #23643=ORIENTED_EDGE('',*,*,#17645,.F.); #23644=ORIENTED_EDGE('',*,*,#17651,.T.); #23645=ORIENTED_EDGE('',*,*,#17582,.F.); #23646=ORIENTED_EDGE('',*,*,#17649,.F.); #23647=ORIENTED_EDGE('',*,*,#17646,.T.); #23648=ORIENTED_EDGE('',*,*,#17586,.T.); #23649=ORIENTED_EDGE('',*,*,#17592,.T.); #23650=ORIENTED_EDGE('',*,*,#17598,.T.); #23651=ORIENTED_EDGE('',*,*,#17604,.T.); #23652=ORIENTED_EDGE('',*,*,#17610,.T.); #23653=ORIENTED_EDGE('',*,*,#17616,.T.); #23654=ORIENTED_EDGE('',*,*,#17622,.T.); #23655=ORIENTED_EDGE('',*,*,#17628,.T.); #23656=ORIENTED_EDGE('',*,*,#17634,.T.); #23657=ORIENTED_EDGE('',*,*,#17640,.T.); #23658=ORIENTED_EDGE('',*,*,#17650,.F.); #23659=ORIENTED_EDGE('',*,*,#17644,.F.); #23660=ORIENTED_EDGE('',*,*,#17648,.T.); #23661=ORIENTED_EDGE('',*,*,#17580,.F.); #23662=ORIENTED_EDGE('',*,*,#17589,.T.); #23663=ORIENTED_EDGE('',*,*,#17595,.T.); #23664=ORIENTED_EDGE('',*,*,#17601,.T.); #23665=ORIENTED_EDGE('',*,*,#17607,.T.); #23666=ORIENTED_EDGE('',*,*,#17613,.T.); #23667=ORIENTED_EDGE('',*,*,#17619,.T.); #23668=ORIENTED_EDGE('',*,*,#17625,.T.); #23669=ORIENTED_EDGE('',*,*,#17631,.T.); #23670=ORIENTED_EDGE('',*,*,#17637,.T.); #23671=ORIENTED_EDGE('',*,*,#17643,.T.); #23672=ORIENTED_EDGE('',*,*,#17652,.F.); #23673=ORIENTED_EDGE('',*,*,#17653,.T.); #23674=ORIENTED_EDGE('',*,*,#17653,.F.); #23675=ORIENTED_EDGE('',*,*,#17654,.F.); #23676=ORIENTED_EDGE('',*,*,#17655,.T.); #23677=ORIENTED_EDGE('',*,*,#17652,.T.); #23678=ORIENTED_EDGE('',*,*,#17655,.F.); #23679=ORIENTED_EDGE('',*,*,#17656,.F.); #23680=ORIENTED_EDGE('',*,*,#17657,.T.); #23681=ORIENTED_EDGE('',*,*,#17657,.F.); #23682=ORIENTED_EDGE('',*,*,#17658,.F.); #23683=ORIENTED_EDGE('',*,*,#17659,.T.); #23684=ORIENTED_EDGE('',*,*,#17656,.T.); #23685=ORIENTED_EDGE('',*,*,#17659,.F.); #23686=ORIENTED_EDGE('',*,*,#17660,.F.); #23687=ORIENTED_EDGE('',*,*,#17661,.T.); #23688=ORIENTED_EDGE('',*,*,#17661,.F.); #23689=ORIENTED_EDGE('',*,*,#17662,.F.); #23690=ORIENTED_EDGE('',*,*,#17663,.T.); #23691=ORIENTED_EDGE('',*,*,#17660,.T.); #23692=ORIENTED_EDGE('',*,*,#17663,.F.); #23693=ORIENTED_EDGE('',*,*,#17664,.F.); #23694=ORIENTED_EDGE('',*,*,#17665,.T.); #23695=ORIENTED_EDGE('',*,*,#17665,.F.); #23696=ORIENTED_EDGE('',*,*,#17666,.F.); #23697=ORIENTED_EDGE('',*,*,#17667,.T.); #23698=ORIENTED_EDGE('',*,*,#17664,.T.); #23699=ORIENTED_EDGE('',*,*,#17667,.F.); #23700=ORIENTED_EDGE('',*,*,#17668,.F.); #23701=ORIENTED_EDGE('',*,*,#17669,.T.); #23702=ORIENTED_EDGE('',*,*,#17669,.F.); #23703=ORIENTED_EDGE('',*,*,#17670,.F.); #23704=ORIENTED_EDGE('',*,*,#17671,.T.); #23705=ORIENTED_EDGE('',*,*,#17668,.T.); #23706=ORIENTED_EDGE('',*,*,#17671,.F.); #23707=ORIENTED_EDGE('',*,*,#17672,.F.); #23708=ORIENTED_EDGE('',*,*,#17673,.T.); #23709=ORIENTED_EDGE('',*,*,#17673,.F.); #23710=ORIENTED_EDGE('',*,*,#17674,.F.); #23711=ORIENTED_EDGE('',*,*,#17675,.T.); #23712=ORIENTED_EDGE('',*,*,#17672,.T.); #23713=ORIENTED_EDGE('',*,*,#17675,.F.); #23714=ORIENTED_EDGE('',*,*,#17676,.F.); #23715=ORIENTED_EDGE('',*,*,#17677,.T.); #23716=ORIENTED_EDGE('',*,*,#17677,.F.); #23717=ORIENTED_EDGE('',*,*,#17678,.F.); #23718=ORIENTED_EDGE('',*,*,#17679,.T.); #23719=ORIENTED_EDGE('',*,*,#17676,.T.); #23720=ORIENTED_EDGE('',*,*,#17679,.F.); #23721=ORIENTED_EDGE('',*,*,#17680,.F.); #23722=ORIENTED_EDGE('',*,*,#17681,.T.); #23723=ORIENTED_EDGE('',*,*,#17681,.F.); #23724=ORIENTED_EDGE('',*,*,#17682,.F.); #23725=ORIENTED_EDGE('',*,*,#17683,.T.); #23726=ORIENTED_EDGE('',*,*,#17680,.T.); #23727=ORIENTED_EDGE('',*,*,#17683,.F.); #23728=ORIENTED_EDGE('',*,*,#17684,.F.); #23729=ORIENTED_EDGE('',*,*,#17685,.T.); #23730=ORIENTED_EDGE('',*,*,#17685,.F.); #23731=ORIENTED_EDGE('',*,*,#17686,.F.); #23732=ORIENTED_EDGE('',*,*,#17687,.T.); #23733=ORIENTED_EDGE('',*,*,#17684,.T.); #23734=ORIENTED_EDGE('',*,*,#17687,.F.); #23735=ORIENTED_EDGE('',*,*,#17688,.F.); #23736=ORIENTED_EDGE('',*,*,#17689,.T.); #23737=ORIENTED_EDGE('',*,*,#17689,.F.); #23738=ORIENTED_EDGE('',*,*,#17690,.F.); #23739=ORIENTED_EDGE('',*,*,#17691,.T.); #23740=ORIENTED_EDGE('',*,*,#17688,.T.); #23741=ORIENTED_EDGE('',*,*,#17691,.F.); #23742=ORIENTED_EDGE('',*,*,#17692,.F.); #23743=ORIENTED_EDGE('',*,*,#17693,.T.); #23744=ORIENTED_EDGE('',*,*,#17693,.F.); #23745=ORIENTED_EDGE('',*,*,#17694,.F.); #23746=ORIENTED_EDGE('',*,*,#17695,.T.); #23747=ORIENTED_EDGE('',*,*,#17692,.T.); #23748=ORIENTED_EDGE('',*,*,#17695,.F.); #23749=ORIENTED_EDGE('',*,*,#17696,.F.); #23750=ORIENTED_EDGE('',*,*,#17697,.T.); #23751=ORIENTED_EDGE('',*,*,#17697,.F.); #23752=ORIENTED_EDGE('',*,*,#17698,.F.); #23753=ORIENTED_EDGE('',*,*,#17699,.T.); #23754=ORIENTED_EDGE('',*,*,#17696,.T.); #23755=ORIENTED_EDGE('',*,*,#17699,.F.); #23756=ORIENTED_EDGE('',*,*,#17700,.F.); #23757=ORIENTED_EDGE('',*,*,#17701,.T.); #23758=ORIENTED_EDGE('',*,*,#17701,.F.); #23759=ORIENTED_EDGE('',*,*,#17702,.F.); #23760=ORIENTED_EDGE('',*,*,#17703,.T.); #23761=ORIENTED_EDGE('',*,*,#17700,.T.); #23762=ORIENTED_EDGE('',*,*,#17703,.F.); #23763=ORIENTED_EDGE('',*,*,#17704,.F.); #23764=ORIENTED_EDGE('',*,*,#17705,.T.); #23765=ORIENTED_EDGE('',*,*,#17705,.F.); #23766=ORIENTED_EDGE('',*,*,#17706,.F.); #23767=ORIENTED_EDGE('',*,*,#17707,.T.); #23768=ORIENTED_EDGE('',*,*,#17704,.T.); #23769=ORIENTED_EDGE('',*,*,#17707,.F.); #23770=ORIENTED_EDGE('',*,*,#17708,.F.); #23771=ORIENTED_EDGE('',*,*,#17709,.T.); #23772=ORIENTED_EDGE('',*,*,#17709,.F.); #23773=ORIENTED_EDGE('',*,*,#17710,.F.); #23774=ORIENTED_EDGE('',*,*,#17711,.T.); #23775=ORIENTED_EDGE('',*,*,#17708,.T.); #23776=ORIENTED_EDGE('',*,*,#17711,.F.); #23777=ORIENTED_EDGE('',*,*,#17712,.F.); #23778=ORIENTED_EDGE('',*,*,#17713,.T.); #23779=ORIENTED_EDGE('',*,*,#17713,.F.); #23780=ORIENTED_EDGE('',*,*,#17714,.F.); #23781=ORIENTED_EDGE('',*,*,#17715,.T.); #23782=ORIENTED_EDGE('',*,*,#17712,.T.); #23783=ORIENTED_EDGE('',*,*,#17715,.F.); #23784=ORIENTED_EDGE('',*,*,#17716,.F.); #23785=ORIENTED_EDGE('',*,*,#17717,.T.); #23786=ORIENTED_EDGE('',*,*,#17717,.F.); #23787=ORIENTED_EDGE('',*,*,#17718,.F.); #23788=ORIENTED_EDGE('',*,*,#17719,.T.); #23789=ORIENTED_EDGE('',*,*,#17716,.T.); #23790=ORIENTED_EDGE('',*,*,#17719,.F.); #23791=ORIENTED_EDGE('',*,*,#17720,.F.); #23792=ORIENTED_EDGE('',*,*,#17721,.T.); #23793=ORIENTED_EDGE('',*,*,#17721,.F.); #23794=ORIENTED_EDGE('',*,*,#17722,.F.); #23795=ORIENTED_EDGE('',*,*,#17723,.T.); #23796=ORIENTED_EDGE('',*,*,#17720,.T.); #23797=ORIENTED_EDGE('',*,*,#17723,.F.); #23798=ORIENTED_EDGE('',*,*,#17724,.T.); #23799=ORIENTED_EDGE('',*,*,#17725,.T.); #23800=ORIENTED_EDGE('',*,*,#17725,.F.); #23801=ORIENTED_EDGE('',*,*,#17726,.F.); #23802=ORIENTED_EDGE('',*,*,#17727,.T.); #23803=ORIENTED_EDGE('',*,*,#17724,.F.); #23804=ORIENTED_EDGE('',*,*,#17727,.F.); #23805=ORIENTED_EDGE('',*,*,#17728,.T.); #23806=ORIENTED_EDGE('',*,*,#17729,.T.); #23807=ORIENTED_EDGE('',*,*,#17729,.F.); #23808=ORIENTED_EDGE('',*,*,#17730,.F.); #23809=ORIENTED_EDGE('',*,*,#17731,.T.); #23810=ORIENTED_EDGE('',*,*,#17728,.F.); #23811=ORIENTED_EDGE('',*,*,#17731,.F.); #23812=ORIENTED_EDGE('',*,*,#17732,.T.); #23813=ORIENTED_EDGE('',*,*,#17733,.T.); #23814=ORIENTED_EDGE('',*,*,#17733,.F.); #23815=ORIENTED_EDGE('',*,*,#17734,.F.); #23816=ORIENTED_EDGE('',*,*,#17735,.T.); #23817=ORIENTED_EDGE('',*,*,#17732,.F.); #23818=ORIENTED_EDGE('',*,*,#17735,.F.); #23819=ORIENTED_EDGE('',*,*,#17736,.T.); #23820=ORIENTED_EDGE('',*,*,#17737,.T.); #23821=ORIENTED_EDGE('',*,*,#17737,.F.); #23822=ORIENTED_EDGE('',*,*,#17738,.F.); #23823=ORIENTED_EDGE('',*,*,#17739,.T.); #23824=ORIENTED_EDGE('',*,*,#17736,.F.); #23825=ORIENTED_EDGE('',*,*,#17739,.F.); #23826=ORIENTED_EDGE('',*,*,#17740,.T.); #23827=ORIENTED_EDGE('',*,*,#17741,.T.); #23828=ORIENTED_EDGE('',*,*,#17741,.F.); #23829=ORIENTED_EDGE('',*,*,#17742,.F.); #23830=ORIENTED_EDGE('',*,*,#17743,.T.); #23831=ORIENTED_EDGE('',*,*,#17740,.F.); #23832=ORIENTED_EDGE('',*,*,#17743,.F.); #23833=ORIENTED_EDGE('',*,*,#17744,.T.); #23834=ORIENTED_EDGE('',*,*,#17745,.T.); #23835=ORIENTED_EDGE('',*,*,#17745,.F.); #23836=ORIENTED_EDGE('',*,*,#17746,.F.); #23837=ORIENTED_EDGE('',*,*,#17747,.T.); #23838=ORIENTED_EDGE('',*,*,#17744,.F.); #23839=ORIENTED_EDGE('',*,*,#17747,.F.); #23840=ORIENTED_EDGE('',*,*,#17748,.T.); #23841=ORIENTED_EDGE('',*,*,#17749,.T.); #23842=ORIENTED_EDGE('',*,*,#17749,.F.); #23843=ORIENTED_EDGE('',*,*,#17750,.F.); #23844=ORIENTED_EDGE('',*,*,#17751,.T.); #23845=ORIENTED_EDGE('',*,*,#17748,.F.); #23846=ORIENTED_EDGE('',*,*,#17751,.F.); #23847=ORIENTED_EDGE('',*,*,#17752,.T.); #23848=ORIENTED_EDGE('',*,*,#17753,.T.); #23849=ORIENTED_EDGE('',*,*,#17753,.F.); #23850=ORIENTED_EDGE('',*,*,#17754,.F.); #23851=ORIENTED_EDGE('',*,*,#17755,.T.); #23852=ORIENTED_EDGE('',*,*,#17752,.F.); #23853=ORIENTED_EDGE('',*,*,#17755,.F.); #23854=ORIENTED_EDGE('',*,*,#17756,.T.); #23855=ORIENTED_EDGE('',*,*,#17757,.T.); #23856=ORIENTED_EDGE('',*,*,#17757,.F.); #23857=ORIENTED_EDGE('',*,*,#17758,.F.); #23858=ORIENTED_EDGE('',*,*,#17759,.T.); #23859=ORIENTED_EDGE('',*,*,#17756,.F.); #23860=ORIENTED_EDGE('',*,*,#17759,.F.); #23861=ORIENTED_EDGE('',*,*,#17760,.T.); #23862=ORIENTED_EDGE('',*,*,#17761,.T.); #23863=ORIENTED_EDGE('',*,*,#17761,.F.); #23864=ORIENTED_EDGE('',*,*,#17762,.F.); #23865=ORIENTED_EDGE('',*,*,#17763,.T.); #23866=ORIENTED_EDGE('',*,*,#17760,.F.); #23867=ORIENTED_EDGE('',*,*,#17763,.F.); #23868=ORIENTED_EDGE('',*,*,#17764,.T.); #23869=ORIENTED_EDGE('',*,*,#17765,.T.); #23870=ORIENTED_EDGE('',*,*,#17765,.F.); #23871=ORIENTED_EDGE('',*,*,#17766,.F.); #23872=ORIENTED_EDGE('',*,*,#17767,.T.); #23873=ORIENTED_EDGE('',*,*,#17764,.F.); #23874=ORIENTED_EDGE('',*,*,#17767,.F.); #23875=ORIENTED_EDGE('',*,*,#17768,.T.); #23876=ORIENTED_EDGE('',*,*,#17769,.T.); #23877=ORIENTED_EDGE('',*,*,#17769,.F.); #23878=ORIENTED_EDGE('',*,*,#17770,.F.); #23879=ORIENTED_EDGE('',*,*,#17771,.T.); #23880=ORIENTED_EDGE('',*,*,#17768,.F.); #23881=ORIENTED_EDGE('',*,*,#17771,.F.); #23882=ORIENTED_EDGE('',*,*,#17772,.T.); #23883=ORIENTED_EDGE('',*,*,#17773,.T.); #23884=ORIENTED_EDGE('',*,*,#17773,.F.); #23885=ORIENTED_EDGE('',*,*,#17774,.F.); #23886=ORIENTED_EDGE('',*,*,#17775,.T.); #23887=ORIENTED_EDGE('',*,*,#17772,.F.); #23888=ORIENTED_EDGE('',*,*,#17775,.F.); #23889=ORIENTED_EDGE('',*,*,#17776,.T.); #23890=ORIENTED_EDGE('',*,*,#17777,.T.); #23891=ORIENTED_EDGE('',*,*,#17777,.F.); #23892=ORIENTED_EDGE('',*,*,#17778,.F.); #23893=ORIENTED_EDGE('',*,*,#17779,.T.); #23894=ORIENTED_EDGE('',*,*,#17776,.F.); #23895=ORIENTED_EDGE('',*,*,#17779,.F.); #23896=ORIENTED_EDGE('',*,*,#17780,.T.); #23897=ORIENTED_EDGE('',*,*,#17781,.T.); #23898=ORIENTED_EDGE('',*,*,#17781,.F.); #23899=ORIENTED_EDGE('',*,*,#17782,.F.); #23900=ORIENTED_EDGE('',*,*,#17783,.T.); #23901=ORIENTED_EDGE('',*,*,#17780,.F.); #23902=ORIENTED_EDGE('',*,*,#17783,.F.); #23903=ORIENTED_EDGE('',*,*,#17784,.T.); #23904=ORIENTED_EDGE('',*,*,#17785,.T.); #23905=ORIENTED_EDGE('',*,*,#17785,.F.); #23906=ORIENTED_EDGE('',*,*,#17786,.F.); #23907=ORIENTED_EDGE('',*,*,#17787,.T.); #23908=ORIENTED_EDGE('',*,*,#17784,.F.); #23909=ORIENTED_EDGE('',*,*,#17787,.F.); #23910=ORIENTED_EDGE('',*,*,#17788,.T.); #23911=ORIENTED_EDGE('',*,*,#17789,.T.); #23912=ORIENTED_EDGE('',*,*,#17789,.F.); #23913=ORIENTED_EDGE('',*,*,#17790,.F.); #23914=ORIENTED_EDGE('',*,*,#17791,.T.); #23915=ORIENTED_EDGE('',*,*,#17788,.F.); #23916=ORIENTED_EDGE('',*,*,#17791,.F.); #23917=ORIENTED_EDGE('',*,*,#17792,.T.); #23918=ORIENTED_EDGE('',*,*,#17793,.T.); #23919=ORIENTED_EDGE('',*,*,#17793,.F.); #23920=ORIENTED_EDGE('',*,*,#17794,.F.); #23921=ORIENTED_EDGE('',*,*,#17795,.T.); #23922=ORIENTED_EDGE('',*,*,#17792,.F.); #23923=ORIENTED_EDGE('',*,*,#17795,.F.); #23924=ORIENTED_EDGE('',*,*,#17796,.T.); #23925=ORIENTED_EDGE('',*,*,#17797,.F.); #23926=ORIENTED_EDGE('',*,*,#17798,.F.); #23927=ORIENTED_EDGE('',*,*,#17799,.F.); #23928=ORIENTED_EDGE('',*,*,#17658,.T.); #23929=ORIENTED_EDGE('',*,*,#17662,.T.); #23930=ORIENTED_EDGE('',*,*,#17666,.T.); #23931=ORIENTED_EDGE('',*,*,#17670,.T.); #23932=ORIENTED_EDGE('',*,*,#17674,.T.); #23933=ORIENTED_EDGE('',*,*,#17678,.T.); #23934=ORIENTED_EDGE('',*,*,#17797,.T.); #23935=ORIENTED_EDGE('',*,*,#17800,.T.); #23936=ORIENTED_EDGE('',*,*,#17801,.F.); #23937=ORIENTED_EDGE('',*,*,#17802,.T.); #23938=ORIENTED_EDGE('',*,*,#17803,.F.); #23939=ORIENTED_EDGE('',*,*,#17654,.T.); #23940=ORIENTED_EDGE('',*,*,#17734,.T.); #23941=ORIENTED_EDGE('',*,*,#17804,.F.); #23942=ORIENTED_EDGE('',*,*,#17805,.F.); #23943=ORIENTED_EDGE('',*,*,#17800,.F.); #23944=ORIENTED_EDGE('',*,*,#17796,.F.); #23945=ORIENTED_EDGE('',*,*,#17774,.T.); #23946=ORIENTED_EDGE('',*,*,#17778,.T.); #23947=ORIENTED_EDGE('',*,*,#17782,.T.); #23948=ORIENTED_EDGE('',*,*,#17786,.T.); #23949=ORIENTED_EDGE('',*,*,#17790,.T.); #23950=ORIENTED_EDGE('',*,*,#17794,.T.); #23951=ORIENTED_EDGE('',*,*,#17805,.T.); #23952=ORIENTED_EDGE('',*,*,#17806,.T.); #23953=ORIENTED_EDGE('',*,*,#17807,.T.); #23954=ORIENTED_EDGE('',*,*,#17808,.T.); #23955=ORIENTED_EDGE('',*,*,#17809,.F.); #23956=ORIENTED_EDGE('',*,*,#17810,.T.); #23957=ORIENTED_EDGE('',*,*,#17811,.T.); #23958=ORIENTED_EDGE('',*,*,#17801,.T.); #23959=ORIENTED_EDGE('',*,*,#17804,.T.); #23960=ORIENTED_EDGE('',*,*,#17812,.T.); #23961=ORIENTED_EDGE('',*,*,#17813,.F.); #23962=ORIENTED_EDGE('',*,*,#17806,.F.); #23963=ORIENTED_EDGE('',*,*,#17738,.T.); #23964=ORIENTED_EDGE('',*,*,#17742,.T.); #23965=ORIENTED_EDGE('',*,*,#17746,.T.); #23966=ORIENTED_EDGE('',*,*,#17750,.T.); #23967=ORIENTED_EDGE('',*,*,#17754,.T.); #23968=ORIENTED_EDGE('',*,*,#17758,.T.); #23969=ORIENTED_EDGE('',*,*,#17762,.T.); #23970=ORIENTED_EDGE('',*,*,#17766,.T.); #23971=ORIENTED_EDGE('',*,*,#17770,.T.); #23972=ORIENTED_EDGE('',*,*,#17813,.T.); #23973=ORIENTED_EDGE('',*,*,#17814,.T.); #23974=ORIENTED_EDGE('',*,*,#17815,.F.); #23975=ORIENTED_EDGE('',*,*,#17816,.F.); #23976=ORIENTED_EDGE('',*,*,#17807,.F.); #23977=ORIENTED_EDGE('',*,*,#17718,.T.); #23978=ORIENTED_EDGE('',*,*,#17722,.T.); #23979=ORIENTED_EDGE('',*,*,#17726,.T.); #23980=ORIENTED_EDGE('',*,*,#17730,.T.); #23981=ORIENTED_EDGE('',*,*,#17799,.T.); #23982=ORIENTED_EDGE('',*,*,#17817,.F.); #23983=ORIENTED_EDGE('',*,*,#17814,.F.); #23984=ORIENTED_EDGE('',*,*,#17812,.F.); #23985=ORIENTED_EDGE('',*,*,#17682,.T.); #23986=ORIENTED_EDGE('',*,*,#17686,.T.); #23987=ORIENTED_EDGE('',*,*,#17690,.T.); #23988=ORIENTED_EDGE('',*,*,#17694,.T.); #23989=ORIENTED_EDGE('',*,*,#17698,.T.); #23990=ORIENTED_EDGE('',*,*,#17702,.T.); #23991=ORIENTED_EDGE('',*,*,#17706,.T.); #23992=ORIENTED_EDGE('',*,*,#17710,.T.); #23993=ORIENTED_EDGE('',*,*,#17714,.T.); #23994=ORIENTED_EDGE('',*,*,#17809,.T.); #23995=ORIENTED_EDGE('',*,*,#17818,.T.); #23996=ORIENTED_EDGE('',*,*,#17819,.T.); #23997=ORIENTED_EDGE('',*,*,#17820,.T.); #23998=ORIENTED_EDGE('',*,*,#17818,.F.); #23999=ORIENTED_EDGE('',*,*,#17808,.F.); #24000=ORIENTED_EDGE('',*,*,#17816,.T.); #24001=ORIENTED_EDGE('',*,*,#17821,.F.); #24002=ORIENTED_EDGE('',*,*,#17820,.F.); #24003=ORIENTED_EDGE('',*,*,#17822,.F.); #24004=ORIENTED_EDGE('',*,*,#17823,.T.); #24005=ORIENTED_EDGE('',*,*,#17810,.F.); #24006=ORIENTED_EDGE('',*,*,#17802,.F.); #24007=ORIENTED_EDGE('',*,*,#17811,.F.); #24008=ORIENTED_EDGE('',*,*,#17823,.F.); #24009=ORIENTED_EDGE('',*,*,#17824,.F.); #24010=ORIENTED_EDGE('',*,*,#17798,.T.); #24011=ORIENTED_EDGE('',*,*,#17803,.T.); #24012=ORIENTED_EDGE('',*,*,#17824,.T.); #24013=ORIENTED_EDGE('',*,*,#17822,.T.); #24014=ORIENTED_EDGE('',*,*,#17819,.F.); #24015=ORIENTED_EDGE('',*,*,#17821,.T.); #24016=ORIENTED_EDGE('',*,*,#17815,.T.); #24017=ORIENTED_EDGE('',*,*,#17817,.T.); #24018=ORIENTED_EDGE('',*,*,#17825,.T.); #24019=ORIENTED_EDGE('',*,*,#17826,.T.); #24020=ORIENTED_EDGE('',*,*,#17826,.F.); #24021=ORIENTED_EDGE('',*,*,#17827,.F.); #24022=ORIENTED_EDGE('',*,*,#17828,.T.); #24023=ORIENTED_EDGE('',*,*,#17825,.F.); #24024=ORIENTED_EDGE('',*,*,#17828,.F.); #24025=ORIENTED_EDGE('',*,*,#17829,.T.); #24026=ORIENTED_EDGE('',*,*,#17830,.T.); #24027=ORIENTED_EDGE('',*,*,#17830,.F.); #24028=ORIENTED_EDGE('',*,*,#17831,.F.); #24029=ORIENTED_EDGE('',*,*,#17832,.T.); #24030=ORIENTED_EDGE('',*,*,#17829,.F.); #24031=ORIENTED_EDGE('',*,*,#17832,.F.); #24032=ORIENTED_EDGE('',*,*,#17833,.T.); #24033=ORIENTED_EDGE('',*,*,#17834,.T.); #24034=ORIENTED_EDGE('',*,*,#17834,.F.); #24035=ORIENTED_EDGE('',*,*,#17835,.F.); #24036=ORIENTED_EDGE('',*,*,#17836,.T.); #24037=ORIENTED_EDGE('',*,*,#17833,.F.); #24038=ORIENTED_EDGE('',*,*,#17836,.F.); #24039=ORIENTED_EDGE('',*,*,#17837,.T.); #24040=ORIENTED_EDGE('',*,*,#17838,.T.); #24041=ORIENTED_EDGE('',*,*,#17838,.F.); #24042=ORIENTED_EDGE('',*,*,#17839,.F.); #24043=ORIENTED_EDGE('',*,*,#17840,.T.); #24044=ORIENTED_EDGE('',*,*,#17837,.F.); #24045=ORIENTED_EDGE('',*,*,#17840,.F.); #24046=ORIENTED_EDGE('',*,*,#17841,.T.); #24047=ORIENTED_EDGE('',*,*,#17842,.T.); #24048=ORIENTED_EDGE('',*,*,#17842,.F.); #24049=ORIENTED_EDGE('',*,*,#17843,.F.); #24050=ORIENTED_EDGE('',*,*,#17844,.T.); #24051=ORIENTED_EDGE('',*,*,#17841,.F.); #24052=ORIENTED_EDGE('',*,*,#17844,.F.); #24053=ORIENTED_EDGE('',*,*,#17845,.F.); #24054=ORIENTED_EDGE('',*,*,#17846,.T.); #24055=ORIENTED_EDGE('',*,*,#17847,.F.); #24056=ORIENTED_EDGE('',*,*,#17846,.F.); #24057=ORIENTED_EDGE('',*,*,#17848,.F.); #24058=ORIENTED_EDGE('',*,*,#17849,.T.); #24059=ORIENTED_EDGE('',*,*,#17850,.F.); #24060=ORIENTED_EDGE('',*,*,#17849,.F.); #24061=ORIENTED_EDGE('',*,*,#17851,.F.); #24062=ORIENTED_EDGE('',*,*,#17852,.T.); #24063=ORIENTED_EDGE('',*,*,#17853,.F.); #24064=ORIENTED_EDGE('',*,*,#17852,.F.); #24065=ORIENTED_EDGE('',*,*,#17854,.F.); #24066=ORIENTED_EDGE('',*,*,#17855,.T.); #24067=ORIENTED_EDGE('',*,*,#17856,.F.); #24068=ORIENTED_EDGE('',*,*,#17855,.F.); #24069=ORIENTED_EDGE('',*,*,#17857,.F.); #24070=ORIENTED_EDGE('',*,*,#17858,.T.); #24071=ORIENTED_EDGE('',*,*,#17859,.F.); #24072=ORIENTED_EDGE('',*,*,#17858,.F.); #24073=ORIENTED_EDGE('',*,*,#17860,.F.); #24074=ORIENTED_EDGE('',*,*,#17861,.T.); #24075=ORIENTED_EDGE('',*,*,#17862,.F.); #24076=ORIENTED_EDGE('',*,*,#17861,.F.); #24077=ORIENTED_EDGE('',*,*,#17863,.F.); #24078=ORIENTED_EDGE('',*,*,#17864,.T.); #24079=ORIENTED_EDGE('',*,*,#17865,.F.); #24080=ORIENTED_EDGE('',*,*,#17864,.F.); #24081=ORIENTED_EDGE('',*,*,#17866,.F.); #24082=ORIENTED_EDGE('',*,*,#17867,.T.); #24083=ORIENTED_EDGE('',*,*,#17868,.F.); #24084=ORIENTED_EDGE('',*,*,#17867,.F.); #24085=ORIENTED_EDGE('',*,*,#17869,.F.); #24086=ORIENTED_EDGE('',*,*,#17870,.T.); #24087=ORIENTED_EDGE('',*,*,#17871,.F.); #24088=ORIENTED_EDGE('',*,*,#17870,.F.); #24089=ORIENTED_EDGE('',*,*,#17872,.F.); #24090=ORIENTED_EDGE('',*,*,#17873,.T.); #24091=ORIENTED_EDGE('',*,*,#17874,.F.); #24092=ORIENTED_EDGE('',*,*,#17873,.F.); #24093=ORIENTED_EDGE('',*,*,#17875,.F.); #24094=ORIENTED_EDGE('',*,*,#17876,.T.); #24095=ORIENTED_EDGE('',*,*,#17877,.F.); #24096=ORIENTED_EDGE('',*,*,#17876,.F.); #24097=ORIENTED_EDGE('',*,*,#17878,.F.); #24098=ORIENTED_EDGE('',*,*,#17879,.T.); #24099=ORIENTED_EDGE('',*,*,#17880,.F.); #24100=ORIENTED_EDGE('',*,*,#17879,.F.); #24101=ORIENTED_EDGE('',*,*,#17881,.F.); #24102=ORIENTED_EDGE('',*,*,#17882,.T.); #24103=ORIENTED_EDGE('',*,*,#17883,.F.); #24104=ORIENTED_EDGE('',*,*,#17882,.F.); #24105=ORIENTED_EDGE('',*,*,#17884,.F.); #24106=ORIENTED_EDGE('',*,*,#17885,.T.); #24107=ORIENTED_EDGE('',*,*,#17886,.F.); #24108=ORIENTED_EDGE('',*,*,#17885,.F.); #24109=ORIENTED_EDGE('',*,*,#17887,.F.); #24110=ORIENTED_EDGE('',*,*,#17888,.T.); #24111=ORIENTED_EDGE('',*,*,#17889,.F.); #24112=ORIENTED_EDGE('',*,*,#17888,.F.); #24113=ORIENTED_EDGE('',*,*,#17890,.F.); #24114=ORIENTED_EDGE('',*,*,#17891,.T.); #24115=ORIENTED_EDGE('',*,*,#17892,.F.); #24116=ORIENTED_EDGE('',*,*,#17891,.F.); #24117=ORIENTED_EDGE('',*,*,#17893,.F.); #24118=ORIENTED_EDGE('',*,*,#17894,.T.); #24119=ORIENTED_EDGE('',*,*,#17895,.F.); #24120=ORIENTED_EDGE('',*,*,#17894,.F.); #24121=ORIENTED_EDGE('',*,*,#17896,.T.); #24122=ORIENTED_EDGE('',*,*,#17897,.F.); #24123=ORIENTED_EDGE('',*,*,#17898,.F.); #24124=ORIENTED_EDGE('',*,*,#17899,.F.); #24125=ORIENTED_EDGE('',*,*,#17845,.T.); #24126=ORIENTED_EDGE('',*,*,#17848,.T.); #24127=ORIENTED_EDGE('',*,*,#17851,.T.); #24128=ORIENTED_EDGE('',*,*,#17854,.T.); #24129=ORIENTED_EDGE('',*,*,#17857,.T.); #24130=ORIENTED_EDGE('',*,*,#17860,.T.); #24131=ORIENTED_EDGE('',*,*,#17863,.T.); #24132=ORIENTED_EDGE('',*,*,#17866,.T.); #24133=ORIENTED_EDGE('',*,*,#17869,.T.); #24134=ORIENTED_EDGE('',*,*,#17872,.T.); #24135=ORIENTED_EDGE('',*,*,#17875,.T.); #24136=ORIENTED_EDGE('',*,*,#17878,.T.); #24137=ORIENTED_EDGE('',*,*,#17881,.T.); #24138=ORIENTED_EDGE('',*,*,#17884,.T.); #24139=ORIENTED_EDGE('',*,*,#17887,.T.); #24140=ORIENTED_EDGE('',*,*,#17890,.T.); #24141=ORIENTED_EDGE('',*,*,#17893,.T.); #24142=ORIENTED_EDGE('',*,*,#17900,.T.); #24143=ORIENTED_EDGE('',*,*,#17901,.F.); #24144=ORIENTED_EDGE('',*,*,#17902,.T.); #24145=ORIENTED_EDGE('',*,*,#17903,.T.); #24146=ORIENTED_EDGE('',*,*,#17904,.T.); #24147=ORIENTED_EDGE('',*,*,#17897,.T.); #24148=ORIENTED_EDGE('',*,*,#17827,.T.); #24149=ORIENTED_EDGE('',*,*,#17831,.T.); #24150=ORIENTED_EDGE('',*,*,#17835,.T.); #24151=ORIENTED_EDGE('',*,*,#17839,.T.); #24152=ORIENTED_EDGE('',*,*,#17843,.T.); #24153=ORIENTED_EDGE('',*,*,#17905,.T.); #24154=ORIENTED_EDGE('',*,*,#17906,.F.); #24155=ORIENTED_EDGE('',*,*,#17900,.F.); #24156=ORIENTED_EDGE('',*,*,#17896,.F.); #24157=ORIENTED_EDGE('',*,*,#17905,.F.); #24158=ORIENTED_EDGE('',*,*,#17899,.T.); #24159=ORIENTED_EDGE('',*,*,#17907,.T.); #24160=ORIENTED_EDGE('',*,*,#17908,.T.); #24161=ORIENTED_EDGE('',*,*,#17909,.T.); #24162=ORIENTED_EDGE('',*,*,#17910,.F.); #24163=ORIENTED_EDGE('',*,*,#17908,.F.); #24164=ORIENTED_EDGE('',*,*,#17911,.F.); #24165=ORIENTED_EDGE('',*,*,#17903,.F.); #24166=ORIENTED_EDGE('',*,*,#17912,.F.); #24167=ORIENTED_EDGE('',*,*,#17874,.T.); #24168=ORIENTED_EDGE('',*,*,#17877,.T.); #24169=ORIENTED_EDGE('',*,*,#17880,.T.); #24170=ORIENTED_EDGE('',*,*,#17883,.T.); #24171=ORIENTED_EDGE('',*,*,#17886,.T.); #24172=ORIENTED_EDGE('',*,*,#17889,.T.); #24173=ORIENTED_EDGE('',*,*,#17892,.T.); #24174=ORIENTED_EDGE('',*,*,#17895,.T.); #24175=ORIENTED_EDGE('',*,*,#17911,.T.); #24176=ORIENTED_EDGE('',*,*,#17907,.F.); #24177=ORIENTED_EDGE('',*,*,#17898,.T.); #24178=ORIENTED_EDGE('',*,*,#17904,.F.); #24179=ORIENTED_EDGE('',*,*,#17910,.T.); #24180=ORIENTED_EDGE('',*,*,#17913,.T.); #24181=ORIENTED_EDGE('',*,*,#17901,.T.); #24182=ORIENTED_EDGE('',*,*,#17906,.T.); #24183=ORIENTED_EDGE('',*,*,#17847,.T.); #24184=ORIENTED_EDGE('',*,*,#17850,.T.); #24185=ORIENTED_EDGE('',*,*,#17853,.T.); #24186=ORIENTED_EDGE('',*,*,#17856,.T.); #24187=ORIENTED_EDGE('',*,*,#17859,.T.); #24188=ORIENTED_EDGE('',*,*,#17862,.T.); #24189=ORIENTED_EDGE('',*,*,#17865,.T.); #24190=ORIENTED_EDGE('',*,*,#17868,.T.); #24191=ORIENTED_EDGE('',*,*,#17871,.T.); #24192=ORIENTED_EDGE('',*,*,#17909,.F.); #24193=ORIENTED_EDGE('',*,*,#17912,.T.); #24194=ORIENTED_EDGE('',*,*,#17902,.F.); #24195=ORIENTED_EDGE('',*,*,#17913,.F.); #24196=ORIENTED_EDGE('',*,*,#17914,.T.); #24197=ORIENTED_EDGE('',*,*,#17915,.T.); #24198=ORIENTED_EDGE('',*,*,#17915,.F.); #24199=ORIENTED_EDGE('',*,*,#17916,.F.); #24200=ORIENTED_EDGE('',*,*,#17917,.T.); #24201=ORIENTED_EDGE('',*,*,#17914,.F.); #24202=ORIENTED_EDGE('',*,*,#17917,.F.); #24203=ORIENTED_EDGE('',*,*,#17918,.T.); #24204=ORIENTED_EDGE('',*,*,#17919,.T.); #24205=ORIENTED_EDGE('',*,*,#17919,.F.); #24206=ORIENTED_EDGE('',*,*,#17920,.F.); #24207=ORIENTED_EDGE('',*,*,#17921,.T.); #24208=ORIENTED_EDGE('',*,*,#17918,.F.); #24209=ORIENTED_EDGE('',*,*,#17921,.F.); #24210=ORIENTED_EDGE('',*,*,#17922,.T.); #24211=ORIENTED_EDGE('',*,*,#17923,.T.); #24212=ORIENTED_EDGE('',*,*,#17923,.F.); #24213=ORIENTED_EDGE('',*,*,#17924,.F.); #24214=ORIENTED_EDGE('',*,*,#17925,.T.); #24215=ORIENTED_EDGE('',*,*,#17922,.F.); #24216=ORIENTED_EDGE('',*,*,#17925,.F.); #24217=ORIENTED_EDGE('',*,*,#17926,.T.); #24218=ORIENTED_EDGE('',*,*,#17927,.T.); #24219=ORIENTED_EDGE('',*,*,#17927,.F.); #24220=ORIENTED_EDGE('',*,*,#17928,.F.); #24221=ORIENTED_EDGE('',*,*,#17929,.T.); #24222=ORIENTED_EDGE('',*,*,#17926,.F.); #24223=ORIENTED_EDGE('',*,*,#17929,.F.); #24224=ORIENTED_EDGE('',*,*,#17930,.T.); #24225=ORIENTED_EDGE('',*,*,#17931,.T.); #24226=ORIENTED_EDGE('',*,*,#17931,.F.); #24227=ORIENTED_EDGE('',*,*,#17932,.F.); #24228=ORIENTED_EDGE('',*,*,#17933,.T.); #24229=ORIENTED_EDGE('',*,*,#17930,.F.); #24230=ORIENTED_EDGE('',*,*,#17933,.F.); #24231=ORIENTED_EDGE('',*,*,#17934,.T.); #24232=ORIENTED_EDGE('',*,*,#17935,.T.); #24233=ORIENTED_EDGE('',*,*,#17935,.F.); #24234=ORIENTED_EDGE('',*,*,#17936,.F.); #24235=ORIENTED_EDGE('',*,*,#17937,.T.); #24236=ORIENTED_EDGE('',*,*,#17934,.F.); #24237=ORIENTED_EDGE('',*,*,#17937,.F.); #24238=ORIENTED_EDGE('',*,*,#17938,.T.); #24239=ORIENTED_EDGE('',*,*,#17939,.T.); #24240=ORIENTED_EDGE('',*,*,#17939,.F.); #24241=ORIENTED_EDGE('',*,*,#17940,.F.); #24242=ORIENTED_EDGE('',*,*,#17941,.T.); #24243=ORIENTED_EDGE('',*,*,#17938,.F.); #24244=ORIENTED_EDGE('',*,*,#17941,.F.); #24245=ORIENTED_EDGE('',*,*,#17942,.F.); #24246=ORIENTED_EDGE('',*,*,#17943,.T.); #24247=ORIENTED_EDGE('',*,*,#17944,.F.); #24248=ORIENTED_EDGE('',*,*,#17943,.F.); #24249=ORIENTED_EDGE('',*,*,#17945,.F.); #24250=ORIENTED_EDGE('',*,*,#17946,.T.); #24251=ORIENTED_EDGE('',*,*,#17947,.F.); #24252=ORIENTED_EDGE('',*,*,#17946,.F.); #24253=ORIENTED_EDGE('',*,*,#17948,.F.); #24254=ORIENTED_EDGE('',*,*,#17949,.T.); #24255=ORIENTED_EDGE('',*,*,#17950,.F.); #24256=ORIENTED_EDGE('',*,*,#17949,.F.); #24257=ORIENTED_EDGE('',*,*,#17951,.F.); #24258=ORIENTED_EDGE('',*,*,#17952,.T.); #24259=ORIENTED_EDGE('',*,*,#17953,.F.); #24260=ORIENTED_EDGE('',*,*,#17952,.F.); #24261=ORIENTED_EDGE('',*,*,#17954,.F.); #24262=ORIENTED_EDGE('',*,*,#17955,.T.); #24263=ORIENTED_EDGE('',*,*,#17956,.F.); #24264=ORIENTED_EDGE('',*,*,#17955,.F.); #24265=ORIENTED_EDGE('',*,*,#17957,.F.); #24266=ORIENTED_EDGE('',*,*,#17958,.T.); #24267=ORIENTED_EDGE('',*,*,#17959,.F.); #24268=ORIENTED_EDGE('',*,*,#17958,.F.); #24269=ORIENTED_EDGE('',*,*,#17960,.F.); #24270=ORIENTED_EDGE('',*,*,#17961,.T.); #24271=ORIENTED_EDGE('',*,*,#17962,.F.); #24272=ORIENTED_EDGE('',*,*,#17961,.F.); #24273=ORIENTED_EDGE('',*,*,#17963,.F.); #24274=ORIENTED_EDGE('',*,*,#17964,.T.); #24275=ORIENTED_EDGE('',*,*,#17965,.F.); #24276=ORIENTED_EDGE('',*,*,#17964,.F.); #24277=ORIENTED_EDGE('',*,*,#17966,.F.); #24278=ORIENTED_EDGE('',*,*,#17967,.T.); #24279=ORIENTED_EDGE('',*,*,#17968,.F.); #24280=ORIENTED_EDGE('',*,*,#17967,.F.); #24281=ORIENTED_EDGE('',*,*,#17969,.F.); #24282=ORIENTED_EDGE('',*,*,#17970,.T.); #24283=ORIENTED_EDGE('',*,*,#17971,.F.); #24284=ORIENTED_EDGE('',*,*,#17970,.F.); #24285=ORIENTED_EDGE('',*,*,#17972,.F.); #24286=ORIENTED_EDGE('',*,*,#17973,.T.); #24287=ORIENTED_EDGE('',*,*,#17974,.F.); #24288=ORIENTED_EDGE('',*,*,#17973,.F.); #24289=ORIENTED_EDGE('',*,*,#17975,.F.); #24290=ORIENTED_EDGE('',*,*,#17976,.T.); #24291=ORIENTED_EDGE('',*,*,#17977,.F.); #24292=ORIENTED_EDGE('',*,*,#17976,.F.); #24293=ORIENTED_EDGE('',*,*,#17978,.F.); #24294=ORIENTED_EDGE('',*,*,#17979,.T.); #24295=ORIENTED_EDGE('',*,*,#17980,.F.); #24296=ORIENTED_EDGE('',*,*,#17979,.F.); #24297=ORIENTED_EDGE('',*,*,#17981,.F.); #24298=ORIENTED_EDGE('',*,*,#17982,.T.); #24299=ORIENTED_EDGE('',*,*,#17983,.F.); #24300=ORIENTED_EDGE('',*,*,#17982,.F.); #24301=ORIENTED_EDGE('',*,*,#17984,.F.); #24302=ORIENTED_EDGE('',*,*,#17985,.T.); #24303=ORIENTED_EDGE('',*,*,#17986,.F.); #24304=ORIENTED_EDGE('',*,*,#17985,.F.); #24305=ORIENTED_EDGE('',*,*,#17987,.F.); #24306=ORIENTED_EDGE('',*,*,#17988,.T.); #24307=ORIENTED_EDGE('',*,*,#17989,.F.); #24308=ORIENTED_EDGE('',*,*,#17988,.F.); #24309=ORIENTED_EDGE('',*,*,#17990,.F.); #24310=ORIENTED_EDGE('',*,*,#17991,.T.); #24311=ORIENTED_EDGE('',*,*,#17992,.F.); #24312=ORIENTED_EDGE('',*,*,#17993,.T.); #24313=ORIENTED_EDGE('',*,*,#17994,.T.); #24314=ORIENTED_EDGE('',*,*,#17993,.F.); #24315=ORIENTED_EDGE('',*,*,#17995,.T.); #24316=ORIENTED_EDGE('',*,*,#17996,.F.); #24317=ORIENTED_EDGE('',*,*,#17997,.T.); #24318=ORIENTED_EDGE('',*,*,#17991,.F.); #24319=ORIENTED_EDGE('',*,*,#17998,.T.); #24320=ORIENTED_EDGE('',*,*,#17999,.F.); #24321=ORIENTED_EDGE('',*,*,#17968,.T.); #24322=ORIENTED_EDGE('',*,*,#17971,.T.); #24323=ORIENTED_EDGE('',*,*,#17974,.T.); #24324=ORIENTED_EDGE('',*,*,#17977,.T.); #24325=ORIENTED_EDGE('',*,*,#17980,.T.); #24326=ORIENTED_EDGE('',*,*,#17983,.T.); #24327=ORIENTED_EDGE('',*,*,#17986,.T.); #24328=ORIENTED_EDGE('',*,*,#17989,.T.); #24329=ORIENTED_EDGE('',*,*,#17992,.T.); #24330=ORIENTED_EDGE('',*,*,#17997,.F.); #24331=ORIENTED_EDGE('',*,*,#18000,.F.); #24332=ORIENTED_EDGE('',*,*,#18001,.F.); #24333=ORIENTED_EDGE('',*,*,#18002,.F.); #24334=ORIENTED_EDGE('',*,*,#18003,.F.); #24335=ORIENTED_EDGE('',*,*,#18004,.T.); #24336=ORIENTED_EDGE('',*,*,#18005,.T.); #24337=ORIENTED_EDGE('',*,*,#18006,.T.); #24338=ORIENTED_EDGE('',*,*,#17995,.F.); #24339=ORIENTED_EDGE('',*,*,#18005,.F.); #24340=ORIENTED_EDGE('',*,*,#18007,.T.); #24341=ORIENTED_EDGE('',*,*,#18008,.F.); #24342=ORIENTED_EDGE('',*,*,#18009,.F.); #24343=ORIENTED_EDGE('',*,*,#17944,.T.); #24344=ORIENTED_EDGE('',*,*,#17947,.T.); #24345=ORIENTED_EDGE('',*,*,#17950,.T.); #24346=ORIENTED_EDGE('',*,*,#17953,.T.); #24347=ORIENTED_EDGE('',*,*,#17956,.T.); #24348=ORIENTED_EDGE('',*,*,#17959,.T.); #24349=ORIENTED_EDGE('',*,*,#17962,.T.); #24350=ORIENTED_EDGE('',*,*,#17965,.T.); #24351=ORIENTED_EDGE('',*,*,#18010,.F.); #24352=ORIENTED_EDGE('',*,*,#17996,.T.); #24353=ORIENTED_EDGE('',*,*,#18006,.F.); #24354=ORIENTED_EDGE('',*,*,#18009,.T.); #24355=ORIENTED_EDGE('',*,*,#17990,.T.); #24356=ORIENTED_EDGE('',*,*,#17994,.F.); #24357=ORIENTED_EDGE('',*,*,#18010,.T.); #24358=ORIENTED_EDGE('',*,*,#18008,.T.); #24359=ORIENTED_EDGE('',*,*,#18011,.T.); #24360=ORIENTED_EDGE('',*,*,#18012,.F.); #24361=ORIENTED_EDGE('',*,*,#18013,.F.); #24362=ORIENTED_EDGE('',*,*,#18014,.F.); #24363=ORIENTED_EDGE('',*,*,#18015,.F.); #24364=ORIENTED_EDGE('',*,*,#17998,.F.); #24365=ORIENTED_EDGE('',*,*,#17916,.T.); #24366=ORIENTED_EDGE('',*,*,#17920,.T.); #24367=ORIENTED_EDGE('',*,*,#17924,.T.); #24368=ORIENTED_EDGE('',*,*,#17928,.T.); #24369=ORIENTED_EDGE('',*,*,#17932,.T.); #24370=ORIENTED_EDGE('',*,*,#17936,.T.); #24371=ORIENTED_EDGE('',*,*,#17940,.T.); #24372=ORIENTED_EDGE('',*,*,#18004,.F.); #24373=ORIENTED_EDGE('',*,*,#18016,.F.); #24374=ORIENTED_EDGE('',*,*,#18011,.F.); #24375=ORIENTED_EDGE('',*,*,#18007,.F.); #24376=ORIENTED_EDGE('',*,*,#18003,.T.); #24377=ORIENTED_EDGE('',*,*,#18017,.F.); #24378=ORIENTED_EDGE('',*,*,#18012,.T.); #24379=ORIENTED_EDGE('',*,*,#18016,.T.); #24380=ORIENTED_EDGE('',*,*,#17942,.T.); #24381=ORIENTED_EDGE('',*,*,#17945,.T.); #24382=ORIENTED_EDGE('',*,*,#17948,.T.); #24383=ORIENTED_EDGE('',*,*,#17951,.T.); #24384=ORIENTED_EDGE('',*,*,#17954,.T.); #24385=ORIENTED_EDGE('',*,*,#17957,.T.); #24386=ORIENTED_EDGE('',*,*,#17960,.T.); #24387=ORIENTED_EDGE('',*,*,#17963,.T.); #24388=ORIENTED_EDGE('',*,*,#18002,.T.); #24389=ORIENTED_EDGE('',*,*,#18018,.T.); #24390=ORIENTED_EDGE('',*,*,#18013,.T.); #24391=ORIENTED_EDGE('',*,*,#18017,.T.); #24392=ORIENTED_EDGE('',*,*,#18001,.T.); #24393=ORIENTED_EDGE('',*,*,#18019,.F.); #24394=ORIENTED_EDGE('',*,*,#18014,.T.); #24395=ORIENTED_EDGE('',*,*,#18018,.F.); #24396=ORIENTED_EDGE('',*,*,#17966,.T.); #24397=ORIENTED_EDGE('',*,*,#17969,.T.); #24398=ORIENTED_EDGE('',*,*,#17972,.T.); #24399=ORIENTED_EDGE('',*,*,#17975,.T.); #24400=ORIENTED_EDGE('',*,*,#17978,.T.); #24401=ORIENTED_EDGE('',*,*,#17981,.T.); #24402=ORIENTED_EDGE('',*,*,#17984,.T.); #24403=ORIENTED_EDGE('',*,*,#17987,.T.); #24404=ORIENTED_EDGE('',*,*,#18000,.T.); #24405=ORIENTED_EDGE('',*,*,#17999,.T.); #24406=ORIENTED_EDGE('',*,*,#18015,.T.); #24407=ORIENTED_EDGE('',*,*,#18019,.T.); #24408=ORIENTED_EDGE('',*,*,#18020,.T.); #24409=ORIENTED_EDGE('',*,*,#18021,.T.); #24410=ORIENTED_EDGE('',*,*,#18021,.F.); #24411=ORIENTED_EDGE('',*,*,#18022,.F.); #24412=ORIENTED_EDGE('',*,*,#18023,.T.); #24413=ORIENTED_EDGE('',*,*,#18020,.F.); #24414=ORIENTED_EDGE('',*,*,#18023,.F.); #24415=ORIENTED_EDGE('',*,*,#18024,.T.); #24416=ORIENTED_EDGE('',*,*,#18025,.T.); #24417=ORIENTED_EDGE('',*,*,#18025,.F.); #24418=ORIENTED_EDGE('',*,*,#18026,.F.); #24419=ORIENTED_EDGE('',*,*,#18027,.T.); #24420=ORIENTED_EDGE('',*,*,#18024,.F.); #24421=ORIENTED_EDGE('',*,*,#18027,.F.); #24422=ORIENTED_EDGE('',*,*,#18028,.T.); #24423=ORIENTED_EDGE('',*,*,#18029,.T.); #24424=ORIENTED_EDGE('',*,*,#18029,.F.); #24425=ORIENTED_EDGE('',*,*,#18030,.F.); #24426=ORIENTED_EDGE('',*,*,#18031,.T.); #24427=ORIENTED_EDGE('',*,*,#18028,.F.); #24428=ORIENTED_EDGE('',*,*,#18031,.F.); #24429=ORIENTED_EDGE('',*,*,#18032,.T.); #24430=ORIENTED_EDGE('',*,*,#18033,.T.); #24431=ORIENTED_EDGE('',*,*,#18033,.F.); #24432=ORIENTED_EDGE('',*,*,#18034,.F.); #24433=ORIENTED_EDGE('',*,*,#18035,.T.); #24434=ORIENTED_EDGE('',*,*,#18032,.F.); #24435=ORIENTED_EDGE('',*,*,#18035,.F.); #24436=ORIENTED_EDGE('',*,*,#18036,.T.); #24437=ORIENTED_EDGE('',*,*,#18037,.T.); #24438=ORIENTED_EDGE('',*,*,#18037,.F.); #24439=ORIENTED_EDGE('',*,*,#18038,.F.); #24440=ORIENTED_EDGE('',*,*,#18039,.T.); #24441=ORIENTED_EDGE('',*,*,#18036,.F.); #24442=ORIENTED_EDGE('',*,*,#18039,.F.); #24443=ORIENTED_EDGE('',*,*,#18040,.F.); #24444=ORIENTED_EDGE('',*,*,#18041,.T.); #24445=ORIENTED_EDGE('',*,*,#18042,.F.); #24446=ORIENTED_EDGE('',*,*,#18041,.F.); #24447=ORIENTED_EDGE('',*,*,#18043,.F.); #24448=ORIENTED_EDGE('',*,*,#18044,.T.); #24449=ORIENTED_EDGE('',*,*,#18045,.F.); #24450=ORIENTED_EDGE('',*,*,#18044,.F.); #24451=ORIENTED_EDGE('',*,*,#18046,.F.); #24452=ORIENTED_EDGE('',*,*,#18047,.T.); #24453=ORIENTED_EDGE('',*,*,#18048,.F.); #24454=ORIENTED_EDGE('',*,*,#18047,.F.); #24455=ORIENTED_EDGE('',*,*,#18049,.F.); #24456=ORIENTED_EDGE('',*,*,#18050,.T.); #24457=ORIENTED_EDGE('',*,*,#18051,.F.); #24458=ORIENTED_EDGE('',*,*,#18050,.F.); #24459=ORIENTED_EDGE('',*,*,#18052,.F.); #24460=ORIENTED_EDGE('',*,*,#18053,.T.); #24461=ORIENTED_EDGE('',*,*,#18054,.F.); #24462=ORIENTED_EDGE('',*,*,#18053,.F.); #24463=ORIENTED_EDGE('',*,*,#18055,.F.); #24464=ORIENTED_EDGE('',*,*,#18056,.T.); #24465=ORIENTED_EDGE('',*,*,#18057,.F.); #24466=ORIENTED_EDGE('',*,*,#18056,.F.); #24467=ORIENTED_EDGE('',*,*,#18058,.F.); #24468=ORIENTED_EDGE('',*,*,#18059,.T.); #24469=ORIENTED_EDGE('',*,*,#18060,.F.); #24470=ORIENTED_EDGE('',*,*,#18059,.F.); #24471=ORIENTED_EDGE('',*,*,#18061,.F.); #24472=ORIENTED_EDGE('',*,*,#18062,.T.); #24473=ORIENTED_EDGE('',*,*,#18063,.F.); #24474=ORIENTED_EDGE('',*,*,#18062,.F.); #24475=ORIENTED_EDGE('',*,*,#18064,.F.); #24476=ORIENTED_EDGE('',*,*,#18065,.T.); #24477=ORIENTED_EDGE('',*,*,#18066,.F.); #24478=ORIENTED_EDGE('',*,*,#18065,.F.); #24479=ORIENTED_EDGE('',*,*,#18067,.F.); #24480=ORIENTED_EDGE('',*,*,#18068,.T.); #24481=ORIENTED_EDGE('',*,*,#18069,.F.); #24482=ORIENTED_EDGE('',*,*,#18068,.F.); #24483=ORIENTED_EDGE('',*,*,#18070,.F.); #24484=ORIENTED_EDGE('',*,*,#18071,.T.); #24485=ORIENTED_EDGE('',*,*,#18072,.F.); #24486=ORIENTED_EDGE('',*,*,#18071,.F.); #24487=ORIENTED_EDGE('',*,*,#18073,.F.); #24488=ORIENTED_EDGE('',*,*,#18074,.T.); #24489=ORIENTED_EDGE('',*,*,#18075,.F.); #24490=ORIENTED_EDGE('',*,*,#18074,.F.); #24491=ORIENTED_EDGE('',*,*,#18076,.F.); #24492=ORIENTED_EDGE('',*,*,#18077,.T.); #24493=ORIENTED_EDGE('',*,*,#18078,.F.); #24494=ORIENTED_EDGE('',*,*,#18077,.F.); #24495=ORIENTED_EDGE('',*,*,#18079,.F.); #24496=ORIENTED_EDGE('',*,*,#18080,.T.); #24497=ORIENTED_EDGE('',*,*,#18081,.F.); #24498=ORIENTED_EDGE('',*,*,#18080,.F.); #24499=ORIENTED_EDGE('',*,*,#18082,.F.); #24500=ORIENTED_EDGE('',*,*,#18083,.T.); #24501=ORIENTED_EDGE('',*,*,#18084,.F.); #24502=ORIENTED_EDGE('',*,*,#18083,.F.); #24503=ORIENTED_EDGE('',*,*,#18085,.F.); #24504=ORIENTED_EDGE('',*,*,#18086,.T.); #24505=ORIENTED_EDGE('',*,*,#18087,.F.); #24506=ORIENTED_EDGE('',*,*,#18086,.F.); #24507=ORIENTED_EDGE('',*,*,#18088,.F.); #24508=ORIENTED_EDGE('',*,*,#18089,.T.); #24509=ORIENTED_EDGE('',*,*,#18090,.F.); #24510=ORIENTED_EDGE('',*,*,#18089,.F.); #24511=ORIENTED_EDGE('',*,*,#18091,.F.); #24512=ORIENTED_EDGE('',*,*,#18092,.F.); #24513=ORIENTED_EDGE('',*,*,#18093,.F.); #24514=ORIENTED_EDGE('',*,*,#18094,.T.); #24515=ORIENTED_EDGE('',*,*,#18095,.F.); #24516=ORIENTED_EDGE('',*,*,#18096,.F.); #24517=ORIENTED_EDGE('',*,*,#18097,.F.); #24518=ORIENTED_EDGE('',*,*,#18092,.T.); #24519=ORIENTED_EDGE('',*,*,#18069,.T.); #24520=ORIENTED_EDGE('',*,*,#18072,.T.); #24521=ORIENTED_EDGE('',*,*,#18075,.T.); #24522=ORIENTED_EDGE('',*,*,#18078,.T.); #24523=ORIENTED_EDGE('',*,*,#18081,.T.); #24524=ORIENTED_EDGE('',*,*,#18084,.T.); #24525=ORIENTED_EDGE('',*,*,#18087,.T.); #24526=ORIENTED_EDGE('',*,*,#18090,.T.); #24527=ORIENTED_EDGE('',*,*,#18098,.T.); #24528=ORIENTED_EDGE('',*,*,#18099,.T.); #24529=ORIENTED_EDGE('',*,*,#18100,.F.); #24530=ORIENTED_EDGE('',*,*,#18101,.F.); #24531=ORIENTED_EDGE('',*,*,#18102,.F.); #24532=ORIENTED_EDGE('',*,*,#18094,.F.); #24533=ORIENTED_EDGE('',*,*,#18103,.F.); #24534=ORIENTED_EDGE('',*,*,#18099,.F.); #24535=ORIENTED_EDGE('',*,*,#18040,.T.); #24536=ORIENTED_EDGE('',*,*,#18043,.T.); #24537=ORIENTED_EDGE('',*,*,#18046,.T.); #24538=ORIENTED_EDGE('',*,*,#18049,.T.); #24539=ORIENTED_EDGE('',*,*,#18052,.T.); #24540=ORIENTED_EDGE('',*,*,#18055,.T.); #24541=ORIENTED_EDGE('',*,*,#18058,.T.); #24542=ORIENTED_EDGE('',*,*,#18061,.T.); #24543=ORIENTED_EDGE('',*,*,#18064,.T.); #24544=ORIENTED_EDGE('',*,*,#18067,.T.); #24545=ORIENTED_EDGE('',*,*,#18070,.T.); #24546=ORIENTED_EDGE('',*,*,#18073,.T.); #24547=ORIENTED_EDGE('',*,*,#18076,.T.); #24548=ORIENTED_EDGE('',*,*,#18079,.T.); #24549=ORIENTED_EDGE('',*,*,#18082,.T.); #24550=ORIENTED_EDGE('',*,*,#18085,.T.); #24551=ORIENTED_EDGE('',*,*,#18088,.T.); #24552=ORIENTED_EDGE('',*,*,#18104,.T.); #24553=ORIENTED_EDGE('',*,*,#18105,.F.); #24554=ORIENTED_EDGE('',*,*,#18100,.T.); #24555=ORIENTED_EDGE('',*,*,#18103,.T.); #24556=ORIENTED_EDGE('',*,*,#18093,.T.); #24557=ORIENTED_EDGE('',*,*,#18097,.T.); #24558=ORIENTED_EDGE('',*,*,#18022,.T.); #24559=ORIENTED_EDGE('',*,*,#18026,.T.); #24560=ORIENTED_EDGE('',*,*,#18030,.T.); #24561=ORIENTED_EDGE('',*,*,#18034,.T.); #24562=ORIENTED_EDGE('',*,*,#18038,.T.); #24563=ORIENTED_EDGE('',*,*,#18095,.T.); #24564=ORIENTED_EDGE('',*,*,#18091,.T.); #24565=ORIENTED_EDGE('',*,*,#18102,.T.); #24566=ORIENTED_EDGE('',*,*,#18098,.F.); #24567=ORIENTED_EDGE('',*,*,#18106,.F.); #24568=ORIENTED_EDGE('',*,*,#18107,.F.); #24569=ORIENTED_EDGE('',*,*,#18107,.T.); #24570=ORIENTED_EDGE('',*,*,#18108,.F.); #24571=ORIENTED_EDGE('',*,*,#18104,.F.); #24572=ORIENTED_EDGE('',*,*,#18096,.T.); #24573=ORIENTED_EDGE('',*,*,#18106,.T.); #24574=ORIENTED_EDGE('',*,*,#18101,.T.); #24575=ORIENTED_EDGE('',*,*,#18105,.T.); #24576=ORIENTED_EDGE('',*,*,#18108,.T.); #24577=ORIENTED_EDGE('',*,*,#18042,.T.); #24578=ORIENTED_EDGE('',*,*,#18045,.T.); #24579=ORIENTED_EDGE('',*,*,#18048,.T.); #24580=ORIENTED_EDGE('',*,*,#18051,.T.); #24581=ORIENTED_EDGE('',*,*,#18054,.T.); #24582=ORIENTED_EDGE('',*,*,#18057,.T.); #24583=ORIENTED_EDGE('',*,*,#18060,.T.); #24584=ORIENTED_EDGE('',*,*,#18063,.T.); #24585=ORIENTED_EDGE('',*,*,#18066,.T.); #24586=ORIENTED_EDGE('',*,*,#18109,.T.); #24587=ORIENTED_EDGE('',*,*,#18110,.F.); #24588=ORIENTED_EDGE('',*,*,#18111,.T.); #24589=ORIENTED_EDGE('',*,*,#18112,.T.); #24590=ORIENTED_EDGE('',*,*,#18113,.T.); #24591=ORIENTED_EDGE('',*,*,#18114,.T.); #24592=ORIENTED_EDGE('',*,*,#18115,.T.); #24593=ORIENTED_EDGE('',*,*,#18110,.T.); #24594=ORIENTED_EDGE('',*,*,#18116,.T.); #24595=ORIENTED_EDGE('',*,*,#18112,.F.); #24596=ORIENTED_EDGE('',*,*,#18117,.T.); #24597=ORIENTED_EDGE('',*,*,#18118,.F.); #24598=ORIENTED_EDGE('',*,*,#18119,.F.); #24599=ORIENTED_EDGE('',*,*,#18120,.T.); #24600=ORIENTED_EDGE('',*,*,#18121,.T.); #24601=ORIENTED_EDGE('',*,*,#18120,.F.); #24602=ORIENTED_EDGE('',*,*,#18122,.F.); #24603=ORIENTED_EDGE('',*,*,#18123,.T.); #24604=ORIENTED_EDGE('',*,*,#18124,.T.); #24605=ORIENTED_EDGE('',*,*,#18123,.F.); #24606=ORIENTED_EDGE('',*,*,#18125,.T.); #24607=ORIENTED_EDGE('',*,*,#18126,.T.); #24608=ORIENTED_EDGE('',*,*,#18127,.F.); #24609=ORIENTED_EDGE('',*,*,#18117,.F.); #24610=ORIENTED_EDGE('',*,*,#18111,.F.); #24611=ORIENTED_EDGE('',*,*,#18115,.F.); #24612=ORIENTED_EDGE('',*,*,#18128,.T.); #24613=ORIENTED_EDGE('',*,*,#18113,.F.); #24614=ORIENTED_EDGE('',*,*,#18109,.F.); #24615=ORIENTED_EDGE('',*,*,#18116,.F.); #24616=ORIENTED_EDGE('',*,*,#18129,.F.); #24617=ORIENTED_EDGE('',*,*,#18130,.F.); #24618=ORIENTED_EDGE('',*,*,#18131,.T.); #24619=ORIENTED_EDGE('',*,*,#18130,.T.); #24620=ORIENTED_EDGE('',*,*,#18132,.F.); #24621=ORIENTED_EDGE('',*,*,#18126,.F.); #24622=ORIENTED_EDGE('',*,*,#18132,.T.); #24623=ORIENTED_EDGE('',*,*,#18129,.T.); #24624=ORIENTED_EDGE('',*,*,#18118,.T.); #24625=ORIENTED_EDGE('',*,*,#18127,.T.); #24626=ORIENTED_EDGE('',*,*,#18122,.T.); #24627=ORIENTED_EDGE('',*,*,#18119,.T.); #24628=ORIENTED_EDGE('',*,*,#18131,.F.); #24629=ORIENTED_EDGE('',*,*,#18125,.F.); #24630=ORIENTED_EDGE('',*,*,#18114,.F.); #24631=ORIENTED_EDGE('',*,*,#18128,.F.); #24632=ORIENTED_EDGE('',*,*,#18124,.F.); #24633=ORIENTED_EDGE('',*,*,#18121,.F.); #24634=ORIENTED_EDGE('',*,*,#18133,.T.); #24635=ORIENTED_EDGE('',*,*,#18134,.T.); #24636=ORIENTED_EDGE('',*,*,#18134,.F.); #24637=ORIENTED_EDGE('',*,*,#18135,.F.); #24638=ORIENTED_EDGE('',*,*,#18136,.T.); #24639=ORIENTED_EDGE('',*,*,#18133,.F.); #24640=ORIENTED_EDGE('',*,*,#18136,.F.); #24641=ORIENTED_EDGE('',*,*,#18137,.T.); #24642=ORIENTED_EDGE('',*,*,#18138,.T.); #24643=ORIENTED_EDGE('',*,*,#18138,.F.); #24644=ORIENTED_EDGE('',*,*,#18139,.F.); #24645=ORIENTED_EDGE('',*,*,#18140,.T.); #24646=ORIENTED_EDGE('',*,*,#18137,.F.); #24647=ORIENTED_EDGE('',*,*,#18140,.F.); #24648=ORIENTED_EDGE('',*,*,#18141,.T.); #24649=ORIENTED_EDGE('',*,*,#18142,.T.); #24650=ORIENTED_EDGE('',*,*,#18142,.F.); #24651=ORIENTED_EDGE('',*,*,#18143,.F.); #24652=ORIENTED_EDGE('',*,*,#18144,.T.); #24653=ORIENTED_EDGE('',*,*,#18141,.F.); #24654=ORIENTED_EDGE('',*,*,#18144,.F.); #24655=ORIENTED_EDGE('',*,*,#18145,.T.); #24656=ORIENTED_EDGE('',*,*,#18146,.T.); #24657=ORIENTED_EDGE('',*,*,#18146,.F.); #24658=ORIENTED_EDGE('',*,*,#18147,.F.); #24659=ORIENTED_EDGE('',*,*,#18148,.T.); #24660=ORIENTED_EDGE('',*,*,#18145,.F.); #24661=ORIENTED_EDGE('',*,*,#18148,.F.); #24662=ORIENTED_EDGE('',*,*,#18149,.T.); #24663=ORIENTED_EDGE('',*,*,#18150,.T.); #24664=ORIENTED_EDGE('',*,*,#18150,.F.); #24665=ORIENTED_EDGE('',*,*,#18151,.F.); #24666=ORIENTED_EDGE('',*,*,#18152,.T.); #24667=ORIENTED_EDGE('',*,*,#18149,.F.); #24668=ORIENTED_EDGE('',*,*,#18152,.F.); #24669=ORIENTED_EDGE('',*,*,#18153,.T.); #24670=ORIENTED_EDGE('',*,*,#18154,.T.); #24671=ORIENTED_EDGE('',*,*,#18154,.F.); #24672=ORIENTED_EDGE('',*,*,#18155,.F.); #24673=ORIENTED_EDGE('',*,*,#18156,.T.); #24674=ORIENTED_EDGE('',*,*,#18153,.F.); #24675=ORIENTED_EDGE('',*,*,#18156,.F.); #24676=ORIENTED_EDGE('',*,*,#18157,.T.); #24677=ORIENTED_EDGE('',*,*,#18158,.T.); #24678=ORIENTED_EDGE('',*,*,#18158,.F.); #24679=ORIENTED_EDGE('',*,*,#18159,.F.); #24680=ORIENTED_EDGE('',*,*,#18160,.T.); #24681=ORIENTED_EDGE('',*,*,#18157,.F.); #24682=ORIENTED_EDGE('',*,*,#18160,.F.); #24683=ORIENTED_EDGE('',*,*,#18161,.T.); #24684=ORIENTED_EDGE('',*,*,#18162,.T.); #24685=ORIENTED_EDGE('',*,*,#18162,.F.); #24686=ORIENTED_EDGE('',*,*,#18163,.F.); #24687=ORIENTED_EDGE('',*,*,#18164,.T.); #24688=ORIENTED_EDGE('',*,*,#18161,.F.); #24689=ORIENTED_EDGE('',*,*,#18164,.F.); #24690=ORIENTED_EDGE('',*,*,#18165,.T.); #24691=ORIENTED_EDGE('',*,*,#18166,.T.); #24692=ORIENTED_EDGE('',*,*,#18166,.F.); #24693=ORIENTED_EDGE('',*,*,#18167,.F.); #24694=ORIENTED_EDGE('',*,*,#18168,.T.); #24695=ORIENTED_EDGE('',*,*,#18165,.F.); #24696=ORIENTED_EDGE('',*,*,#18168,.F.); #24697=ORIENTED_EDGE('',*,*,#18169,.T.); #24698=ORIENTED_EDGE('',*,*,#18170,.T.); #24699=ORIENTED_EDGE('',*,*,#18170,.F.); #24700=ORIENTED_EDGE('',*,*,#18171,.F.); #24701=ORIENTED_EDGE('',*,*,#18172,.T.); #24702=ORIENTED_EDGE('',*,*,#18169,.F.); #24703=ORIENTED_EDGE('',*,*,#18172,.F.); #24704=ORIENTED_EDGE('',*,*,#18173,.T.); #24705=ORIENTED_EDGE('',*,*,#18174,.T.); #24706=ORIENTED_EDGE('',*,*,#18174,.F.); #24707=ORIENTED_EDGE('',*,*,#18175,.F.); #24708=ORIENTED_EDGE('',*,*,#18176,.T.); #24709=ORIENTED_EDGE('',*,*,#18173,.F.); #24710=ORIENTED_EDGE('',*,*,#18176,.F.); #24711=ORIENTED_EDGE('',*,*,#18177,.T.); #24712=ORIENTED_EDGE('',*,*,#18178,.T.); #24713=ORIENTED_EDGE('',*,*,#18178,.F.); #24714=ORIENTED_EDGE('',*,*,#18179,.F.); #24715=ORIENTED_EDGE('',*,*,#18180,.T.); #24716=ORIENTED_EDGE('',*,*,#18177,.F.); #24717=ORIENTED_EDGE('',*,*,#18180,.F.); #24718=ORIENTED_EDGE('',*,*,#18181,.T.); #24719=ORIENTED_EDGE('',*,*,#18182,.T.); #24720=ORIENTED_EDGE('',*,*,#18182,.F.); #24721=ORIENTED_EDGE('',*,*,#18183,.F.); #24722=ORIENTED_EDGE('',*,*,#18184,.T.); #24723=ORIENTED_EDGE('',*,*,#18181,.F.); #24724=ORIENTED_EDGE('',*,*,#18184,.F.); #24725=ORIENTED_EDGE('',*,*,#18185,.T.); #24726=ORIENTED_EDGE('',*,*,#18186,.T.); #24727=ORIENTED_EDGE('',*,*,#18186,.F.); #24728=ORIENTED_EDGE('',*,*,#18187,.F.); #24729=ORIENTED_EDGE('',*,*,#18188,.T.); #24730=ORIENTED_EDGE('',*,*,#18185,.F.); #24731=ORIENTED_EDGE('',*,*,#18188,.F.); #24732=ORIENTED_EDGE('',*,*,#18189,.T.); #24733=ORIENTED_EDGE('',*,*,#18190,.T.); #24734=ORIENTED_EDGE('',*,*,#18190,.F.); #24735=ORIENTED_EDGE('',*,*,#18191,.F.); #24736=ORIENTED_EDGE('',*,*,#18192,.T.); #24737=ORIENTED_EDGE('',*,*,#18189,.F.); #24738=ORIENTED_EDGE('',*,*,#18192,.F.); #24739=ORIENTED_EDGE('',*,*,#18193,.T.); #24740=ORIENTED_EDGE('',*,*,#18194,.T.); #24741=ORIENTED_EDGE('',*,*,#18194,.F.); #24742=ORIENTED_EDGE('',*,*,#18195,.F.); #24743=ORIENTED_EDGE('',*,*,#18196,.T.); #24744=ORIENTED_EDGE('',*,*,#18193,.F.); #24745=ORIENTED_EDGE('',*,*,#18196,.F.); #24746=ORIENTED_EDGE('',*,*,#18197,.T.); #24747=ORIENTED_EDGE('',*,*,#18198,.T.); #24748=ORIENTED_EDGE('',*,*,#18198,.F.); #24749=ORIENTED_EDGE('',*,*,#18199,.F.); #24750=ORIENTED_EDGE('',*,*,#18200,.T.); #24751=ORIENTED_EDGE('',*,*,#18197,.F.); #24752=ORIENTED_EDGE('',*,*,#18200,.F.); #24753=ORIENTED_EDGE('',*,*,#18201,.T.); #24754=ORIENTED_EDGE('',*,*,#18202,.T.); #24755=ORIENTED_EDGE('',*,*,#18202,.F.); #24756=ORIENTED_EDGE('',*,*,#18203,.F.); #24757=ORIENTED_EDGE('',*,*,#18204,.T.); #24758=ORIENTED_EDGE('',*,*,#18201,.F.); #24759=ORIENTED_EDGE('',*,*,#18204,.F.); #24760=ORIENTED_EDGE('',*,*,#18205,.T.); #24761=ORIENTED_EDGE('',*,*,#18206,.T.); #24762=ORIENTED_EDGE('',*,*,#18206,.F.); #24763=ORIENTED_EDGE('',*,*,#18207,.F.); #24764=ORIENTED_EDGE('',*,*,#18208,.T.); #24765=ORIENTED_EDGE('',*,*,#18205,.F.); #24766=ORIENTED_EDGE('',*,*,#18208,.F.); #24767=ORIENTED_EDGE('',*,*,#18209,.T.); #24768=ORIENTED_EDGE('',*,*,#18210,.T.); #24769=ORIENTED_EDGE('',*,*,#18210,.F.); #24770=ORIENTED_EDGE('',*,*,#18211,.F.); #24771=ORIENTED_EDGE('',*,*,#18212,.T.); #24772=ORIENTED_EDGE('',*,*,#18209,.F.); #24773=ORIENTED_EDGE('',*,*,#18212,.F.); #24774=ORIENTED_EDGE('',*,*,#18213,.T.); #24775=ORIENTED_EDGE('',*,*,#18214,.T.); #24776=ORIENTED_EDGE('',*,*,#18214,.F.); #24777=ORIENTED_EDGE('',*,*,#18215,.F.); #24778=ORIENTED_EDGE('',*,*,#18216,.T.); #24779=ORIENTED_EDGE('',*,*,#18213,.F.); #24780=ORIENTED_EDGE('',*,*,#18216,.F.); #24781=ORIENTED_EDGE('',*,*,#18217,.T.); #24782=ORIENTED_EDGE('',*,*,#18218,.T.); #24783=ORIENTED_EDGE('',*,*,#18218,.F.); #24784=ORIENTED_EDGE('',*,*,#18219,.F.); #24785=ORIENTED_EDGE('',*,*,#18220,.T.); #24786=ORIENTED_EDGE('',*,*,#18217,.F.); #24787=ORIENTED_EDGE('',*,*,#18220,.F.); #24788=ORIENTED_EDGE('',*,*,#18221,.T.); #24789=ORIENTED_EDGE('',*,*,#18222,.T.); #24790=ORIENTED_EDGE('',*,*,#18222,.F.); #24791=ORIENTED_EDGE('',*,*,#18223,.F.); #24792=ORIENTED_EDGE('',*,*,#18224,.T.); #24793=ORIENTED_EDGE('',*,*,#18221,.F.); #24794=ORIENTED_EDGE('',*,*,#18224,.F.); #24795=ORIENTED_EDGE('',*,*,#18225,.T.); #24796=ORIENTED_EDGE('',*,*,#18226,.T.); #24797=ORIENTED_EDGE('',*,*,#18226,.F.); #24798=ORIENTED_EDGE('',*,*,#18227,.F.); #24799=ORIENTED_EDGE('',*,*,#18228,.T.); #24800=ORIENTED_EDGE('',*,*,#18225,.F.); #24801=ORIENTED_EDGE('',*,*,#18228,.F.); #24802=ORIENTED_EDGE('',*,*,#18229,.T.); #24803=ORIENTED_EDGE('',*,*,#18230,.T.); #24804=ORIENTED_EDGE('',*,*,#18230,.F.); #24805=ORIENTED_EDGE('',*,*,#18231,.F.); #24806=ORIENTED_EDGE('',*,*,#18232,.T.); #24807=ORIENTED_EDGE('',*,*,#18229,.F.); #24808=ORIENTED_EDGE('',*,*,#18232,.F.); #24809=ORIENTED_EDGE('',*,*,#18233,.T.); #24810=ORIENTED_EDGE('',*,*,#18234,.F.); #24811=ORIENTED_EDGE('',*,*,#18235,.F.); #24812=ORIENTED_EDGE('',*,*,#18236,.F.); #24813=ORIENTED_EDGE('',*,*,#18237,.T.); #24814=ORIENTED_EDGE('',*,*,#18238,.T.); #24815=ORIENTED_EDGE('',*,*,#18239,.T.); #24816=ORIENTED_EDGE('',*,*,#18240,.F.); #24817=ORIENTED_EDGE('',*,*,#18241,.T.); #24818=ORIENTED_EDGE('',*,*,#18242,.T.); #24819=ORIENTED_EDGE('',*,*,#18243,.T.); #24820=ORIENTED_EDGE('',*,*,#18244,.F.); #24821=ORIENTED_EDGE('',*,*,#18245,.T.); #24822=ORIENTED_EDGE('',*,*,#18233,.F.); #24823=ORIENTED_EDGE('',*,*,#18246,.T.); #24824=ORIENTED_EDGE('',*,*,#18247,.T.); #24825=ORIENTED_EDGE('',*,*,#18248,.T.); #24826=ORIENTED_EDGE('',*,*,#18249,.T.); #24827=ORIENTED_EDGE('',*,*,#18250,.T.); #24828=ORIENTED_EDGE('',*,*,#18251,.T.); #24829=ORIENTED_EDGE('',*,*,#18252,.T.); #24830=ORIENTED_EDGE('',*,*,#18253,.T.); #24831=ORIENTED_EDGE('',*,*,#18254,.T.); #24832=ORIENTED_EDGE('',*,*,#18255,.T.); #24833=ORIENTED_EDGE('',*,*,#18256,.T.); #24834=ORIENTED_EDGE('',*,*,#18257,.T.); #24835=ORIENTED_EDGE('',*,*,#18258,.T.); #24836=ORIENTED_EDGE('',*,*,#18259,.T.); #24837=ORIENTED_EDGE('',*,*,#18260,.T.); #24838=ORIENTED_EDGE('',*,*,#18261,.T.); #24839=ORIENTED_EDGE('',*,*,#18262,.T.); #24840=ORIENTED_EDGE('',*,*,#18263,.T.); #24841=ORIENTED_EDGE('',*,*,#18264,.T.); #24842=ORIENTED_EDGE('',*,*,#18265,.T.); #24843=ORIENTED_EDGE('',*,*,#18266,.T.); #24844=ORIENTED_EDGE('',*,*,#18236,.T.); #24845=ORIENTED_EDGE('',*,*,#18267,.F.); #24846=ORIENTED_EDGE('',*,*,#18268,.T.); #24847=ORIENTED_EDGE('',*,*,#18237,.F.); #24848=ORIENTED_EDGE('',*,*,#18238,.F.); #24849=ORIENTED_EDGE('',*,*,#18268,.F.); #24850=ORIENTED_EDGE('',*,*,#18269,.F.); #24851=ORIENTED_EDGE('',*,*,#18270,.F.); #24852=ORIENTED_EDGE('',*,*,#18270,.T.); #24853=ORIENTED_EDGE('',*,*,#18271,.F.); #24854=ORIENTED_EDGE('',*,*,#18272,.F.); #24855=ORIENTED_EDGE('',*,*,#18239,.F.); #24856=ORIENTED_EDGE('',*,*,#18235,.T.); #24857=ORIENTED_EDGE('',*,*,#18273,.T.); #24858=ORIENTED_EDGE('',*,*,#18274,.T.); #24859=ORIENTED_EDGE('',*,*,#18275,.T.); #24860=ORIENTED_EDGE('',*,*,#18276,.T.); #24861=ORIENTED_EDGE('',*,*,#18271,.T.); #24862=ORIENTED_EDGE('',*,*,#18269,.T.); #24863=ORIENTED_EDGE('',*,*,#18267,.T.); #24864=ORIENTED_EDGE('',*,*,#18277,.T.); #24865=ORIENTED_EDGE('',*,*,#18278,.T.); #24866=ORIENTED_EDGE('',*,*,#18279,.T.); #24867=ORIENTED_EDGE('',*,*,#18280,.T.); #24868=ORIENTED_EDGE('',*,*,#18281,.T.); #24869=ORIENTED_EDGE('',*,*,#18282,.T.); #24870=ORIENTED_EDGE('',*,*,#18283,.T.); #24871=ORIENTED_EDGE('',*,*,#18284,.T.); #24872=ORIENTED_EDGE('',*,*,#18285,.T.); #24873=ORIENTED_EDGE('',*,*,#18286,.T.); #24874=ORIENTED_EDGE('',*,*,#18287,.T.); #24875=ORIENTED_EDGE('',*,*,#18288,.T.); #24876=ORIENTED_EDGE('',*,*,#18241,.F.); #24877=ORIENTED_EDGE('',*,*,#18289,.F.); #24878=ORIENTED_EDGE('',*,*,#18290,.F.); #24879=ORIENTED_EDGE('',*,*,#18291,.T.); #24880=ORIENTED_EDGE('',*,*,#18242,.F.); #24881=ORIENTED_EDGE('',*,*,#18291,.F.); #24882=ORIENTED_EDGE('',*,*,#18292,.F.); #24883=ORIENTED_EDGE('',*,*,#18293,.T.); #24884=ORIENTED_EDGE('',*,*,#18243,.F.); #24885=ORIENTED_EDGE('',*,*,#18293,.F.); #24886=ORIENTED_EDGE('',*,*,#18294,.F.); #24887=ORIENTED_EDGE('',*,*,#18295,.F.); #24888=ORIENTED_EDGE('',*,*,#18234,.T.); #24889=ORIENTED_EDGE('',*,*,#18245,.F.); #24890=ORIENTED_EDGE('',*,*,#18296,.F.); #24891=ORIENTED_EDGE('',*,*,#18273,.F.); #24892=ORIENTED_EDGE('',*,*,#18294,.T.); #24893=ORIENTED_EDGE('',*,*,#18292,.T.); #24894=ORIENTED_EDGE('',*,*,#18290,.T.); #24895=ORIENTED_EDGE('',*,*,#18297,.T.); #24896=ORIENTED_EDGE('',*,*,#18298,.T.); #24897=ORIENTED_EDGE('',*,*,#18299,.T.); #24898=ORIENTED_EDGE('',*,*,#18300,.T.); #24899=ORIENTED_EDGE('',*,*,#18301,.T.); #24900=ORIENTED_EDGE('',*,*,#18302,.T.); #24901=ORIENTED_EDGE('',*,*,#18303,.T.); #24902=ORIENTED_EDGE('',*,*,#18304,.T.); #24903=ORIENTED_EDGE('',*,*,#18305,.T.); #24904=ORIENTED_EDGE('',*,*,#18306,.T.); #24905=ORIENTED_EDGE('',*,*,#18307,.T.); #24906=ORIENTED_EDGE('',*,*,#18308,.T.); #24907=ORIENTED_EDGE('',*,*,#18309,.T.); #24908=ORIENTED_EDGE('',*,*,#18310,.T.); #24909=ORIENTED_EDGE('',*,*,#18311,.T.); #24910=ORIENTED_EDGE('',*,*,#18289,.T.); #24911=ORIENTED_EDGE('',*,*,#18240,.T.); #24912=ORIENTED_EDGE('',*,*,#18272,.T.); #24913=ORIENTED_EDGE('',*,*,#18276,.F.); #24914=ORIENTED_EDGE('',*,*,#18312,.T.); #24915=ORIENTED_EDGE('',*,*,#18313,.F.); #24916=ORIENTED_EDGE('',*,*,#18314,.T.); #24917=ORIENTED_EDGE('',*,*,#18297,.F.); #24918=ORIENTED_EDGE('',*,*,#18315,.F.); #24919=ORIENTED_EDGE('',*,*,#18316,.T.); #24920=ORIENTED_EDGE('',*,*,#18277,.F.); #24921=ORIENTED_EDGE('',*,*,#18316,.F.); #24922=ORIENTED_EDGE('',*,*,#18317,.F.); #24923=ORIENTED_EDGE('',*,*,#18318,.T.); #24924=ORIENTED_EDGE('',*,*,#18278,.F.); #24925=ORIENTED_EDGE('',*,*,#18318,.F.); #24926=ORIENTED_EDGE('',*,*,#18319,.F.); #24927=ORIENTED_EDGE('',*,*,#18320,.T.); #24928=ORIENTED_EDGE('',*,*,#18279,.F.); #24929=ORIENTED_EDGE('',*,*,#18320,.F.); #24930=ORIENTED_EDGE('',*,*,#18321,.F.); #24931=ORIENTED_EDGE('',*,*,#18322,.T.); #24932=ORIENTED_EDGE('',*,*,#18280,.F.); #24933=ORIENTED_EDGE('',*,*,#18322,.F.); #24934=ORIENTED_EDGE('',*,*,#18323,.F.); #24935=ORIENTED_EDGE('',*,*,#18324,.T.); #24936=ORIENTED_EDGE('',*,*,#18281,.F.); #24937=ORIENTED_EDGE('',*,*,#18324,.F.); #24938=ORIENTED_EDGE('',*,*,#18325,.F.); #24939=ORIENTED_EDGE('',*,*,#18326,.T.); #24940=ORIENTED_EDGE('',*,*,#18282,.F.); #24941=ORIENTED_EDGE('',*,*,#18326,.F.); #24942=ORIENTED_EDGE('',*,*,#18327,.F.); #24943=ORIENTED_EDGE('',*,*,#18328,.T.); #24944=ORIENTED_EDGE('',*,*,#18283,.F.); #24945=ORIENTED_EDGE('',*,*,#18328,.F.); #24946=ORIENTED_EDGE('',*,*,#18329,.F.); #24947=ORIENTED_EDGE('',*,*,#18330,.T.); #24948=ORIENTED_EDGE('',*,*,#18284,.F.); #24949=ORIENTED_EDGE('',*,*,#18330,.F.); #24950=ORIENTED_EDGE('',*,*,#18331,.F.); #24951=ORIENTED_EDGE('',*,*,#18332,.T.); #24952=ORIENTED_EDGE('',*,*,#18285,.F.); #24953=ORIENTED_EDGE('',*,*,#18332,.F.); #24954=ORIENTED_EDGE('',*,*,#18333,.F.); #24955=ORIENTED_EDGE('',*,*,#18334,.T.); #24956=ORIENTED_EDGE('',*,*,#18286,.F.); #24957=ORIENTED_EDGE('',*,*,#18334,.F.); #24958=ORIENTED_EDGE('',*,*,#18335,.F.); #24959=ORIENTED_EDGE('',*,*,#18336,.T.); #24960=ORIENTED_EDGE('',*,*,#18287,.F.); #24961=ORIENTED_EDGE('',*,*,#18336,.F.); #24962=ORIENTED_EDGE('',*,*,#18337,.F.); #24963=ORIENTED_EDGE('',*,*,#18338,.T.); #24964=ORIENTED_EDGE('',*,*,#18288,.F.); #24965=ORIENTED_EDGE('',*,*,#18338,.F.); #24966=ORIENTED_EDGE('',*,*,#18275,.F.); #24967=ORIENTED_EDGE('',*,*,#18339,.F.); #24968=ORIENTED_EDGE('',*,*,#18340,.F.); #24969=ORIENTED_EDGE('',*,*,#18312,.F.); #24970=ORIENTED_EDGE('',*,*,#18340,.T.); #24971=ORIENTED_EDGE('',*,*,#18341,.T.); #24972=ORIENTED_EDGE('',*,*,#18342,.T.); #24973=ORIENTED_EDGE('',*,*,#18343,.T.); #24974=ORIENTED_EDGE('',*,*,#18344,.T.); #24975=ORIENTED_EDGE('',*,*,#18345,.T.); #24976=ORIENTED_EDGE('',*,*,#18346,.T.); #24977=ORIENTED_EDGE('',*,*,#18313,.T.); #24978=ORIENTED_EDGE('',*,*,#18135,.T.); #24979=ORIENTED_EDGE('',*,*,#18139,.T.); #24980=ORIENTED_EDGE('',*,*,#18143,.T.); #24981=ORIENTED_EDGE('',*,*,#18147,.T.); #24982=ORIENTED_EDGE('',*,*,#18151,.T.); #24983=ORIENTED_EDGE('',*,*,#18155,.T.); #24984=ORIENTED_EDGE('',*,*,#18159,.T.); #24985=ORIENTED_EDGE('',*,*,#18163,.T.); #24986=ORIENTED_EDGE('',*,*,#18167,.T.); #24987=ORIENTED_EDGE('',*,*,#18171,.T.); #24988=ORIENTED_EDGE('',*,*,#18175,.T.); #24989=ORIENTED_EDGE('',*,*,#18179,.T.); #24990=ORIENTED_EDGE('',*,*,#18183,.T.); #24991=ORIENTED_EDGE('',*,*,#18187,.T.); #24992=ORIENTED_EDGE('',*,*,#18191,.T.); #24993=ORIENTED_EDGE('',*,*,#18195,.T.); #24994=ORIENTED_EDGE('',*,*,#18199,.T.); #24995=ORIENTED_EDGE('',*,*,#18203,.T.); #24996=ORIENTED_EDGE('',*,*,#18207,.T.); #24997=ORIENTED_EDGE('',*,*,#18211,.T.); #24998=ORIENTED_EDGE('',*,*,#18215,.T.); #24999=ORIENTED_EDGE('',*,*,#18219,.T.); #25000=ORIENTED_EDGE('',*,*,#18223,.T.); #25001=ORIENTED_EDGE('',*,*,#18227,.T.); #25002=ORIENTED_EDGE('',*,*,#18231,.T.); #25003=ORIENTED_EDGE('',*,*,#18315,.T.); #25004=ORIENTED_EDGE('',*,*,#18317,.T.); #25005=ORIENTED_EDGE('',*,*,#18319,.T.); #25006=ORIENTED_EDGE('',*,*,#18321,.T.); #25007=ORIENTED_EDGE('',*,*,#18323,.T.); #25008=ORIENTED_EDGE('',*,*,#18325,.T.); #25009=ORIENTED_EDGE('',*,*,#18327,.T.); #25010=ORIENTED_EDGE('',*,*,#18329,.T.); #25011=ORIENTED_EDGE('',*,*,#18331,.T.); #25012=ORIENTED_EDGE('',*,*,#18333,.T.); #25013=ORIENTED_EDGE('',*,*,#18335,.T.); #25014=ORIENTED_EDGE('',*,*,#18337,.T.); #25015=ORIENTED_EDGE('',*,*,#18347,.T.); #25016=ORIENTED_EDGE('',*,*,#18348,.T.); #25017=ORIENTED_EDGE('',*,*,#18349,.T.); #25018=ORIENTED_EDGE('',*,*,#18350,.T.); #25019=ORIENTED_EDGE('',*,*,#18351,.T.); #25020=ORIENTED_EDGE('',*,*,#18352,.T.); #25021=ORIENTED_EDGE('',*,*,#18353,.T.); #25022=ORIENTED_EDGE('',*,*,#18354,.T.); #25023=ORIENTED_EDGE('',*,*,#18355,.T.); #25024=ORIENTED_EDGE('',*,*,#18356,.T.); #25025=ORIENTED_EDGE('',*,*,#18357,.T.); #25026=ORIENTED_EDGE('',*,*,#18358,.T.); #25027=ORIENTED_EDGE('',*,*,#18359,.T.); #25028=ORIENTED_EDGE('',*,*,#18360,.T.); #25029=ORIENTED_EDGE('',*,*,#18361,.T.); #25030=ORIENTED_EDGE('',*,*,#18362,.T.); #25031=ORIENTED_EDGE('',*,*,#18363,.T.); #25032=ORIENTED_EDGE('',*,*,#18364,.T.); #25033=ORIENTED_EDGE('',*,*,#18365,.T.); #25034=ORIENTED_EDGE('',*,*,#18366,.T.); #25035=ORIENTED_EDGE('',*,*,#18367,.T.); #25036=ORIENTED_EDGE('',*,*,#18368,.T.); #25037=ORIENTED_EDGE('',*,*,#18369,.T.); #25038=ORIENTED_EDGE('',*,*,#18370,.T.); #25039=ORIENTED_EDGE('',*,*,#18371,.T.); #25040=ORIENTED_EDGE('',*,*,#18372,.T.); #25041=ORIENTED_EDGE('',*,*,#18373,.T.); #25042=ORIENTED_EDGE('',*,*,#18374,.T.); #25043=ORIENTED_EDGE('',*,*,#18375,.T.); #25044=ORIENTED_EDGE('',*,*,#18375,.F.); #25045=ORIENTED_EDGE('',*,*,#18376,.T.); #25046=ORIENTED_EDGE('',*,*,#18311,.F.); #25047=ORIENTED_EDGE('',*,*,#18376,.F.); #25048=ORIENTED_EDGE('',*,*,#18374,.F.); #25049=ORIENTED_EDGE('',*,*,#18377,.T.); #25050=ORIENTED_EDGE('',*,*,#18310,.F.); #25051=ORIENTED_EDGE('',*,*,#18377,.F.); #25052=ORIENTED_EDGE('',*,*,#18373,.F.); #25053=ORIENTED_EDGE('',*,*,#18378,.T.); #25054=ORIENTED_EDGE('',*,*,#18309,.F.); #25055=ORIENTED_EDGE('',*,*,#18378,.F.); #25056=ORIENTED_EDGE('',*,*,#18372,.F.); #25057=ORIENTED_EDGE('',*,*,#18379,.T.); #25058=ORIENTED_EDGE('',*,*,#18308,.F.); #25059=ORIENTED_EDGE('',*,*,#18379,.F.); #25060=ORIENTED_EDGE('',*,*,#18371,.F.); #25061=ORIENTED_EDGE('',*,*,#18380,.T.); #25062=ORIENTED_EDGE('',*,*,#18266,.F.); #25063=ORIENTED_EDGE('',*,*,#18380,.F.); #25064=ORIENTED_EDGE('',*,*,#18370,.F.); #25065=ORIENTED_EDGE('',*,*,#18381,.T.); #25066=ORIENTED_EDGE('',*,*,#18265,.F.); #25067=ORIENTED_EDGE('',*,*,#18381,.F.); #25068=ORIENTED_EDGE('',*,*,#18369,.F.); #25069=ORIENTED_EDGE('',*,*,#18382,.T.); #25070=ORIENTED_EDGE('',*,*,#18264,.F.); #25071=ORIENTED_EDGE('',*,*,#18382,.F.); #25072=ORIENTED_EDGE('',*,*,#18368,.F.); #25073=ORIENTED_EDGE('',*,*,#18383,.T.); #25074=ORIENTED_EDGE('',*,*,#18263,.F.); #25075=ORIENTED_EDGE('',*,*,#18383,.F.); #25076=ORIENTED_EDGE('',*,*,#18367,.F.); #25077=ORIENTED_EDGE('',*,*,#18384,.T.); #25078=ORIENTED_EDGE('',*,*,#18262,.F.); #25079=ORIENTED_EDGE('',*,*,#18384,.F.); #25080=ORIENTED_EDGE('',*,*,#18366,.F.); #25081=ORIENTED_EDGE('',*,*,#18385,.T.); #25082=ORIENTED_EDGE('',*,*,#18261,.F.); #25083=ORIENTED_EDGE('',*,*,#18385,.F.); #25084=ORIENTED_EDGE('',*,*,#18365,.F.); #25085=ORIENTED_EDGE('',*,*,#18386,.T.); #25086=ORIENTED_EDGE('',*,*,#18260,.F.); #25087=ORIENTED_EDGE('',*,*,#18386,.F.); #25088=ORIENTED_EDGE('',*,*,#18364,.F.); #25089=ORIENTED_EDGE('',*,*,#18387,.T.); #25090=ORIENTED_EDGE('',*,*,#18259,.F.); #25091=ORIENTED_EDGE('',*,*,#18387,.F.); #25092=ORIENTED_EDGE('',*,*,#18363,.F.); #25093=ORIENTED_EDGE('',*,*,#18388,.T.); #25094=ORIENTED_EDGE('',*,*,#18258,.F.); #25095=ORIENTED_EDGE('',*,*,#18388,.F.); #25096=ORIENTED_EDGE('',*,*,#18362,.F.); #25097=ORIENTED_EDGE('',*,*,#18389,.T.); #25098=ORIENTED_EDGE('',*,*,#18257,.F.); #25099=ORIENTED_EDGE('',*,*,#18389,.F.); #25100=ORIENTED_EDGE('',*,*,#18361,.F.); #25101=ORIENTED_EDGE('',*,*,#18390,.T.); #25102=ORIENTED_EDGE('',*,*,#18256,.F.); #25103=ORIENTED_EDGE('',*,*,#18390,.F.); #25104=ORIENTED_EDGE('',*,*,#18360,.F.); #25105=ORIENTED_EDGE('',*,*,#18391,.T.); #25106=ORIENTED_EDGE('',*,*,#18255,.F.); #25107=ORIENTED_EDGE('',*,*,#18391,.F.); #25108=ORIENTED_EDGE('',*,*,#18359,.F.); #25109=ORIENTED_EDGE('',*,*,#18392,.T.); #25110=ORIENTED_EDGE('',*,*,#18254,.F.); #25111=ORIENTED_EDGE('',*,*,#18392,.F.); #25112=ORIENTED_EDGE('',*,*,#18358,.F.); #25113=ORIENTED_EDGE('',*,*,#18393,.T.); #25114=ORIENTED_EDGE('',*,*,#18253,.F.); #25115=ORIENTED_EDGE('',*,*,#18393,.F.); #25116=ORIENTED_EDGE('',*,*,#18357,.F.); #25117=ORIENTED_EDGE('',*,*,#18394,.T.); #25118=ORIENTED_EDGE('',*,*,#18252,.F.); #25119=ORIENTED_EDGE('',*,*,#18394,.F.); #25120=ORIENTED_EDGE('',*,*,#18356,.F.); #25121=ORIENTED_EDGE('',*,*,#18395,.T.); #25122=ORIENTED_EDGE('',*,*,#18251,.F.); #25123=ORIENTED_EDGE('',*,*,#18395,.F.); #25124=ORIENTED_EDGE('',*,*,#18355,.F.); #25125=ORIENTED_EDGE('',*,*,#18396,.T.); #25126=ORIENTED_EDGE('',*,*,#18250,.F.); #25127=ORIENTED_EDGE('',*,*,#18396,.F.); #25128=ORIENTED_EDGE('',*,*,#18354,.F.); #25129=ORIENTED_EDGE('',*,*,#18397,.T.); #25130=ORIENTED_EDGE('',*,*,#18249,.F.); #25131=ORIENTED_EDGE('',*,*,#18397,.F.); #25132=ORIENTED_EDGE('',*,*,#18353,.F.); #25133=ORIENTED_EDGE('',*,*,#18398,.T.); #25134=ORIENTED_EDGE('',*,*,#18248,.F.); #25135=ORIENTED_EDGE('',*,*,#18398,.F.); #25136=ORIENTED_EDGE('',*,*,#18352,.F.); #25137=ORIENTED_EDGE('',*,*,#18399,.T.); #25138=ORIENTED_EDGE('',*,*,#18247,.F.); #25139=ORIENTED_EDGE('',*,*,#18399,.F.); #25140=ORIENTED_EDGE('',*,*,#18351,.F.); #25141=ORIENTED_EDGE('',*,*,#18400,.T.); #25142=ORIENTED_EDGE('',*,*,#18246,.F.); #25143=ORIENTED_EDGE('',*,*,#18400,.F.); #25144=ORIENTED_EDGE('',*,*,#18350,.F.); #25145=ORIENTED_EDGE('',*,*,#18401,.T.); #25146=ORIENTED_EDGE('',*,*,#18307,.F.); #25147=ORIENTED_EDGE('',*,*,#18401,.F.); #25148=ORIENTED_EDGE('',*,*,#18349,.F.); #25149=ORIENTED_EDGE('',*,*,#18402,.T.); #25150=ORIENTED_EDGE('',*,*,#18306,.F.); #25151=ORIENTED_EDGE('',*,*,#18402,.F.); #25152=ORIENTED_EDGE('',*,*,#18348,.F.); #25153=ORIENTED_EDGE('',*,*,#18403,.T.); #25154=ORIENTED_EDGE('',*,*,#18305,.F.); #25155=ORIENTED_EDGE('',*,*,#18403,.F.); #25156=ORIENTED_EDGE('',*,*,#18347,.F.); #25157=ORIENTED_EDGE('',*,*,#18404,.T.); #25158=ORIENTED_EDGE('',*,*,#18304,.F.); #25159=ORIENTED_EDGE('',*,*,#18404,.F.); #25160=ORIENTED_EDGE('',*,*,#18295,.T.); #25161=ORIENTED_EDGE('',*,*,#18303,.F.); #25162=ORIENTED_EDGE('',*,*,#18405,.F.); #25163=ORIENTED_EDGE('',*,*,#18341,.F.); #25164=ORIENTED_EDGE('',*,*,#18339,.T.); #25165=ORIENTED_EDGE('',*,*,#18274,.F.); #25166=ORIENTED_EDGE('',*,*,#18296,.T.); #25167=ORIENTED_EDGE('',*,*,#18244,.T.); #25168=ORIENTED_EDGE('',*,*,#18344,.F.); #25169=ORIENTED_EDGE('',*,*,#18406,.T.); #25170=ORIENTED_EDGE('',*,*,#18300,.F.); #25171=ORIENTED_EDGE('',*,*,#18407,.T.); #25172=ORIENTED_EDGE('',*,*,#18343,.F.); #25173=ORIENTED_EDGE('',*,*,#18408,.T.); #25174=ORIENTED_EDGE('',*,*,#18301,.F.); #25175=ORIENTED_EDGE('',*,*,#18406,.F.); #25176=ORIENTED_EDGE('',*,*,#18342,.F.); #25177=ORIENTED_EDGE('',*,*,#18405,.T.); #25178=ORIENTED_EDGE('',*,*,#18302,.F.); #25179=ORIENTED_EDGE('',*,*,#18408,.F.); #25180=ORIENTED_EDGE('',*,*,#18409,.T.); #25181=ORIENTED_EDGE('',*,*,#18345,.F.); #25182=ORIENTED_EDGE('',*,*,#18407,.F.); #25183=ORIENTED_EDGE('',*,*,#18299,.F.); #25184=ORIENTED_EDGE('',*,*,#18346,.F.); #25185=ORIENTED_EDGE('',*,*,#18409,.F.); #25186=ORIENTED_EDGE('',*,*,#18298,.F.); #25187=ORIENTED_EDGE('',*,*,#18314,.F.); #25188=ORIENTED_EDGE('',*,*,#18410,.F.); #25189=ORIENTED_EDGE('',*,*,#18411,.T.); #25190=ORIENTED_EDGE('',*,*,#18412,.F.); #25191=ORIENTED_EDGE('',*,*,#18411,.F.); #25192=ORIENTED_EDGE('',*,*,#18413,.F.); #25193=ORIENTED_EDGE('',*,*,#18414,.T.); #25194=ORIENTED_EDGE('',*,*,#18415,.F.); #25195=ORIENTED_EDGE('',*,*,#18414,.F.); #25196=ORIENTED_EDGE('',*,*,#18416,.F.); #25197=ORIENTED_EDGE('',*,*,#18417,.T.); #25198=ORIENTED_EDGE('',*,*,#18418,.F.); #25199=ORIENTED_EDGE('',*,*,#18417,.F.); #25200=ORIENTED_EDGE('',*,*,#18419,.F.); #25201=ORIENTED_EDGE('',*,*,#18420,.T.); #25202=ORIENTED_EDGE('',*,*,#18421,.F.); #25203=ORIENTED_EDGE('',*,*,#18420,.F.); #25204=ORIENTED_EDGE('',*,*,#18422,.F.); #25205=ORIENTED_EDGE('',*,*,#18423,.T.); #25206=ORIENTED_EDGE('',*,*,#18424,.F.); #25207=ORIENTED_EDGE('',*,*,#18423,.F.); #25208=ORIENTED_EDGE('',*,*,#18425,.F.); #25209=ORIENTED_EDGE('',*,*,#18426,.T.); #25210=ORIENTED_EDGE('',*,*,#18427,.F.); #25211=ORIENTED_EDGE('',*,*,#18426,.F.); #25212=ORIENTED_EDGE('',*,*,#18428,.F.); #25213=ORIENTED_EDGE('',*,*,#18429,.T.); #25214=ORIENTED_EDGE('',*,*,#18430,.F.); #25215=ORIENTED_EDGE('',*,*,#18429,.F.); #25216=ORIENTED_EDGE('',*,*,#18431,.F.); #25217=ORIENTED_EDGE('',*,*,#18432,.T.); #25218=ORIENTED_EDGE('',*,*,#18433,.F.); #25219=ORIENTED_EDGE('',*,*,#18432,.F.); #25220=ORIENTED_EDGE('',*,*,#18434,.F.); #25221=ORIENTED_EDGE('',*,*,#18435,.T.); #25222=ORIENTED_EDGE('',*,*,#18436,.F.); #25223=ORIENTED_EDGE('',*,*,#18435,.F.); #25224=ORIENTED_EDGE('',*,*,#18437,.F.); #25225=ORIENTED_EDGE('',*,*,#18438,.T.); #25226=ORIENTED_EDGE('',*,*,#18439,.F.); #25227=ORIENTED_EDGE('',*,*,#18438,.F.); #25228=ORIENTED_EDGE('',*,*,#18440,.F.); #25229=ORIENTED_EDGE('',*,*,#18441,.T.); #25230=ORIENTED_EDGE('',*,*,#18442,.F.); #25231=ORIENTED_EDGE('',*,*,#18441,.F.); #25232=ORIENTED_EDGE('',*,*,#18443,.F.); #25233=ORIENTED_EDGE('',*,*,#18444,.T.); #25234=ORIENTED_EDGE('',*,*,#18445,.F.); #25235=ORIENTED_EDGE('',*,*,#18444,.F.); #25236=ORIENTED_EDGE('',*,*,#18446,.F.); #25237=ORIENTED_EDGE('',*,*,#18447,.T.); #25238=ORIENTED_EDGE('',*,*,#18448,.F.); #25239=ORIENTED_EDGE('',*,*,#18447,.F.); #25240=ORIENTED_EDGE('',*,*,#18449,.F.); #25241=ORIENTED_EDGE('',*,*,#18450,.T.); #25242=ORIENTED_EDGE('',*,*,#18451,.F.); #25243=ORIENTED_EDGE('',*,*,#18450,.F.); #25244=ORIENTED_EDGE('',*,*,#18452,.F.); #25245=ORIENTED_EDGE('',*,*,#18453,.T.); #25246=ORIENTED_EDGE('',*,*,#18454,.F.); #25247=ORIENTED_EDGE('',*,*,#18453,.F.); #25248=ORIENTED_EDGE('',*,*,#18455,.F.); #25249=ORIENTED_EDGE('',*,*,#18456,.T.); #25250=ORIENTED_EDGE('',*,*,#18457,.F.); #25251=ORIENTED_EDGE('',*,*,#18456,.F.); #25252=ORIENTED_EDGE('',*,*,#18458,.F.); #25253=ORIENTED_EDGE('',*,*,#18459,.T.); #25254=ORIENTED_EDGE('',*,*,#18460,.F.); #25255=ORIENTED_EDGE('',*,*,#18459,.F.); #25256=ORIENTED_EDGE('',*,*,#18461,.F.); #25257=ORIENTED_EDGE('',*,*,#18462,.T.); #25258=ORIENTED_EDGE('',*,*,#18463,.F.); #25259=ORIENTED_EDGE('',*,*,#18462,.F.); #25260=ORIENTED_EDGE('',*,*,#18464,.F.); #25261=ORIENTED_EDGE('',*,*,#18465,.T.); #25262=ORIENTED_EDGE('',*,*,#18466,.F.); #25263=ORIENTED_EDGE('',*,*,#18465,.F.); #25264=ORIENTED_EDGE('',*,*,#18467,.F.); #25265=ORIENTED_EDGE('',*,*,#18468,.T.); #25266=ORIENTED_EDGE('',*,*,#18469,.F.); #25267=ORIENTED_EDGE('',*,*,#18468,.F.); #25268=ORIENTED_EDGE('',*,*,#18470,.F.); #25269=ORIENTED_EDGE('',*,*,#18471,.T.); #25270=ORIENTED_EDGE('',*,*,#18472,.F.); #25271=ORIENTED_EDGE('',*,*,#18471,.F.); #25272=ORIENTED_EDGE('',*,*,#18473,.F.); #25273=ORIENTED_EDGE('',*,*,#18474,.T.); #25274=ORIENTED_EDGE('',*,*,#18475,.F.); #25275=ORIENTED_EDGE('',*,*,#18474,.F.); #25276=ORIENTED_EDGE('',*,*,#18476,.F.); #25277=ORIENTED_EDGE('',*,*,#18477,.T.); #25278=ORIENTED_EDGE('',*,*,#18478,.F.); #25279=ORIENTED_EDGE('',*,*,#18477,.F.); #25280=ORIENTED_EDGE('',*,*,#18479,.F.); #25281=ORIENTED_EDGE('',*,*,#18480,.T.); #25282=ORIENTED_EDGE('',*,*,#18481,.F.); #25283=ORIENTED_EDGE('',*,*,#18480,.F.); #25284=ORIENTED_EDGE('',*,*,#18482,.F.); #25285=ORIENTED_EDGE('',*,*,#18483,.T.); #25286=ORIENTED_EDGE('',*,*,#18484,.F.); #25287=ORIENTED_EDGE('',*,*,#18483,.F.); #25288=ORIENTED_EDGE('',*,*,#18485,.F.); #25289=ORIENTED_EDGE('',*,*,#18486,.T.); #25290=ORIENTED_EDGE('',*,*,#18487,.F.); #25291=ORIENTED_EDGE('',*,*,#18486,.F.); #25292=ORIENTED_EDGE('',*,*,#18488,.F.); #25293=ORIENTED_EDGE('',*,*,#18489,.T.); #25294=ORIENTED_EDGE('',*,*,#18490,.F.); #25295=ORIENTED_EDGE('',*,*,#18489,.F.); #25296=ORIENTED_EDGE('',*,*,#18491,.F.); #25297=ORIENTED_EDGE('',*,*,#18492,.T.); #25298=ORIENTED_EDGE('',*,*,#18493,.F.); #25299=ORIENTED_EDGE('',*,*,#18492,.F.); #25300=ORIENTED_EDGE('',*,*,#18494,.F.); #25301=ORIENTED_EDGE('',*,*,#18495,.T.); #25302=ORIENTED_EDGE('',*,*,#18496,.F.); #25303=ORIENTED_EDGE('',*,*,#18495,.F.); #25304=ORIENTED_EDGE('',*,*,#18497,.F.); #25305=ORIENTED_EDGE('',*,*,#18498,.T.); #25306=ORIENTED_EDGE('',*,*,#18499,.F.); #25307=ORIENTED_EDGE('',*,*,#18498,.F.); #25308=ORIENTED_EDGE('',*,*,#18500,.F.); #25309=ORIENTED_EDGE('',*,*,#18501,.T.); #25310=ORIENTED_EDGE('',*,*,#18502,.F.); #25311=ORIENTED_EDGE('',*,*,#18501,.F.); #25312=ORIENTED_EDGE('',*,*,#18503,.F.); #25313=ORIENTED_EDGE('',*,*,#18504,.T.); #25314=ORIENTED_EDGE('',*,*,#18505,.F.); #25315=ORIENTED_EDGE('',*,*,#18504,.F.); #25316=ORIENTED_EDGE('',*,*,#18506,.F.); #25317=ORIENTED_EDGE('',*,*,#18507,.T.); #25318=ORIENTED_EDGE('',*,*,#18508,.F.); #25319=ORIENTED_EDGE('',*,*,#18507,.F.); #25320=ORIENTED_EDGE('',*,*,#18509,.F.); #25321=ORIENTED_EDGE('',*,*,#18510,.T.); #25322=ORIENTED_EDGE('',*,*,#18511,.F.); #25323=ORIENTED_EDGE('',*,*,#18510,.F.); #25324=ORIENTED_EDGE('',*,*,#18512,.F.); #25325=ORIENTED_EDGE('',*,*,#18513,.T.); #25326=ORIENTED_EDGE('',*,*,#18514,.F.); #25327=ORIENTED_EDGE('',*,*,#18513,.F.); #25328=ORIENTED_EDGE('',*,*,#18515,.F.); #25329=ORIENTED_EDGE('',*,*,#18516,.T.); #25330=ORIENTED_EDGE('',*,*,#18517,.F.); #25331=ORIENTED_EDGE('',*,*,#18516,.F.); #25332=ORIENTED_EDGE('',*,*,#18518,.F.); #25333=ORIENTED_EDGE('',*,*,#18519,.T.); #25334=ORIENTED_EDGE('',*,*,#18520,.F.); #25335=ORIENTED_EDGE('',*,*,#18519,.F.); #25336=ORIENTED_EDGE('',*,*,#18521,.F.); #25337=ORIENTED_EDGE('',*,*,#18522,.T.); #25338=ORIENTED_EDGE('',*,*,#18523,.F.); #25339=ORIENTED_EDGE('',*,*,#18522,.F.); #25340=ORIENTED_EDGE('',*,*,#18524,.F.); #25341=ORIENTED_EDGE('',*,*,#18525,.T.); #25342=ORIENTED_EDGE('',*,*,#18526,.F.); #25343=ORIENTED_EDGE('',*,*,#18525,.F.); #25344=ORIENTED_EDGE('',*,*,#18527,.F.); #25345=ORIENTED_EDGE('',*,*,#18528,.T.); #25346=ORIENTED_EDGE('',*,*,#18529,.F.); #25347=ORIENTED_EDGE('',*,*,#18528,.F.); #25348=ORIENTED_EDGE('',*,*,#18530,.F.); #25349=ORIENTED_EDGE('',*,*,#18531,.T.); #25350=ORIENTED_EDGE('',*,*,#18532,.F.); #25351=ORIENTED_EDGE('',*,*,#18531,.F.); #25352=ORIENTED_EDGE('',*,*,#18533,.F.); #25353=ORIENTED_EDGE('',*,*,#18534,.T.); #25354=ORIENTED_EDGE('',*,*,#18535,.F.); #25355=ORIENTED_EDGE('',*,*,#18534,.F.); #25356=ORIENTED_EDGE('',*,*,#18536,.F.); #25357=ORIENTED_EDGE('',*,*,#18537,.T.); #25358=ORIENTED_EDGE('',*,*,#18538,.F.); #25359=ORIENTED_EDGE('',*,*,#18537,.F.); #25360=ORIENTED_EDGE('',*,*,#18539,.F.); #25361=ORIENTED_EDGE('',*,*,#18540,.T.); #25362=ORIENTED_EDGE('',*,*,#18541,.F.); #25363=ORIENTED_EDGE('',*,*,#18540,.F.); #25364=ORIENTED_EDGE('',*,*,#18542,.F.); #25365=ORIENTED_EDGE('',*,*,#18543,.T.); #25366=ORIENTED_EDGE('',*,*,#18544,.F.); #25367=ORIENTED_EDGE('',*,*,#18543,.F.); #25368=ORIENTED_EDGE('',*,*,#18545,.F.); #25369=ORIENTED_EDGE('',*,*,#18546,.T.); #25370=ORIENTED_EDGE('',*,*,#18547,.F.); #25371=ORIENTED_EDGE('',*,*,#18546,.F.); #25372=ORIENTED_EDGE('',*,*,#18548,.F.); #25373=ORIENTED_EDGE('',*,*,#18549,.T.); #25374=ORIENTED_EDGE('',*,*,#18550,.F.); #25375=ORIENTED_EDGE('',*,*,#18549,.F.); #25376=ORIENTED_EDGE('',*,*,#18551,.F.); #25377=ORIENTED_EDGE('',*,*,#18552,.T.); #25378=ORIENTED_EDGE('',*,*,#18553,.F.); #25379=ORIENTED_EDGE('',*,*,#18552,.F.); #25380=ORIENTED_EDGE('',*,*,#18554,.F.); #25381=ORIENTED_EDGE('',*,*,#18555,.T.); #25382=ORIENTED_EDGE('',*,*,#18556,.F.); #25383=ORIENTED_EDGE('',*,*,#18555,.F.); #25384=ORIENTED_EDGE('',*,*,#18557,.F.); #25385=ORIENTED_EDGE('',*,*,#18558,.T.); #25386=ORIENTED_EDGE('',*,*,#18559,.F.); #25387=ORIENTED_EDGE('',*,*,#18558,.F.); #25388=ORIENTED_EDGE('',*,*,#18560,.F.); #25389=ORIENTED_EDGE('',*,*,#18561,.T.); #25390=ORIENTED_EDGE('',*,*,#18562,.F.); #25391=ORIENTED_EDGE('',*,*,#18561,.F.); #25392=ORIENTED_EDGE('',*,*,#18563,.F.); #25393=ORIENTED_EDGE('',*,*,#18564,.T.); #25394=ORIENTED_EDGE('',*,*,#18565,.F.); #25395=ORIENTED_EDGE('',*,*,#18564,.F.); #25396=ORIENTED_EDGE('',*,*,#18566,.F.); #25397=ORIENTED_EDGE('',*,*,#18567,.T.); #25398=ORIENTED_EDGE('',*,*,#18568,.F.); #25399=ORIENTED_EDGE('',*,*,#18567,.F.); #25400=ORIENTED_EDGE('',*,*,#18569,.F.); #25401=ORIENTED_EDGE('',*,*,#18570,.T.); #25402=ORIENTED_EDGE('',*,*,#18571,.F.); #25403=ORIENTED_EDGE('',*,*,#18570,.F.); #25404=ORIENTED_EDGE('',*,*,#18572,.F.); #25405=ORIENTED_EDGE('',*,*,#18573,.T.); #25406=ORIENTED_EDGE('',*,*,#18574,.F.); #25407=ORIENTED_EDGE('',*,*,#18573,.F.); #25408=ORIENTED_EDGE('',*,*,#18575,.F.); #25409=ORIENTED_EDGE('',*,*,#18576,.T.); #25410=ORIENTED_EDGE('',*,*,#18577,.F.); #25411=ORIENTED_EDGE('',*,*,#18576,.F.); #25412=ORIENTED_EDGE('',*,*,#18578,.F.); #25413=ORIENTED_EDGE('',*,*,#18579,.T.); #25414=ORIENTED_EDGE('',*,*,#18580,.F.); #25415=ORIENTED_EDGE('',*,*,#18579,.F.); #25416=ORIENTED_EDGE('',*,*,#18581,.F.); #25417=ORIENTED_EDGE('',*,*,#18582,.T.); #25418=ORIENTED_EDGE('',*,*,#18583,.F.); #25419=ORIENTED_EDGE('',*,*,#18582,.F.); #25420=ORIENTED_EDGE('',*,*,#18584,.F.); #25421=ORIENTED_EDGE('',*,*,#18585,.T.); #25422=ORIENTED_EDGE('',*,*,#18586,.F.); #25423=ORIENTED_EDGE('',*,*,#18585,.F.); #25424=ORIENTED_EDGE('',*,*,#18587,.F.); #25425=ORIENTED_EDGE('',*,*,#18588,.T.); #25426=ORIENTED_EDGE('',*,*,#18589,.F.); #25427=ORIENTED_EDGE('',*,*,#18588,.F.); #25428=ORIENTED_EDGE('',*,*,#18590,.F.); #25429=ORIENTED_EDGE('',*,*,#18591,.T.); #25430=ORIENTED_EDGE('',*,*,#18592,.F.); #25431=ORIENTED_EDGE('',*,*,#18591,.F.); #25432=ORIENTED_EDGE('',*,*,#18593,.F.); #25433=ORIENTED_EDGE('',*,*,#18594,.T.); #25434=ORIENTED_EDGE('',*,*,#18595,.F.); #25435=ORIENTED_EDGE('',*,*,#18594,.F.); #25436=ORIENTED_EDGE('',*,*,#18596,.F.); #25437=ORIENTED_EDGE('',*,*,#18597,.T.); #25438=ORIENTED_EDGE('',*,*,#18598,.F.); #25439=ORIENTED_EDGE('',*,*,#18597,.F.); #25440=ORIENTED_EDGE('',*,*,#18599,.F.); #25441=ORIENTED_EDGE('',*,*,#18600,.T.); #25442=ORIENTED_EDGE('',*,*,#18601,.F.); #25443=ORIENTED_EDGE('',*,*,#18600,.F.); #25444=ORIENTED_EDGE('',*,*,#18602,.F.); #25445=ORIENTED_EDGE('',*,*,#18603,.T.); #25446=ORIENTED_EDGE('',*,*,#18604,.F.); #25447=ORIENTED_EDGE('',*,*,#18603,.F.); #25448=ORIENTED_EDGE('',*,*,#18605,.F.); #25449=ORIENTED_EDGE('',*,*,#18606,.T.); #25450=ORIENTED_EDGE('',*,*,#18607,.F.); #25451=ORIENTED_EDGE('',*,*,#18606,.F.); #25452=ORIENTED_EDGE('',*,*,#18608,.F.); #25453=ORIENTED_EDGE('',*,*,#18609,.T.); #25454=ORIENTED_EDGE('',*,*,#18610,.F.); #25455=ORIENTED_EDGE('',*,*,#18609,.F.); #25456=ORIENTED_EDGE('',*,*,#18611,.F.); #25457=ORIENTED_EDGE('',*,*,#18612,.T.); #25458=ORIENTED_EDGE('',*,*,#18613,.F.); #25459=ORIENTED_EDGE('',*,*,#18612,.F.); #25460=ORIENTED_EDGE('',*,*,#18614,.F.); #25461=ORIENTED_EDGE('',*,*,#18615,.T.); #25462=ORIENTED_EDGE('',*,*,#18616,.F.); #25463=ORIENTED_EDGE('',*,*,#18615,.F.); #25464=ORIENTED_EDGE('',*,*,#18617,.F.); #25465=ORIENTED_EDGE('',*,*,#18618,.T.); #25466=ORIENTED_EDGE('',*,*,#18619,.F.); #25467=ORIENTED_EDGE('',*,*,#18618,.F.); #25468=ORIENTED_EDGE('',*,*,#18620,.F.); #25469=ORIENTED_EDGE('',*,*,#18621,.T.); #25470=ORIENTED_EDGE('',*,*,#18622,.F.); #25471=ORIENTED_EDGE('',*,*,#18621,.F.); #25472=ORIENTED_EDGE('',*,*,#18623,.F.); #25473=ORIENTED_EDGE('',*,*,#18624,.T.); #25474=ORIENTED_EDGE('',*,*,#18625,.F.); #25475=ORIENTED_EDGE('',*,*,#18624,.F.); #25476=ORIENTED_EDGE('',*,*,#18626,.F.); #25477=ORIENTED_EDGE('',*,*,#18627,.T.); #25478=ORIENTED_EDGE('',*,*,#18628,.F.); #25479=ORIENTED_EDGE('',*,*,#18627,.F.); #25480=ORIENTED_EDGE('',*,*,#18629,.F.); #25481=ORIENTED_EDGE('',*,*,#18630,.T.); #25482=ORIENTED_EDGE('',*,*,#18631,.F.); #25483=ORIENTED_EDGE('',*,*,#18630,.F.); #25484=ORIENTED_EDGE('',*,*,#18632,.F.); #25485=ORIENTED_EDGE('',*,*,#18633,.T.); #25486=ORIENTED_EDGE('',*,*,#18634,.F.); #25487=ORIENTED_EDGE('',*,*,#18633,.F.); #25488=ORIENTED_EDGE('',*,*,#18635,.F.); #25489=ORIENTED_EDGE('',*,*,#18636,.T.); #25490=ORIENTED_EDGE('',*,*,#18637,.F.); #25491=ORIENTED_EDGE('',*,*,#18636,.F.); #25492=ORIENTED_EDGE('',*,*,#18638,.F.); #25493=ORIENTED_EDGE('',*,*,#18639,.T.); #25494=ORIENTED_EDGE('',*,*,#18640,.F.); #25495=ORIENTED_EDGE('',*,*,#18639,.F.); #25496=ORIENTED_EDGE('',*,*,#18641,.F.); #25497=ORIENTED_EDGE('',*,*,#18642,.T.); #25498=ORIENTED_EDGE('',*,*,#18643,.F.); #25499=ORIENTED_EDGE('',*,*,#18642,.F.); #25500=ORIENTED_EDGE('',*,*,#18644,.F.); #25501=ORIENTED_EDGE('',*,*,#18645,.T.); #25502=ORIENTED_EDGE('',*,*,#18646,.F.); #25503=ORIENTED_EDGE('',*,*,#18645,.F.); #25504=ORIENTED_EDGE('',*,*,#18647,.F.); #25505=ORIENTED_EDGE('',*,*,#18648,.T.); #25506=ORIENTED_EDGE('',*,*,#18649,.F.); #25507=ORIENTED_EDGE('',*,*,#18648,.F.); #25508=ORIENTED_EDGE('',*,*,#18650,.F.); #25509=ORIENTED_EDGE('',*,*,#18651,.T.); #25510=ORIENTED_EDGE('',*,*,#18652,.F.); #25511=ORIENTED_EDGE('',*,*,#18651,.F.); #25512=ORIENTED_EDGE('',*,*,#18653,.F.); #25513=ORIENTED_EDGE('',*,*,#18654,.T.); #25514=ORIENTED_EDGE('',*,*,#18655,.F.); #25515=ORIENTED_EDGE('',*,*,#18654,.F.); #25516=ORIENTED_EDGE('',*,*,#18656,.F.); #25517=ORIENTED_EDGE('',*,*,#18657,.T.); #25518=ORIENTED_EDGE('',*,*,#18658,.F.); #25519=ORIENTED_EDGE('',*,*,#18657,.F.); #25520=ORIENTED_EDGE('',*,*,#18659,.F.); #25521=ORIENTED_EDGE('',*,*,#18660,.T.); #25522=ORIENTED_EDGE('',*,*,#18661,.F.); #25523=ORIENTED_EDGE('',*,*,#18660,.F.); #25524=ORIENTED_EDGE('',*,*,#18662,.F.); #25525=ORIENTED_EDGE('',*,*,#18663,.T.); #25526=ORIENTED_EDGE('',*,*,#18664,.F.); #25527=ORIENTED_EDGE('',*,*,#18663,.F.); #25528=ORIENTED_EDGE('',*,*,#18665,.F.); #25529=ORIENTED_EDGE('',*,*,#18666,.T.); #25530=ORIENTED_EDGE('',*,*,#18667,.F.); #25531=ORIENTED_EDGE('',*,*,#18666,.F.); #25532=ORIENTED_EDGE('',*,*,#18668,.F.); #25533=ORIENTED_EDGE('',*,*,#18669,.T.); #25534=ORIENTED_EDGE('',*,*,#18670,.F.); #25535=ORIENTED_EDGE('',*,*,#18669,.F.); #25536=ORIENTED_EDGE('',*,*,#18671,.F.); #25537=ORIENTED_EDGE('',*,*,#18672,.T.); #25538=ORIENTED_EDGE('',*,*,#18673,.F.); #25539=ORIENTED_EDGE('',*,*,#18672,.F.); #25540=ORIENTED_EDGE('',*,*,#18674,.F.); #25541=ORIENTED_EDGE('',*,*,#18675,.T.); #25542=ORIENTED_EDGE('',*,*,#18676,.F.); #25543=ORIENTED_EDGE('',*,*,#18675,.F.); #25544=ORIENTED_EDGE('',*,*,#18677,.F.); #25545=ORIENTED_EDGE('',*,*,#18678,.T.); #25546=ORIENTED_EDGE('',*,*,#18679,.F.); #25547=ORIENTED_EDGE('',*,*,#18678,.F.); #25548=ORIENTED_EDGE('',*,*,#18680,.F.); #25549=ORIENTED_EDGE('',*,*,#18681,.T.); #25550=ORIENTED_EDGE('',*,*,#18682,.F.); #25551=ORIENTED_EDGE('',*,*,#18681,.F.); #25552=ORIENTED_EDGE('',*,*,#18683,.F.); #25553=ORIENTED_EDGE('',*,*,#18684,.T.); #25554=ORIENTED_EDGE('',*,*,#18685,.F.); #25555=ORIENTED_EDGE('',*,*,#18684,.F.); #25556=ORIENTED_EDGE('',*,*,#18686,.F.); #25557=ORIENTED_EDGE('',*,*,#18687,.T.); #25558=ORIENTED_EDGE('',*,*,#18688,.F.); #25559=ORIENTED_EDGE('',*,*,#18687,.F.); #25560=ORIENTED_EDGE('',*,*,#18689,.F.); #25561=ORIENTED_EDGE('',*,*,#18690,.T.); #25562=ORIENTED_EDGE('',*,*,#18691,.F.); #25563=ORIENTED_EDGE('',*,*,#18690,.F.); #25564=ORIENTED_EDGE('',*,*,#18692,.F.); #25565=ORIENTED_EDGE('',*,*,#18693,.T.); #25566=ORIENTED_EDGE('',*,*,#18694,.F.); #25567=ORIENTED_EDGE('',*,*,#18693,.F.); #25568=ORIENTED_EDGE('',*,*,#18695,.F.); #25569=ORIENTED_EDGE('',*,*,#18696,.T.); #25570=ORIENTED_EDGE('',*,*,#18697,.F.); #25571=ORIENTED_EDGE('',*,*,#18696,.F.); #25572=ORIENTED_EDGE('',*,*,#18698,.T.); #25573=ORIENTED_EDGE('',*,*,#18699,.T.); #25574=ORIENTED_EDGE('',*,*,#18700,.T.); #25575=ORIENTED_EDGE('',*,*,#18701,.T.); #25576=ORIENTED_EDGE('',*,*,#18702,.T.); #25577=ORIENTED_EDGE('',*,*,#18703,.T.); #25578=ORIENTED_EDGE('',*,*,#18700,.F.); #25579=ORIENTED_EDGE('',*,*,#18704,.T.); #25580=ORIENTED_EDGE('',*,*,#18705,.T.); #25581=ORIENTED_EDGE('',*,*,#18706,.T.); #25582=ORIENTED_EDGE('',*,*,#18702,.F.); #25583=ORIENTED_EDGE('',*,*,#18707,.T.); #25584=ORIENTED_EDGE('',*,*,#18708,.T.); #25585=ORIENTED_EDGE('',*,*,#18709,.T.); #25586=ORIENTED_EDGE('',*,*,#18705,.F.); #25587=ORIENTED_EDGE('',*,*,#18710,.T.); #25588=ORIENTED_EDGE('',*,*,#18711,.T.); #25589=ORIENTED_EDGE('',*,*,#18712,.T.); #25590=ORIENTED_EDGE('',*,*,#18708,.F.); #25591=ORIENTED_EDGE('',*,*,#18713,.T.); #25592=ORIENTED_EDGE('',*,*,#18714,.T.); #25593=ORIENTED_EDGE('',*,*,#18715,.T.); #25594=ORIENTED_EDGE('',*,*,#18711,.F.); #25595=ORIENTED_EDGE('',*,*,#18716,.T.); #25596=ORIENTED_EDGE('',*,*,#18717,.T.); #25597=ORIENTED_EDGE('',*,*,#18718,.T.); #25598=ORIENTED_EDGE('',*,*,#18714,.F.); #25599=ORIENTED_EDGE('',*,*,#18719,.T.); #25600=ORIENTED_EDGE('',*,*,#18698,.F.); #25601=ORIENTED_EDGE('',*,*,#18720,.T.); #25602=ORIENTED_EDGE('',*,*,#18717,.F.); #25603=ORIENTED_EDGE('',*,*,#18721,.T.); #25604=ORIENTED_EDGE('',*,*,#18710,.F.); #25605=ORIENTED_EDGE('',*,*,#18722,.F.); #25606=ORIENTED_EDGE('',*,*,#18723,.F.); #25607=ORIENTED_EDGE('',*,*,#18724,.T.); #25608=ORIENTED_EDGE('',*,*,#18707,.F.); #25609=ORIENTED_EDGE('',*,*,#18725,.F.); #25610=ORIENTED_EDGE('',*,*,#18726,.F.); #25611=ORIENTED_EDGE('',*,*,#18722,.T.); #25612=ORIENTED_EDGE('',*,*,#18704,.F.); #25613=ORIENTED_EDGE('',*,*,#18727,.F.); #25614=ORIENTED_EDGE('',*,*,#18728,.F.); #25615=ORIENTED_EDGE('',*,*,#18725,.T.); #25616=ORIENTED_EDGE('',*,*,#18699,.F.); #25617=ORIENTED_EDGE('',*,*,#18729,.F.); #25618=ORIENTED_EDGE('',*,*,#18730,.F.); #25619=ORIENTED_EDGE('',*,*,#18727,.T.); #25620=ORIENTED_EDGE('',*,*,#18731,.F.); #25621=ORIENTED_EDGE('',*,*,#18732,.F.); #25622=ORIENTED_EDGE('',*,*,#18733,.F.); #25623=ORIENTED_EDGE('',*,*,#18734,.F.); #25624=ORIENTED_EDGE('',*,*,#18412,.T.); #25625=ORIENTED_EDGE('',*,*,#18415,.T.); #25626=ORIENTED_EDGE('',*,*,#18418,.T.); #25627=ORIENTED_EDGE('',*,*,#18421,.T.); #25628=ORIENTED_EDGE('',*,*,#18424,.T.); #25629=ORIENTED_EDGE('',*,*,#18427,.T.); #25630=ORIENTED_EDGE('',*,*,#18430,.T.); #25631=ORIENTED_EDGE('',*,*,#18433,.T.); #25632=ORIENTED_EDGE('',*,*,#18436,.T.); #25633=ORIENTED_EDGE('',*,*,#18439,.T.); #25634=ORIENTED_EDGE('',*,*,#18442,.T.); #25635=ORIENTED_EDGE('',*,*,#18445,.T.); #25636=ORIENTED_EDGE('',*,*,#18448,.T.); #25637=ORIENTED_EDGE('',*,*,#18451,.T.); #25638=ORIENTED_EDGE('',*,*,#18454,.T.); #25639=ORIENTED_EDGE('',*,*,#18457,.T.); #25640=ORIENTED_EDGE('',*,*,#18460,.T.); #25641=ORIENTED_EDGE('',*,*,#18463,.T.); #25642=ORIENTED_EDGE('',*,*,#18466,.T.); #25643=ORIENTED_EDGE('',*,*,#18469,.T.); #25644=ORIENTED_EDGE('',*,*,#18472,.T.); #25645=ORIENTED_EDGE('',*,*,#18475,.T.); #25646=ORIENTED_EDGE('',*,*,#18478,.T.); #25647=ORIENTED_EDGE('',*,*,#18481,.T.); #25648=ORIENTED_EDGE('',*,*,#18484,.T.); #25649=ORIENTED_EDGE('',*,*,#18487,.T.); #25650=ORIENTED_EDGE('',*,*,#18490,.T.); #25651=ORIENTED_EDGE('',*,*,#18493,.T.); #25652=ORIENTED_EDGE('',*,*,#18496,.T.); #25653=ORIENTED_EDGE('',*,*,#18499,.T.); #25654=ORIENTED_EDGE('',*,*,#18502,.T.); #25655=ORIENTED_EDGE('',*,*,#18505,.T.); #25656=ORIENTED_EDGE('',*,*,#18508,.T.); #25657=ORIENTED_EDGE('',*,*,#18511,.T.); #25658=ORIENTED_EDGE('',*,*,#18514,.T.); #25659=ORIENTED_EDGE('',*,*,#18517,.T.); #25660=ORIENTED_EDGE('',*,*,#18520,.T.); #25661=ORIENTED_EDGE('',*,*,#18523,.T.); #25662=ORIENTED_EDGE('',*,*,#18526,.T.); #25663=ORIENTED_EDGE('',*,*,#18529,.T.); #25664=ORIENTED_EDGE('',*,*,#18532,.T.); #25665=ORIENTED_EDGE('',*,*,#18535,.T.); #25666=ORIENTED_EDGE('',*,*,#18538,.T.); #25667=ORIENTED_EDGE('',*,*,#18541,.T.); #25668=ORIENTED_EDGE('',*,*,#18544,.T.); #25669=ORIENTED_EDGE('',*,*,#18547,.T.); #25670=ORIENTED_EDGE('',*,*,#18550,.T.); #25671=ORIENTED_EDGE('',*,*,#18553,.T.); #25672=ORIENTED_EDGE('',*,*,#18556,.T.); #25673=ORIENTED_EDGE('',*,*,#18559,.T.); #25674=ORIENTED_EDGE('',*,*,#18562,.T.); #25675=ORIENTED_EDGE('',*,*,#18565,.T.); #25676=ORIENTED_EDGE('',*,*,#18568,.T.); #25677=ORIENTED_EDGE('',*,*,#18571,.T.); #25678=ORIENTED_EDGE('',*,*,#18574,.T.); #25679=ORIENTED_EDGE('',*,*,#18577,.T.); #25680=ORIENTED_EDGE('',*,*,#18580,.T.); #25681=ORIENTED_EDGE('',*,*,#18583,.T.); #25682=ORIENTED_EDGE('',*,*,#18586,.T.); #25683=ORIENTED_EDGE('',*,*,#18589,.T.); #25684=ORIENTED_EDGE('',*,*,#18592,.T.); #25685=ORIENTED_EDGE('',*,*,#18595,.T.); #25686=ORIENTED_EDGE('',*,*,#18598,.T.); #25687=ORIENTED_EDGE('',*,*,#18601,.T.); #25688=ORIENTED_EDGE('',*,*,#18604,.T.); #25689=ORIENTED_EDGE('',*,*,#18607,.T.); #25690=ORIENTED_EDGE('',*,*,#18610,.T.); #25691=ORIENTED_EDGE('',*,*,#18613,.T.); #25692=ORIENTED_EDGE('',*,*,#18616,.T.); #25693=ORIENTED_EDGE('',*,*,#18619,.T.); #25694=ORIENTED_EDGE('',*,*,#18622,.T.); #25695=ORIENTED_EDGE('',*,*,#18625,.T.); #25696=ORIENTED_EDGE('',*,*,#18628,.T.); #25697=ORIENTED_EDGE('',*,*,#18631,.T.); #25698=ORIENTED_EDGE('',*,*,#18634,.T.); #25699=ORIENTED_EDGE('',*,*,#18637,.T.); #25700=ORIENTED_EDGE('',*,*,#18640,.T.); #25701=ORIENTED_EDGE('',*,*,#18643,.T.); #25702=ORIENTED_EDGE('',*,*,#18646,.T.); #25703=ORIENTED_EDGE('',*,*,#18649,.T.); #25704=ORIENTED_EDGE('',*,*,#18652,.T.); #25705=ORIENTED_EDGE('',*,*,#18655,.T.); #25706=ORIENTED_EDGE('',*,*,#18658,.T.); #25707=ORIENTED_EDGE('',*,*,#18661,.T.); #25708=ORIENTED_EDGE('',*,*,#18664,.T.); #25709=ORIENTED_EDGE('',*,*,#18667,.T.); #25710=ORIENTED_EDGE('',*,*,#18670,.T.); #25711=ORIENTED_EDGE('',*,*,#18673,.T.); #25712=ORIENTED_EDGE('',*,*,#18676,.T.); #25713=ORIENTED_EDGE('',*,*,#18679,.T.); #25714=ORIENTED_EDGE('',*,*,#18682,.T.); #25715=ORIENTED_EDGE('',*,*,#18685,.T.); #25716=ORIENTED_EDGE('',*,*,#18688,.T.); #25717=ORIENTED_EDGE('',*,*,#18691,.T.); #25718=ORIENTED_EDGE('',*,*,#18694,.T.); #25719=ORIENTED_EDGE('',*,*,#18697,.T.); #25720=ORIENTED_EDGE('',*,*,#18701,.F.); #25721=ORIENTED_EDGE('',*,*,#18703,.F.); #25722=ORIENTED_EDGE('',*,*,#18706,.F.); #25723=ORIENTED_EDGE('',*,*,#18709,.F.); #25724=ORIENTED_EDGE('',*,*,#18712,.F.); #25725=ORIENTED_EDGE('',*,*,#18715,.F.); #25726=ORIENTED_EDGE('',*,*,#18718,.F.); #25727=ORIENTED_EDGE('',*,*,#18720,.F.); #25728=ORIENTED_EDGE('',*,*,#18721,.F.); #25729=ORIENTED_EDGE('',*,*,#18735,.F.); #25730=ORIENTED_EDGE('',*,*,#18736,.F.); #25731=ORIENTED_EDGE('',*,*,#18729,.T.); #25732=ORIENTED_EDGE('',*,*,#18719,.F.); #25733=ORIENTED_EDGE('',*,*,#18737,.F.); #25734=ORIENTED_EDGE('',*,*,#18738,.F.); #25735=ORIENTED_EDGE('',*,*,#18735,.T.); #25736=ORIENTED_EDGE('',*,*,#18716,.F.); #25737=ORIENTED_EDGE('',*,*,#18739,.F.); #25738=ORIENTED_EDGE('',*,*,#18740,.F.); #25739=ORIENTED_EDGE('',*,*,#18737,.T.); #25740=ORIENTED_EDGE('',*,*,#18713,.F.); #25741=ORIENTED_EDGE('',*,*,#18724,.F.); #25742=ORIENTED_EDGE('',*,*,#18741,.F.); #25743=ORIENTED_EDGE('',*,*,#18739,.T.); #25744=ORIENTED_EDGE('',*,*,#18732,.T.); #25745=ORIENTED_EDGE('',*,*,#18742,.T.); #25746=ORIENTED_EDGE('',*,*,#18743,.F.); #25747=ORIENTED_EDGE('',*,*,#18744,.F.); #25748=ORIENTED_EDGE('',*,*,#18733,.T.); #25749=ORIENTED_EDGE('',*,*,#18744,.T.); #25750=ORIENTED_EDGE('',*,*,#18745,.F.); #25751=ORIENTED_EDGE('',*,*,#18746,.F.); #25752=ORIENTED_EDGE('',*,*,#18734,.T.); #25753=ORIENTED_EDGE('',*,*,#18746,.T.); #25754=ORIENTED_EDGE('',*,*,#18747,.F.); #25755=ORIENTED_EDGE('',*,*,#18748,.F.); #25756=ORIENTED_EDGE('',*,*,#18731,.T.); #25757=ORIENTED_EDGE('',*,*,#18748,.T.); #25758=ORIENTED_EDGE('',*,*,#18749,.F.); #25759=ORIENTED_EDGE('',*,*,#18742,.F.); #25760=ORIENTED_EDGE('',*,*,#18749,.T.); #25761=ORIENTED_EDGE('',*,*,#18747,.T.); #25762=ORIENTED_EDGE('',*,*,#18745,.T.); #25763=ORIENTED_EDGE('',*,*,#18743,.T.); #25764=ORIENTED_EDGE('',*,*,#18410,.T.); #25765=ORIENTED_EDGE('',*,*,#18413,.T.); #25766=ORIENTED_EDGE('',*,*,#18416,.T.); #25767=ORIENTED_EDGE('',*,*,#18419,.T.); #25768=ORIENTED_EDGE('',*,*,#18422,.T.); #25769=ORIENTED_EDGE('',*,*,#18425,.T.); #25770=ORIENTED_EDGE('',*,*,#18428,.T.); #25771=ORIENTED_EDGE('',*,*,#18431,.T.); #25772=ORIENTED_EDGE('',*,*,#18434,.T.); #25773=ORIENTED_EDGE('',*,*,#18437,.T.); #25774=ORIENTED_EDGE('',*,*,#18440,.T.); #25775=ORIENTED_EDGE('',*,*,#18443,.T.); #25776=ORIENTED_EDGE('',*,*,#18446,.T.); #25777=ORIENTED_EDGE('',*,*,#18449,.T.); #25778=ORIENTED_EDGE('',*,*,#18452,.T.); #25779=ORIENTED_EDGE('',*,*,#18455,.T.); #25780=ORIENTED_EDGE('',*,*,#18458,.T.); #25781=ORIENTED_EDGE('',*,*,#18461,.T.); #25782=ORIENTED_EDGE('',*,*,#18464,.T.); #25783=ORIENTED_EDGE('',*,*,#18467,.T.); #25784=ORIENTED_EDGE('',*,*,#18470,.T.); #25785=ORIENTED_EDGE('',*,*,#18473,.T.); #25786=ORIENTED_EDGE('',*,*,#18476,.T.); #25787=ORIENTED_EDGE('',*,*,#18479,.T.); #25788=ORIENTED_EDGE('',*,*,#18482,.T.); #25789=ORIENTED_EDGE('',*,*,#18485,.T.); #25790=ORIENTED_EDGE('',*,*,#18488,.T.); #25791=ORIENTED_EDGE('',*,*,#18491,.T.); #25792=ORIENTED_EDGE('',*,*,#18494,.T.); #25793=ORIENTED_EDGE('',*,*,#18497,.T.); #25794=ORIENTED_EDGE('',*,*,#18500,.T.); #25795=ORIENTED_EDGE('',*,*,#18503,.T.); #25796=ORIENTED_EDGE('',*,*,#18506,.T.); #25797=ORIENTED_EDGE('',*,*,#18509,.T.); #25798=ORIENTED_EDGE('',*,*,#18512,.T.); #25799=ORIENTED_EDGE('',*,*,#18515,.T.); #25800=ORIENTED_EDGE('',*,*,#18518,.T.); #25801=ORIENTED_EDGE('',*,*,#18521,.T.); #25802=ORIENTED_EDGE('',*,*,#18524,.T.); #25803=ORIENTED_EDGE('',*,*,#18527,.T.); #25804=ORIENTED_EDGE('',*,*,#18530,.T.); #25805=ORIENTED_EDGE('',*,*,#18533,.T.); #25806=ORIENTED_EDGE('',*,*,#18536,.T.); #25807=ORIENTED_EDGE('',*,*,#18539,.T.); #25808=ORIENTED_EDGE('',*,*,#18542,.T.); #25809=ORIENTED_EDGE('',*,*,#18545,.T.); #25810=ORIENTED_EDGE('',*,*,#18548,.T.); #25811=ORIENTED_EDGE('',*,*,#18551,.T.); #25812=ORIENTED_EDGE('',*,*,#18554,.T.); #25813=ORIENTED_EDGE('',*,*,#18557,.T.); #25814=ORIENTED_EDGE('',*,*,#18560,.T.); #25815=ORIENTED_EDGE('',*,*,#18563,.T.); #25816=ORIENTED_EDGE('',*,*,#18566,.T.); #25817=ORIENTED_EDGE('',*,*,#18569,.T.); #25818=ORIENTED_EDGE('',*,*,#18572,.T.); #25819=ORIENTED_EDGE('',*,*,#18575,.T.); #25820=ORIENTED_EDGE('',*,*,#18578,.T.); #25821=ORIENTED_EDGE('',*,*,#18581,.T.); #25822=ORIENTED_EDGE('',*,*,#18584,.T.); #25823=ORIENTED_EDGE('',*,*,#18587,.T.); #25824=ORIENTED_EDGE('',*,*,#18590,.T.); #25825=ORIENTED_EDGE('',*,*,#18593,.T.); #25826=ORIENTED_EDGE('',*,*,#18596,.T.); #25827=ORIENTED_EDGE('',*,*,#18599,.T.); #25828=ORIENTED_EDGE('',*,*,#18602,.T.); #25829=ORIENTED_EDGE('',*,*,#18605,.T.); #25830=ORIENTED_EDGE('',*,*,#18608,.T.); #25831=ORIENTED_EDGE('',*,*,#18611,.T.); #25832=ORIENTED_EDGE('',*,*,#18614,.T.); #25833=ORIENTED_EDGE('',*,*,#18617,.T.); #25834=ORIENTED_EDGE('',*,*,#18620,.T.); #25835=ORIENTED_EDGE('',*,*,#18623,.T.); #25836=ORIENTED_EDGE('',*,*,#18626,.T.); #25837=ORIENTED_EDGE('',*,*,#18629,.T.); #25838=ORIENTED_EDGE('',*,*,#18632,.T.); #25839=ORIENTED_EDGE('',*,*,#18635,.T.); #25840=ORIENTED_EDGE('',*,*,#18638,.T.); #25841=ORIENTED_EDGE('',*,*,#18641,.T.); #25842=ORIENTED_EDGE('',*,*,#18644,.T.); #25843=ORIENTED_EDGE('',*,*,#18647,.T.); #25844=ORIENTED_EDGE('',*,*,#18650,.T.); #25845=ORIENTED_EDGE('',*,*,#18653,.T.); #25846=ORIENTED_EDGE('',*,*,#18656,.T.); #25847=ORIENTED_EDGE('',*,*,#18659,.T.); #25848=ORIENTED_EDGE('',*,*,#18662,.T.); #25849=ORIENTED_EDGE('',*,*,#18665,.T.); #25850=ORIENTED_EDGE('',*,*,#18668,.T.); #25851=ORIENTED_EDGE('',*,*,#18671,.T.); #25852=ORIENTED_EDGE('',*,*,#18674,.T.); #25853=ORIENTED_EDGE('',*,*,#18677,.T.); #25854=ORIENTED_EDGE('',*,*,#18680,.T.); #25855=ORIENTED_EDGE('',*,*,#18683,.T.); #25856=ORIENTED_EDGE('',*,*,#18686,.T.); #25857=ORIENTED_EDGE('',*,*,#18689,.T.); #25858=ORIENTED_EDGE('',*,*,#18692,.T.); #25859=ORIENTED_EDGE('',*,*,#18695,.T.); #25860=ORIENTED_EDGE('',*,*,#18741,.T.); #25861=ORIENTED_EDGE('',*,*,#18723,.T.); #25862=ORIENTED_EDGE('',*,*,#18726,.T.); #25863=ORIENTED_EDGE('',*,*,#18728,.T.); #25864=ORIENTED_EDGE('',*,*,#18730,.T.); #25865=ORIENTED_EDGE('',*,*,#18736,.T.); #25866=ORIENTED_EDGE('',*,*,#18738,.T.); #25867=ORIENTED_EDGE('',*,*,#18740,.T.); #25868=ORIENTED_EDGE('',*,*,#18750,.T.); #25869=ORIENTED_EDGE('',*,*,#18751,.T.); #25870=ORIENTED_EDGE('',*,*,#18751,.F.); #25871=ORIENTED_EDGE('',*,*,#18752,.F.); #25872=ORIENTED_EDGE('',*,*,#18753,.T.); #25873=ORIENTED_EDGE('',*,*,#18750,.F.); #25874=ORIENTED_EDGE('',*,*,#18753,.F.); #25875=ORIENTED_EDGE('',*,*,#18754,.T.); #25876=ORIENTED_EDGE('',*,*,#18755,.T.); #25877=ORIENTED_EDGE('',*,*,#18755,.F.); #25878=ORIENTED_EDGE('',*,*,#18756,.F.); #25879=ORIENTED_EDGE('',*,*,#18757,.T.); #25880=ORIENTED_EDGE('',*,*,#18754,.F.); #25881=ORIENTED_EDGE('',*,*,#18757,.F.); #25882=ORIENTED_EDGE('',*,*,#18758,.T.); #25883=ORIENTED_EDGE('',*,*,#18759,.T.); #25884=ORIENTED_EDGE('',*,*,#18759,.F.); #25885=ORIENTED_EDGE('',*,*,#18760,.F.); #25886=ORIENTED_EDGE('',*,*,#18761,.T.); #25887=ORIENTED_EDGE('',*,*,#18758,.F.); #25888=ORIENTED_EDGE('',*,*,#18761,.F.); #25889=ORIENTED_EDGE('',*,*,#18762,.T.); #25890=ORIENTED_EDGE('',*,*,#18763,.T.); #25891=ORIENTED_EDGE('',*,*,#18763,.F.); #25892=ORIENTED_EDGE('',*,*,#18764,.F.); #25893=ORIENTED_EDGE('',*,*,#18765,.T.); #25894=ORIENTED_EDGE('',*,*,#18762,.F.); #25895=ORIENTED_EDGE('',*,*,#18765,.F.); #25896=ORIENTED_EDGE('',*,*,#18766,.T.); #25897=ORIENTED_EDGE('',*,*,#18767,.T.); #25898=ORIENTED_EDGE('',*,*,#18767,.F.); #25899=ORIENTED_EDGE('',*,*,#18768,.F.); #25900=ORIENTED_EDGE('',*,*,#18769,.T.); #25901=ORIENTED_EDGE('',*,*,#18766,.F.); #25902=ORIENTED_EDGE('',*,*,#18769,.F.); #25903=ORIENTED_EDGE('',*,*,#18770,.T.); #25904=ORIENTED_EDGE('',*,*,#18771,.T.); #25905=ORIENTED_EDGE('',*,*,#18771,.F.); #25906=ORIENTED_EDGE('',*,*,#18772,.F.); #25907=ORIENTED_EDGE('',*,*,#18773,.T.); #25908=ORIENTED_EDGE('',*,*,#18770,.F.); #25909=ORIENTED_EDGE('',*,*,#18773,.F.); #25910=ORIENTED_EDGE('',*,*,#18774,.T.); #25911=ORIENTED_EDGE('',*,*,#18775,.T.); #25912=ORIENTED_EDGE('',*,*,#18775,.F.); #25913=ORIENTED_EDGE('',*,*,#18776,.F.); #25914=ORIENTED_EDGE('',*,*,#18777,.T.); #25915=ORIENTED_EDGE('',*,*,#18774,.F.); #25916=ORIENTED_EDGE('',*,*,#18777,.F.); #25917=ORIENTED_EDGE('',*,*,#18778,.T.); #25918=ORIENTED_EDGE('',*,*,#18779,.T.); #25919=ORIENTED_EDGE('',*,*,#18779,.F.); #25920=ORIENTED_EDGE('',*,*,#18780,.F.); #25921=ORIENTED_EDGE('',*,*,#18781,.T.); #25922=ORIENTED_EDGE('',*,*,#18778,.F.); #25923=ORIENTED_EDGE('',*,*,#18781,.F.); #25924=ORIENTED_EDGE('',*,*,#18782,.T.); #25925=ORIENTED_EDGE('',*,*,#18783,.T.); #25926=ORIENTED_EDGE('',*,*,#18783,.F.); #25927=ORIENTED_EDGE('',*,*,#18784,.F.); #25928=ORIENTED_EDGE('',*,*,#18785,.T.); #25929=ORIENTED_EDGE('',*,*,#18782,.F.); #25930=ORIENTED_EDGE('',*,*,#18785,.F.); #25931=ORIENTED_EDGE('',*,*,#18786,.T.); #25932=ORIENTED_EDGE('',*,*,#18787,.T.); #25933=ORIENTED_EDGE('',*,*,#18787,.F.); #25934=ORIENTED_EDGE('',*,*,#18788,.F.); #25935=ORIENTED_EDGE('',*,*,#18789,.T.); #25936=ORIENTED_EDGE('',*,*,#18786,.F.); #25937=ORIENTED_EDGE('',*,*,#18789,.F.); #25938=ORIENTED_EDGE('',*,*,#18790,.T.); #25939=ORIENTED_EDGE('',*,*,#18791,.T.); #25940=ORIENTED_EDGE('',*,*,#18791,.F.); #25941=ORIENTED_EDGE('',*,*,#18792,.F.); #25942=ORIENTED_EDGE('',*,*,#18793,.T.); #25943=ORIENTED_EDGE('',*,*,#18790,.F.); #25944=ORIENTED_EDGE('',*,*,#18793,.F.); #25945=ORIENTED_EDGE('',*,*,#18794,.T.); #25946=ORIENTED_EDGE('',*,*,#18795,.T.); #25947=ORIENTED_EDGE('',*,*,#18795,.F.); #25948=ORIENTED_EDGE('',*,*,#18796,.F.); #25949=ORIENTED_EDGE('',*,*,#18797,.T.); #25950=ORIENTED_EDGE('',*,*,#18794,.F.); #25951=ORIENTED_EDGE('',*,*,#18797,.F.); #25952=ORIENTED_EDGE('',*,*,#18798,.T.); #25953=ORIENTED_EDGE('',*,*,#18799,.T.); #25954=ORIENTED_EDGE('',*,*,#18799,.F.); #25955=ORIENTED_EDGE('',*,*,#18800,.F.); #25956=ORIENTED_EDGE('',*,*,#18801,.T.); #25957=ORIENTED_EDGE('',*,*,#18798,.F.); #25958=ORIENTED_EDGE('',*,*,#18801,.F.); #25959=ORIENTED_EDGE('',*,*,#18802,.T.); #25960=ORIENTED_EDGE('',*,*,#18803,.T.); #25961=ORIENTED_EDGE('',*,*,#18803,.F.); #25962=ORIENTED_EDGE('',*,*,#18804,.F.); #25963=ORIENTED_EDGE('',*,*,#18805,.T.); #25964=ORIENTED_EDGE('',*,*,#18802,.F.); #25965=ORIENTED_EDGE('',*,*,#18805,.F.); #25966=ORIENTED_EDGE('',*,*,#18806,.T.); #25967=ORIENTED_EDGE('',*,*,#18807,.T.); #25968=ORIENTED_EDGE('',*,*,#18807,.F.); #25969=ORIENTED_EDGE('',*,*,#18808,.F.); #25970=ORIENTED_EDGE('',*,*,#18809,.T.); #25971=ORIENTED_EDGE('',*,*,#18806,.F.); #25972=ORIENTED_EDGE('',*,*,#18809,.F.); #25973=ORIENTED_EDGE('',*,*,#18810,.T.); #25974=ORIENTED_EDGE('',*,*,#18811,.T.); #25975=ORIENTED_EDGE('',*,*,#18811,.F.); #25976=ORIENTED_EDGE('',*,*,#18812,.F.); #25977=ORIENTED_EDGE('',*,*,#18813,.T.); #25978=ORIENTED_EDGE('',*,*,#18810,.F.); #25979=ORIENTED_EDGE('',*,*,#18813,.F.); #25980=ORIENTED_EDGE('',*,*,#18814,.T.); #25981=ORIENTED_EDGE('',*,*,#18815,.T.); #25982=ORIENTED_EDGE('',*,*,#18815,.F.); #25983=ORIENTED_EDGE('',*,*,#18816,.F.); #25984=ORIENTED_EDGE('',*,*,#18817,.T.); #25985=ORIENTED_EDGE('',*,*,#18814,.F.); #25986=ORIENTED_EDGE('',*,*,#18817,.F.); #25987=ORIENTED_EDGE('',*,*,#18818,.T.); #25988=ORIENTED_EDGE('',*,*,#18819,.T.); #25989=ORIENTED_EDGE('',*,*,#18819,.F.); #25990=ORIENTED_EDGE('',*,*,#18820,.F.); #25991=ORIENTED_EDGE('',*,*,#18821,.T.); #25992=ORIENTED_EDGE('',*,*,#18818,.F.); #25993=ORIENTED_EDGE('',*,*,#18821,.F.); #25994=ORIENTED_EDGE('',*,*,#18822,.T.); #25995=ORIENTED_EDGE('',*,*,#18823,.T.); #25996=ORIENTED_EDGE('',*,*,#18823,.F.); #25997=ORIENTED_EDGE('',*,*,#18824,.F.); #25998=ORIENTED_EDGE('',*,*,#18825,.T.); #25999=ORIENTED_EDGE('',*,*,#18822,.F.); #26000=ORIENTED_EDGE('',*,*,#18825,.F.); #26001=ORIENTED_EDGE('',*,*,#18826,.T.); #26002=ORIENTED_EDGE('',*,*,#18827,.T.); #26003=ORIENTED_EDGE('',*,*,#18827,.F.); #26004=ORIENTED_EDGE('',*,*,#18828,.F.); #26005=ORIENTED_EDGE('',*,*,#18829,.T.); #26006=ORIENTED_EDGE('',*,*,#18826,.F.); #26007=ORIENTED_EDGE('',*,*,#18829,.F.); #26008=ORIENTED_EDGE('',*,*,#18830,.T.); #26009=ORIENTED_EDGE('',*,*,#18831,.T.); #26010=ORIENTED_EDGE('',*,*,#18831,.F.); #26011=ORIENTED_EDGE('',*,*,#18832,.F.); #26012=ORIENTED_EDGE('',*,*,#18833,.T.); #26013=ORIENTED_EDGE('',*,*,#18830,.F.); #26014=ORIENTED_EDGE('',*,*,#18833,.F.); #26015=ORIENTED_EDGE('',*,*,#18834,.T.); #26016=ORIENTED_EDGE('',*,*,#18835,.T.); #26017=ORIENTED_EDGE('',*,*,#18835,.F.); #26018=ORIENTED_EDGE('',*,*,#18836,.F.); #26019=ORIENTED_EDGE('',*,*,#18837,.T.); #26020=ORIENTED_EDGE('',*,*,#18834,.F.); #26021=ORIENTED_EDGE('',*,*,#18837,.F.); #26022=ORIENTED_EDGE('',*,*,#18838,.T.); #26023=ORIENTED_EDGE('',*,*,#18839,.T.); #26024=ORIENTED_EDGE('',*,*,#18839,.F.); #26025=ORIENTED_EDGE('',*,*,#18840,.F.); #26026=ORIENTED_EDGE('',*,*,#18841,.T.); #26027=ORIENTED_EDGE('',*,*,#18838,.F.); #26028=ORIENTED_EDGE('',*,*,#18841,.F.); #26029=ORIENTED_EDGE('',*,*,#18842,.T.); #26030=ORIENTED_EDGE('',*,*,#18843,.T.); #26031=ORIENTED_EDGE('',*,*,#18843,.F.); #26032=ORIENTED_EDGE('',*,*,#18844,.F.); #26033=ORIENTED_EDGE('',*,*,#18845,.T.); #26034=ORIENTED_EDGE('',*,*,#18842,.F.); #26035=ORIENTED_EDGE('',*,*,#18845,.F.); #26036=ORIENTED_EDGE('',*,*,#18846,.F.); #26037=ORIENTED_EDGE('',*,*,#18847,.T.); #26038=ORIENTED_EDGE('',*,*,#18848,.F.); #26039=ORIENTED_EDGE('',*,*,#18847,.F.); #26040=ORIENTED_EDGE('',*,*,#18849,.F.); #26041=ORIENTED_EDGE('',*,*,#18850,.T.); #26042=ORIENTED_EDGE('',*,*,#18851,.F.); #26043=ORIENTED_EDGE('',*,*,#18850,.F.); #26044=ORIENTED_EDGE('',*,*,#18852,.F.); #26045=ORIENTED_EDGE('',*,*,#18853,.T.); #26046=ORIENTED_EDGE('',*,*,#18854,.F.); #26047=ORIENTED_EDGE('',*,*,#18853,.F.); #26048=ORIENTED_EDGE('',*,*,#18855,.F.); #26049=ORIENTED_EDGE('',*,*,#18856,.T.); #26050=ORIENTED_EDGE('',*,*,#18857,.F.); #26051=ORIENTED_EDGE('',*,*,#18856,.F.); #26052=ORIENTED_EDGE('',*,*,#18858,.F.); #26053=ORIENTED_EDGE('',*,*,#18859,.T.); #26054=ORIENTED_EDGE('',*,*,#18860,.F.); #26055=ORIENTED_EDGE('',*,*,#18859,.F.); #26056=ORIENTED_EDGE('',*,*,#18861,.F.); #26057=ORIENTED_EDGE('',*,*,#18862,.T.); #26058=ORIENTED_EDGE('',*,*,#18863,.F.); #26059=ORIENTED_EDGE('',*,*,#18862,.F.); #26060=ORIENTED_EDGE('',*,*,#18864,.F.); #26061=ORIENTED_EDGE('',*,*,#18865,.T.); #26062=ORIENTED_EDGE('',*,*,#18866,.F.); #26063=ORIENTED_EDGE('',*,*,#18865,.F.); #26064=ORIENTED_EDGE('',*,*,#18867,.F.); #26065=ORIENTED_EDGE('',*,*,#18868,.T.); #26066=ORIENTED_EDGE('',*,*,#18869,.F.); #26067=ORIENTED_EDGE('',*,*,#18868,.F.); #26068=ORIENTED_EDGE('',*,*,#18870,.F.); #26069=ORIENTED_EDGE('',*,*,#18871,.T.); #26070=ORIENTED_EDGE('',*,*,#18872,.F.); #26071=ORIENTED_EDGE('',*,*,#18871,.F.); #26072=ORIENTED_EDGE('',*,*,#18873,.F.); #26073=ORIENTED_EDGE('',*,*,#18874,.T.); #26074=ORIENTED_EDGE('',*,*,#18875,.F.); #26075=ORIENTED_EDGE('',*,*,#18874,.F.); #26076=ORIENTED_EDGE('',*,*,#18876,.F.); #26077=ORIENTED_EDGE('',*,*,#18877,.T.); #26078=ORIENTED_EDGE('',*,*,#18878,.F.); #26079=ORIENTED_EDGE('',*,*,#18877,.F.); #26080=ORIENTED_EDGE('',*,*,#18879,.F.); #26081=ORIENTED_EDGE('',*,*,#18880,.T.); #26082=ORIENTED_EDGE('',*,*,#18881,.F.); #26083=ORIENTED_EDGE('',*,*,#18880,.F.); #26084=ORIENTED_EDGE('',*,*,#18882,.F.); #26085=ORIENTED_EDGE('',*,*,#18883,.T.); #26086=ORIENTED_EDGE('',*,*,#18884,.F.); #26087=ORIENTED_EDGE('',*,*,#18883,.F.); #26088=ORIENTED_EDGE('',*,*,#18885,.F.); #26089=ORIENTED_EDGE('',*,*,#18886,.T.); #26090=ORIENTED_EDGE('',*,*,#18887,.F.); #26091=ORIENTED_EDGE('',*,*,#18886,.F.); #26092=ORIENTED_EDGE('',*,*,#18888,.F.); #26093=ORIENTED_EDGE('',*,*,#18889,.T.); #26094=ORIENTED_EDGE('',*,*,#18890,.F.); #26095=ORIENTED_EDGE('',*,*,#18889,.F.); #26096=ORIENTED_EDGE('',*,*,#18891,.F.); #26097=ORIENTED_EDGE('',*,*,#18892,.T.); #26098=ORIENTED_EDGE('',*,*,#18893,.F.); #26099=ORIENTED_EDGE('',*,*,#18892,.F.); #26100=ORIENTED_EDGE('',*,*,#18894,.F.); #26101=ORIENTED_EDGE('',*,*,#18895,.T.); #26102=ORIENTED_EDGE('',*,*,#18896,.F.); #26103=ORIENTED_EDGE('',*,*,#18895,.F.); #26104=ORIENTED_EDGE('',*,*,#18897,.F.); #26105=ORIENTED_EDGE('',*,*,#18898,.T.); #26106=ORIENTED_EDGE('',*,*,#18899,.F.); #26107=ORIENTED_EDGE('',*,*,#18898,.F.); #26108=ORIENTED_EDGE('',*,*,#18900,.T.); #26109=ORIENTED_EDGE('',*,*,#18901,.F.); #26110=ORIENTED_EDGE('',*,*,#18902,.T.); #26111=ORIENTED_EDGE('',*,*,#18903,.T.); #26112=ORIENTED_EDGE('',*,*,#18792,.T.); #26113=ORIENTED_EDGE('',*,*,#18796,.T.); #26114=ORIENTED_EDGE('',*,*,#18800,.T.); #26115=ORIENTED_EDGE('',*,*,#18804,.T.); #26116=ORIENTED_EDGE('',*,*,#18808,.T.); #26117=ORIENTED_EDGE('',*,*,#18812,.T.); #26118=ORIENTED_EDGE('',*,*,#18816,.T.); #26119=ORIENTED_EDGE('',*,*,#18904,.T.); #26120=ORIENTED_EDGE('',*,*,#18903,.F.); #26121=ORIENTED_EDGE('',*,*,#18905,.T.); #26122=ORIENTED_EDGE('',*,*,#18906,.T.); #26123=ORIENTED_EDGE('',*,*,#18848,.T.); #26124=ORIENTED_EDGE('',*,*,#18851,.T.); #26125=ORIENTED_EDGE('',*,*,#18854,.T.); #26126=ORIENTED_EDGE('',*,*,#18857,.T.); #26127=ORIENTED_EDGE('',*,*,#18860,.T.); #26128=ORIENTED_EDGE('',*,*,#18863,.T.); #26129=ORIENTED_EDGE('',*,*,#18866,.T.); #26130=ORIENTED_EDGE('',*,*,#18869,.T.); #26131=ORIENTED_EDGE('',*,*,#18872,.T.); #26132=ORIENTED_EDGE('',*,*,#18875,.T.); #26133=ORIENTED_EDGE('',*,*,#18878,.T.); #26134=ORIENTED_EDGE('',*,*,#18881,.T.); #26135=ORIENTED_EDGE('',*,*,#18884,.T.); #26136=ORIENTED_EDGE('',*,*,#18887,.T.); #26137=ORIENTED_EDGE('',*,*,#18890,.T.); #26138=ORIENTED_EDGE('',*,*,#18893,.T.); #26139=ORIENTED_EDGE('',*,*,#18896,.T.); #26140=ORIENTED_EDGE('',*,*,#18899,.T.); #26141=ORIENTED_EDGE('',*,*,#18907,.F.); #26142=ORIENTED_EDGE('',*,*,#18908,.T.); #26143=ORIENTED_EDGE('',*,*,#18905,.F.); #26144=ORIENTED_EDGE('',*,*,#18902,.F.); #26145=ORIENTED_EDGE('',*,*,#18909,.F.); #26146=ORIENTED_EDGE('',*,*,#18906,.F.); #26147=ORIENTED_EDGE('',*,*,#18908,.F.); #26148=ORIENTED_EDGE('',*,*,#18910,.F.); #26149=ORIENTED_EDGE('',*,*,#18820,.T.); #26150=ORIENTED_EDGE('',*,*,#18824,.T.); #26151=ORIENTED_EDGE('',*,*,#18828,.T.); #26152=ORIENTED_EDGE('',*,*,#18832,.T.); #26153=ORIENTED_EDGE('',*,*,#18836,.T.); #26154=ORIENTED_EDGE('',*,*,#18840,.T.); #26155=ORIENTED_EDGE('',*,*,#18844,.T.); #26156=ORIENTED_EDGE('',*,*,#18911,.T.); #26157=ORIENTED_EDGE('',*,*,#18910,.T.); #26158=ORIENTED_EDGE('',*,*,#18907,.T.); #26159=ORIENTED_EDGE('',*,*,#18901,.T.); #26160=ORIENTED_EDGE('',*,*,#18752,.T.); #26161=ORIENTED_EDGE('',*,*,#18756,.T.); #26162=ORIENTED_EDGE('',*,*,#18760,.T.); #26163=ORIENTED_EDGE('',*,*,#18764,.T.); #26164=ORIENTED_EDGE('',*,*,#18768,.T.); #26165=ORIENTED_EDGE('',*,*,#18772,.T.); #26166=ORIENTED_EDGE('',*,*,#18776,.T.); #26167=ORIENTED_EDGE('',*,*,#18780,.T.); #26168=ORIENTED_EDGE('',*,*,#18784,.T.); #26169=ORIENTED_EDGE('',*,*,#18788,.T.); #26170=ORIENTED_EDGE('',*,*,#18846,.T.); #26171=ORIENTED_EDGE('',*,*,#18849,.T.); #26172=ORIENTED_EDGE('',*,*,#18852,.T.); #26173=ORIENTED_EDGE('',*,*,#18855,.T.); #26174=ORIENTED_EDGE('',*,*,#18858,.T.); #26175=ORIENTED_EDGE('',*,*,#18861,.T.); #26176=ORIENTED_EDGE('',*,*,#18864,.T.); #26177=ORIENTED_EDGE('',*,*,#18867,.T.); #26178=ORIENTED_EDGE('',*,*,#18870,.T.); #26179=ORIENTED_EDGE('',*,*,#18873,.T.); #26180=ORIENTED_EDGE('',*,*,#18876,.T.); #26181=ORIENTED_EDGE('',*,*,#18879,.T.); #26182=ORIENTED_EDGE('',*,*,#18882,.T.); #26183=ORIENTED_EDGE('',*,*,#18885,.T.); #26184=ORIENTED_EDGE('',*,*,#18888,.T.); #26185=ORIENTED_EDGE('',*,*,#18891,.T.); #26186=ORIENTED_EDGE('',*,*,#18894,.T.); #26187=ORIENTED_EDGE('',*,*,#18897,.T.); #26188=ORIENTED_EDGE('',*,*,#18904,.F.); #26189=ORIENTED_EDGE('',*,*,#18909,.T.); #26190=ORIENTED_EDGE('',*,*,#18911,.F.); #26191=ORIENTED_EDGE('',*,*,#18900,.F.); #26192=ORIENTED_EDGE('',*,*,#18912,.F.); #26193=ORIENTED_EDGE('',*,*,#18913,.T.); #26194=ORIENTED_EDGE('',*,*,#18914,.F.); #26195=ORIENTED_EDGE('',*,*,#18913,.F.); #26196=ORIENTED_EDGE('',*,*,#18915,.F.); #26197=ORIENTED_EDGE('',*,*,#18916,.T.); #26198=ORIENTED_EDGE('',*,*,#18917,.F.); #26199=ORIENTED_EDGE('',*,*,#18916,.F.); #26200=ORIENTED_EDGE('',*,*,#18918,.F.); #26201=ORIENTED_EDGE('',*,*,#18919,.T.); #26202=ORIENTED_EDGE('',*,*,#18920,.F.); #26203=ORIENTED_EDGE('',*,*,#18919,.F.); #26204=ORIENTED_EDGE('',*,*,#18921,.F.); #26205=ORIENTED_EDGE('',*,*,#18922,.T.); #26206=ORIENTED_EDGE('',*,*,#18923,.F.); #26207=ORIENTED_EDGE('',*,*,#18922,.F.); #26208=ORIENTED_EDGE('',*,*,#18924,.F.); #26209=ORIENTED_EDGE('',*,*,#18925,.T.); #26210=ORIENTED_EDGE('',*,*,#18926,.F.); #26211=ORIENTED_EDGE('',*,*,#18925,.F.); #26212=ORIENTED_EDGE('',*,*,#18927,.F.); #26213=ORIENTED_EDGE('',*,*,#18928,.T.); #26214=ORIENTED_EDGE('',*,*,#18929,.F.); #26215=ORIENTED_EDGE('',*,*,#18928,.F.); #26216=ORIENTED_EDGE('',*,*,#18930,.F.); #26217=ORIENTED_EDGE('',*,*,#18931,.T.); #26218=ORIENTED_EDGE('',*,*,#18932,.F.); #26219=ORIENTED_EDGE('',*,*,#18931,.F.); #26220=ORIENTED_EDGE('',*,*,#18933,.F.); #26221=ORIENTED_EDGE('',*,*,#18934,.T.); #26222=ORIENTED_EDGE('',*,*,#18935,.F.); #26223=ORIENTED_EDGE('',*,*,#18934,.F.); #26224=ORIENTED_EDGE('',*,*,#18936,.F.); #26225=ORIENTED_EDGE('',*,*,#18937,.T.); #26226=ORIENTED_EDGE('',*,*,#18938,.F.); #26227=ORIENTED_EDGE('',*,*,#18937,.F.); #26228=ORIENTED_EDGE('',*,*,#18939,.F.); #26229=ORIENTED_EDGE('',*,*,#18940,.T.); #26230=ORIENTED_EDGE('',*,*,#18941,.F.); #26231=ORIENTED_EDGE('',*,*,#18940,.F.); #26232=ORIENTED_EDGE('',*,*,#18942,.F.); #26233=ORIENTED_EDGE('',*,*,#18943,.T.); #26234=ORIENTED_EDGE('',*,*,#18944,.F.); #26235=ORIENTED_EDGE('',*,*,#18943,.F.); #26236=ORIENTED_EDGE('',*,*,#18945,.F.); #26237=ORIENTED_EDGE('',*,*,#18946,.T.); #26238=ORIENTED_EDGE('',*,*,#18947,.F.); #26239=ORIENTED_EDGE('',*,*,#18946,.F.); #26240=ORIENTED_EDGE('',*,*,#18948,.F.); #26241=ORIENTED_EDGE('',*,*,#18949,.T.); #26242=ORIENTED_EDGE('',*,*,#18950,.F.); #26243=ORIENTED_EDGE('',*,*,#18949,.F.); #26244=ORIENTED_EDGE('',*,*,#18951,.F.); #26245=ORIENTED_EDGE('',*,*,#18952,.T.); #26246=ORIENTED_EDGE('',*,*,#18953,.F.); #26247=ORIENTED_EDGE('',*,*,#18952,.F.); #26248=ORIENTED_EDGE('',*,*,#18954,.F.); #26249=ORIENTED_EDGE('',*,*,#18955,.T.); #26250=ORIENTED_EDGE('',*,*,#18956,.F.); #26251=ORIENTED_EDGE('',*,*,#18955,.F.); #26252=ORIENTED_EDGE('',*,*,#18957,.F.); #26253=ORIENTED_EDGE('',*,*,#18958,.T.); #26254=ORIENTED_EDGE('',*,*,#18959,.F.); #26255=ORIENTED_EDGE('',*,*,#18958,.F.); #26256=ORIENTED_EDGE('',*,*,#18960,.F.); #26257=ORIENTED_EDGE('',*,*,#18961,.T.); #26258=ORIENTED_EDGE('',*,*,#18962,.F.); #26259=ORIENTED_EDGE('',*,*,#18961,.F.); #26260=ORIENTED_EDGE('',*,*,#18963,.F.); #26261=ORIENTED_EDGE('',*,*,#18964,.T.); #26262=ORIENTED_EDGE('',*,*,#18965,.F.); #26263=ORIENTED_EDGE('',*,*,#18964,.F.); #26264=ORIENTED_EDGE('',*,*,#18966,.F.); #26265=ORIENTED_EDGE('',*,*,#18967,.T.); #26266=ORIENTED_EDGE('',*,*,#18968,.F.); #26267=ORIENTED_EDGE('',*,*,#18967,.F.); #26268=ORIENTED_EDGE('',*,*,#18969,.F.); #26269=ORIENTED_EDGE('',*,*,#18970,.T.); #26270=ORIENTED_EDGE('',*,*,#18971,.F.); #26271=ORIENTED_EDGE('',*,*,#18970,.F.); #26272=ORIENTED_EDGE('',*,*,#18972,.F.); #26273=ORIENTED_EDGE('',*,*,#18973,.T.); #26274=ORIENTED_EDGE('',*,*,#18974,.F.); #26275=ORIENTED_EDGE('',*,*,#18973,.F.); #26276=ORIENTED_EDGE('',*,*,#18975,.F.); #26277=ORIENTED_EDGE('',*,*,#18976,.T.); #26278=ORIENTED_EDGE('',*,*,#18977,.F.); #26279=ORIENTED_EDGE('',*,*,#18976,.F.); #26280=ORIENTED_EDGE('',*,*,#18978,.F.); #26281=ORIENTED_EDGE('',*,*,#18979,.T.); #26282=ORIENTED_EDGE('',*,*,#18980,.F.); #26283=ORIENTED_EDGE('',*,*,#18979,.F.); #26284=ORIENTED_EDGE('',*,*,#18981,.F.); #26285=ORIENTED_EDGE('',*,*,#18982,.T.); #26286=ORIENTED_EDGE('',*,*,#18983,.F.); #26287=ORIENTED_EDGE('',*,*,#18982,.F.); #26288=ORIENTED_EDGE('',*,*,#18984,.F.); #26289=ORIENTED_EDGE('',*,*,#18985,.T.); #26290=ORIENTED_EDGE('',*,*,#18986,.F.); #26291=ORIENTED_EDGE('',*,*,#18985,.F.); #26292=ORIENTED_EDGE('',*,*,#18987,.F.); #26293=ORIENTED_EDGE('',*,*,#18988,.T.); #26294=ORIENTED_EDGE('',*,*,#18989,.F.); #26295=ORIENTED_EDGE('',*,*,#18988,.F.); #26296=ORIENTED_EDGE('',*,*,#18990,.F.); #26297=ORIENTED_EDGE('',*,*,#18991,.T.); #26298=ORIENTED_EDGE('',*,*,#18992,.F.); #26299=ORIENTED_EDGE('',*,*,#18991,.F.); #26300=ORIENTED_EDGE('',*,*,#18993,.F.); #26301=ORIENTED_EDGE('',*,*,#18994,.T.); #26302=ORIENTED_EDGE('',*,*,#18995,.F.); #26303=ORIENTED_EDGE('',*,*,#18994,.F.); #26304=ORIENTED_EDGE('',*,*,#18996,.F.); #26305=ORIENTED_EDGE('',*,*,#18997,.T.); #26306=ORIENTED_EDGE('',*,*,#18998,.F.); #26307=ORIENTED_EDGE('',*,*,#18997,.F.); #26308=ORIENTED_EDGE('',*,*,#18999,.F.); #26309=ORIENTED_EDGE('',*,*,#19000,.T.); #26310=ORIENTED_EDGE('',*,*,#19001,.F.); #26311=ORIENTED_EDGE('',*,*,#19000,.F.); #26312=ORIENTED_EDGE('',*,*,#19002,.F.); #26313=ORIENTED_EDGE('',*,*,#19003,.T.); #26314=ORIENTED_EDGE('',*,*,#19004,.F.); #26315=ORIENTED_EDGE('',*,*,#19003,.F.); #26316=ORIENTED_EDGE('',*,*,#19005,.F.); #26317=ORIENTED_EDGE('',*,*,#19006,.T.); #26318=ORIENTED_EDGE('',*,*,#19007,.F.); #26319=ORIENTED_EDGE('',*,*,#19006,.F.); #26320=ORIENTED_EDGE('',*,*,#19008,.F.); #26321=ORIENTED_EDGE('',*,*,#19009,.T.); #26322=ORIENTED_EDGE('',*,*,#19010,.T.); #26323=ORIENTED_EDGE('',*,*,#19011,.F.); #26324=ORIENTED_EDGE('',*,*,#19012,.T.); #26325=ORIENTED_EDGE('',*,*,#19013,.F.); #26326=ORIENTED_EDGE('',*,*,#19014,.F.); #26327=ORIENTED_EDGE('',*,*,#19015,.T.); #26328=ORIENTED_EDGE('',*,*,#19016,.F.); #26329=ORIENTED_EDGE('',*,*,#19011,.T.); #26330=ORIENTED_EDGE('',*,*,#19017,.T.); #26331=ORIENTED_EDGE('',*,*,#19015,.F.); #26332=ORIENTED_EDGE('',*,*,#18912,.T.); #26333=ORIENTED_EDGE('',*,*,#18915,.T.); #26334=ORIENTED_EDGE('',*,*,#18918,.T.); #26335=ORIENTED_EDGE('',*,*,#18921,.T.); #26336=ORIENTED_EDGE('',*,*,#18924,.T.); #26337=ORIENTED_EDGE('',*,*,#18927,.T.); #26338=ORIENTED_EDGE('',*,*,#18930,.T.); #26339=ORIENTED_EDGE('',*,*,#18933,.T.); #26340=ORIENTED_EDGE('',*,*,#18936,.T.); #26341=ORIENTED_EDGE('',*,*,#18939,.T.); #26342=ORIENTED_EDGE('',*,*,#18942,.T.); #26343=ORIENTED_EDGE('',*,*,#18945,.T.); #26344=ORIENTED_EDGE('',*,*,#18948,.T.); #26345=ORIENTED_EDGE('',*,*,#18951,.T.); #26346=ORIENTED_EDGE('',*,*,#18954,.T.); #26347=ORIENTED_EDGE('',*,*,#18957,.T.); #26348=ORIENTED_EDGE('',*,*,#18960,.T.); #26349=ORIENTED_EDGE('',*,*,#18963,.T.); #26350=ORIENTED_EDGE('',*,*,#18966,.T.); #26351=ORIENTED_EDGE('',*,*,#18969,.T.); #26352=ORIENTED_EDGE('',*,*,#18972,.T.); #26353=ORIENTED_EDGE('',*,*,#18975,.T.); #26354=ORIENTED_EDGE('',*,*,#18978,.T.); #26355=ORIENTED_EDGE('',*,*,#18981,.T.); #26356=ORIENTED_EDGE('',*,*,#18984,.T.); #26357=ORIENTED_EDGE('',*,*,#18987,.T.); #26358=ORIENTED_EDGE('',*,*,#18990,.T.); #26359=ORIENTED_EDGE('',*,*,#18993,.T.); #26360=ORIENTED_EDGE('',*,*,#18996,.T.); #26361=ORIENTED_EDGE('',*,*,#18999,.T.); #26362=ORIENTED_EDGE('',*,*,#19002,.T.); #26363=ORIENTED_EDGE('',*,*,#19005,.T.); #26364=ORIENTED_EDGE('',*,*,#19018,.T.); #26365=ORIENTED_EDGE('',*,*,#19008,.T.); #26366=ORIENTED_EDGE('',*,*,#19016,.T.); #26367=ORIENTED_EDGE('',*,*,#19014,.T.); #26368=ORIENTED_EDGE('',*,*,#19019,.T.); #26369=ORIENTED_EDGE('',*,*,#19009,.F.); #26370=ORIENTED_EDGE('',*,*,#19018,.F.); #26371=ORIENTED_EDGE('',*,*,#19013,.T.); #26372=ORIENTED_EDGE('',*,*,#18914,.T.); #26373=ORIENTED_EDGE('',*,*,#18917,.T.); #26374=ORIENTED_EDGE('',*,*,#18920,.T.); #26375=ORIENTED_EDGE('',*,*,#18923,.T.); #26376=ORIENTED_EDGE('',*,*,#18926,.T.); #26377=ORIENTED_EDGE('',*,*,#18929,.T.); #26378=ORIENTED_EDGE('',*,*,#18932,.T.); #26379=ORIENTED_EDGE('',*,*,#18935,.T.); #26380=ORIENTED_EDGE('',*,*,#18938,.T.); #26381=ORIENTED_EDGE('',*,*,#18941,.T.); #26382=ORIENTED_EDGE('',*,*,#18944,.T.); #26383=ORIENTED_EDGE('',*,*,#18947,.T.); #26384=ORIENTED_EDGE('',*,*,#18950,.T.); #26385=ORIENTED_EDGE('',*,*,#18953,.T.); #26386=ORIENTED_EDGE('',*,*,#18956,.T.); #26387=ORIENTED_EDGE('',*,*,#18959,.T.); #26388=ORIENTED_EDGE('',*,*,#18962,.T.); #26389=ORIENTED_EDGE('',*,*,#18965,.T.); #26390=ORIENTED_EDGE('',*,*,#18968,.T.); #26391=ORIENTED_EDGE('',*,*,#18971,.T.); #26392=ORIENTED_EDGE('',*,*,#18974,.T.); #26393=ORIENTED_EDGE('',*,*,#18977,.T.); #26394=ORIENTED_EDGE('',*,*,#18980,.T.); #26395=ORIENTED_EDGE('',*,*,#18983,.T.); #26396=ORIENTED_EDGE('',*,*,#18986,.T.); #26397=ORIENTED_EDGE('',*,*,#18989,.T.); #26398=ORIENTED_EDGE('',*,*,#18992,.T.); #26399=ORIENTED_EDGE('',*,*,#18995,.T.); #26400=ORIENTED_EDGE('',*,*,#18998,.T.); #26401=ORIENTED_EDGE('',*,*,#19001,.T.); #26402=ORIENTED_EDGE('',*,*,#19004,.T.); #26403=ORIENTED_EDGE('',*,*,#19007,.T.); #26404=ORIENTED_EDGE('',*,*,#19017,.F.); #26405=ORIENTED_EDGE('',*,*,#19010,.F.); #26406=ORIENTED_EDGE('',*,*,#19019,.F.); #26407=ORIENTED_EDGE('',*,*,#19012,.F.); #26408=CYLINDRICAL_SURFACE('',#29245,0.25); #26409=CYLINDRICAL_SURFACE('',#29260,0.25); #26410=CYLINDRICAL_SURFACE('',#29266,0.25); #26411=CYLINDRICAL_SURFACE('',#29272,0.25); #26412=CYLINDRICAL_SURFACE('',#29278,0.25); #26413=CYLINDRICAL_SURFACE('',#29284,0.25); #26414=CYLINDRICAL_SURFACE('',#29286,0.75); #26415=CYLINDRICAL_SURFACE('',#29289,0.75); #26416=CYLINDRICAL_SURFACE('',#29291,98.5); #26417=CYLINDRICAL_SURFACE('',#29293,0.75); #26418=CYLINDRICAL_SURFACE('',#29299,0.1335); #26419=CYLINDRICAL_SURFACE('',#29303,0.1335); #26420=CYLINDRICAL_SURFACE('',#29307,0.1335); #26421=CYLINDRICAL_SURFACE('',#29311,0.1335); #26422=CYLINDRICAL_SURFACE('',#29315,0.1335); #26423=CYLINDRICAL_SURFACE('',#29319,0.1335); #26424=CYLINDRICAL_SURFACE('',#29323,0.1335); #26425=CYLINDRICAL_SURFACE('',#29327,0.1335); #26426=CYLINDRICAL_SURFACE('',#29331,0.1335); #26427=CYLINDRICAL_SURFACE('',#29335,0.1335); #26428=CYLINDRICAL_SURFACE('',#29339,0.1335); #26429=CYLINDRICAL_SURFACE('',#29343,0.1335); #26430=CYLINDRICAL_SURFACE('',#29345,0.1335); #26431=CYLINDRICAL_SURFACE('',#29353,0.1335); #26432=CYLINDRICAL_SURFACE('',#29357,0.1335); #26433=CYLINDRICAL_SURFACE('',#29361,0.1335); #26434=CYLINDRICAL_SURFACE('',#29365,0.1335); #26435=CYLINDRICAL_SURFACE('',#29369,0.1335); #26436=CYLINDRICAL_SURFACE('',#29373,0.1335); #26437=CYLINDRICAL_SURFACE('',#29377,0.1335); #26438=CYLINDRICAL_SURFACE('',#29381,0.1335); #26439=CYLINDRICAL_SURFACE('',#29385,0.1335); #26440=CYLINDRICAL_SURFACE('',#29389,0.1335); #26441=CYLINDRICAL_SURFACE('',#29393,0.1335); #26442=CYLINDRICAL_SURFACE('',#29397,0.1335); #26443=CYLINDRICAL_SURFACE('',#29401,0.1335); #26444=CYLINDRICAL_SURFACE('',#29405,0.1335); #26445=CYLINDRICAL_SURFACE('',#29409,0.1335); #26446=CYLINDRICAL_SURFACE('',#29413,0.1335); #26447=CYLINDRICAL_SURFACE('',#29417,0.1335); #26448=CYLINDRICAL_SURFACE('',#29421,0.1335); #26449=CYLINDRICAL_SURFACE('',#29425,0.1335); #26450=CYLINDRICAL_SURFACE('',#29429,0.1335); #26451=CYLINDRICAL_SURFACE('',#29433,0.1335); #26452=CYLINDRICAL_SURFACE('',#29437,0.1335); #26453=CYLINDRICAL_SURFACE('',#29441,0.1335); #26454=CYLINDRICAL_SURFACE('',#29445,0.1335); #26455=CYLINDRICAL_SURFACE('',#29449,0.1335); #26456=CYLINDRICAL_SURFACE('',#29453,0.1335); #26457=CYLINDRICAL_SURFACE('',#29457,0.1335); #26458=CYLINDRICAL_SURFACE('',#29461,0.1335); #26459=CYLINDRICAL_SURFACE('',#29465,0.1335); #26460=CYLINDRICAL_SURFACE('',#29469,0.1335); #26461=CYLINDRICAL_SURFACE('',#29471,0.1335); #26462=CYLINDRICAL_SURFACE('',#29488,93.5603742569482); #26463=CYLINDRICAL_SURFACE('',#29489,0.75); #26464=CYLINDRICAL_SURFACE('',#29490,0.75); #26465=CYLINDRICAL_SURFACE('',#29492,0.75); #26466=CYLINDRICAL_SURFACE('',#29498,0.098); #26467=CYLINDRICAL_SURFACE('',#29502,0.098); #26468=CYLINDRICAL_SURFACE('',#29506,0.098); #26469=CYLINDRICAL_SURFACE('',#29510,0.098); #26470=CYLINDRICAL_SURFACE('',#29514,0.098); #26471=CYLINDRICAL_SURFACE('',#29518,0.098); #26472=CYLINDRICAL_SURFACE('',#29522,0.098); #26473=CYLINDRICAL_SURFACE('',#29526,0.098); #26474=CYLINDRICAL_SURFACE('',#29530,0.098); #26475=CYLINDRICAL_SURFACE('',#29534,0.098); #26476=CYLINDRICAL_SURFACE('',#29538,0.098); #26477=CYLINDRICAL_SURFACE('',#29542,0.098); #26478=CYLINDRICAL_SURFACE('',#29544,0.1335); #26479=CYLINDRICAL_SURFACE('',#29550,0.1335); #26480=CYLINDRICAL_SURFACE('',#29554,0.1335); #26481=CYLINDRICAL_SURFACE('',#29558,0.1335); #26482=CYLINDRICAL_SURFACE('',#29562,0.1335); #26483=CYLINDRICAL_SURFACE('',#29564,0.25); #26484=CYLINDRICAL_SURFACE('',#29579,0.25); #26485=CYLINDRICAL_SURFACE('',#29585,0.25); #26486=CYLINDRICAL_SURFACE('',#29591,0.25); #26487=CYLINDRICAL_SURFACE('',#29597,0.25); #26488=CYLINDRICAL_SURFACE('',#29603,0.25); #26489=CYLINDRICAL_SURFACE('',#29604,0.75); #26490=CYLINDRICAL_SURFACE('',#29607,0.75); #26491=CYLINDRICAL_SURFACE('',#29610,0.75); #26492=CYLINDRICAL_SURFACE('',#29617,0.1335); #26493=CYLINDRICAL_SURFACE('',#29621,0.1335); #26494=CYLINDRICAL_SURFACE('',#29625,0.1335); #26495=CYLINDRICAL_SURFACE('',#29629,0.1335); #26496=CYLINDRICAL_SURFACE('',#29634,0.1335); #26497=CYLINDRICAL_SURFACE('',#29638,0.1335); #26498=CYLINDRICAL_SURFACE('',#29642,0.1335); #26499=CYLINDRICAL_SURFACE('',#29646,0.1335); #26500=CYLINDRICAL_SURFACE('',#29650,0.1335); #26501=CYLINDRICAL_SURFACE('',#29654,0.1335); #26502=CYLINDRICAL_SURFACE('',#29658,0.1335); #26503=CYLINDRICAL_SURFACE('',#29662,0.1335); #26504=CYLINDRICAL_SURFACE('',#29666,0.1335); #26505=CYLINDRICAL_SURFACE('',#29670,0.1335); #26506=CYLINDRICAL_SURFACE('',#29674,0.1335); #26507=CYLINDRICAL_SURFACE('',#29678,0.1335); #26508=CYLINDRICAL_SURFACE('',#29682,0.1335); #26509=CYLINDRICAL_SURFACE('',#29686,0.1335); #26510=CYLINDRICAL_SURFACE('',#29690,0.1335); #26511=CYLINDRICAL_SURFACE('',#29694,0.1335); #26512=CYLINDRICAL_SURFACE('',#29698,0.1335); #26513=CYLINDRICAL_SURFACE('',#29702,0.1335); #26514=CYLINDRICAL_SURFACE('',#29706,0.1335); #26515=CYLINDRICAL_SURFACE('',#29710,0.1335); #26516=CYLINDRICAL_SURFACE('',#29714,0.1335); #26517=CYLINDRICAL_SURFACE('',#29718,0.1335); #26518=CYLINDRICAL_SURFACE('',#29722,0.1335); #26519=CYLINDRICAL_SURFACE('',#29726,0.1335); #26520=CYLINDRICAL_SURFACE('',#29730,0.1335); #26521=CYLINDRICAL_SURFACE('',#29734,0.1335); #26522=CYLINDRICAL_SURFACE('',#29738,0.1335); #26523=CYLINDRICAL_SURFACE('',#29742,0.1335); #26524=CYLINDRICAL_SURFACE('',#29746,0.1335); #26525=CYLINDRICAL_SURFACE('',#29750,0.1335); #26526=CYLINDRICAL_SURFACE('',#29754,0.1335); #26527=CYLINDRICAL_SURFACE('',#29758,0.1335); #26528=CYLINDRICAL_SURFACE('',#29762,0.1335); #26529=CYLINDRICAL_SURFACE('',#29766,0.1335); #26530=CYLINDRICAL_SURFACE('',#29770,0.1335); #26531=CYLINDRICAL_SURFACE('',#29774,0.1335); #26532=CYLINDRICAL_SURFACE('',#29778,0.1335); #26533=CYLINDRICAL_SURFACE('',#29782,0.1335); #26534=CYLINDRICAL_SURFACE('',#29786,0.1335); #26535=CYLINDRICAL_SURFACE('',#29790,0.1335); #26536=CYLINDRICAL_SURFACE('',#29794,0.1335); #26537=CYLINDRICAL_SURFACE('',#29798,0.1335); #26538=CYLINDRICAL_SURFACE('',#29802,0.1335); #26539=CYLINDRICAL_SURFACE('',#29806,0.1335); #26540=CYLINDRICAL_SURFACE('',#29810,0.1335); #26541=CYLINDRICAL_SURFACE('',#29814,0.1335); #26542=CYLINDRICAL_SURFACE('',#29818,0.1335); #26543=CYLINDRICAL_SURFACE('',#29826,86.0550815662622); #26544=CYLINDRICAL_SURFACE('',#29839,0.75); #26545=CYLINDRICAL_SURFACE('',#29841,0.75); #26546=CYLINDRICAL_SURFACE('',#29842,98.5); #26547=CYLINDRICAL_SURFACE('',#29844,0.75); #26548=CYLINDRICAL_SURFACE('',#29858,0.1335); #26549=CYLINDRICAL_SURFACE('',#29862,0.1335); #26550=CYLINDRICAL_SURFACE('',#29866,0.1335); #26551=CYLINDRICAL_SURFACE('',#29870,0.1335); #26552=CYLINDRICAL_SURFACE('',#29874,0.1335); #26553=CYLINDRICAL_SURFACE('',#29878,0.1335); #26554=CYLINDRICAL_SURFACE('',#29882,0.1335); #26555=CYLINDRICAL_SURFACE('',#29886,0.1335); #26556=CYLINDRICAL_SURFACE('',#29888,0.1335); #26557=CYLINDRICAL_SURFACE('',#29896,0.1335); #26558=CYLINDRICAL_SURFACE('',#29900,0.1335); #26559=CYLINDRICAL_SURFACE('',#29904,0.1335); #26560=CYLINDRICAL_SURFACE('',#29908,0.1335); #26561=CYLINDRICAL_SURFACE('',#29913,0.1335); #26562=CYLINDRICAL_SURFACE('',#29917,0.1335); #26563=CYLINDRICAL_SURFACE('',#29921,0.1335); #26564=CYLINDRICAL_SURFACE('',#29925,0.1335); #26565=CYLINDRICAL_SURFACE('',#29929,0.1335); #26566=CYLINDRICAL_SURFACE('',#29935,0.098); #26567=CYLINDRICAL_SURFACE('',#29939,0.098); #26568=CYLINDRICAL_SURFACE('',#29943,0.098); #26569=CYLINDRICAL_SURFACE('',#29947,0.098); #26570=CYLINDRICAL_SURFACE('',#29951,0.098); #26571=CYLINDRICAL_SURFACE('',#29955,0.098); #26572=CYLINDRICAL_SURFACE('',#29959,0.098); #26573=CYLINDRICAL_SURFACE('',#29963,0.098); #26574=CYLINDRICAL_SURFACE('',#29967,0.098); #26575=CYLINDRICAL_SURFACE('',#29971,0.098); #26576=CYLINDRICAL_SURFACE('',#29975,0.098); #26577=CYLINDRICAL_SURFACE('',#29977,0.098); #26578=CYLINDRICAL_SURFACE('',#29981,0.1335); #26579=CYLINDRICAL_SURFACE('',#29985,0.1335); #26580=CYLINDRICAL_SURFACE('',#29989,0.1335); #26581=CYLINDRICAL_SURFACE('',#29993,0.1335); #26582=CYLINDRICAL_SURFACE('',#29997,0.1335); #26583=CYLINDRICAL_SURFACE('',#30001,0.1335); #26584=CYLINDRICAL_SURFACE('',#30005,0.1335); #26585=CYLINDRICAL_SURFACE('',#30009,0.1335); #26586=CYLINDRICAL_SURFACE('',#30013,0.1335); #26587=CYLINDRICAL_SURFACE('',#30017,0.1335); #26588=CYLINDRICAL_SURFACE('',#30021,0.1335); #26589=CYLINDRICAL_SURFACE('',#30025,0.1335); #26590=CYLINDRICAL_SURFACE('',#30029,0.1335); #26591=CYLINDRICAL_SURFACE('',#30033,0.1335); #26592=CYLINDRICAL_SURFACE('',#30037,0.1335); #26593=CYLINDRICAL_SURFACE('',#30041,0.1335); #26594=CYLINDRICAL_SURFACE('',#30045,0.1335); #26595=CYLINDRICAL_SURFACE('',#30049,0.1335); #26596=CYLINDRICAL_SURFACE('',#30053,0.1335); #26597=CYLINDRICAL_SURFACE('',#30057,0.1335); #26598=CYLINDRICAL_SURFACE('',#30061,0.1335); #26599=CYLINDRICAL_SURFACE('',#30065,0.1335); #26600=CYLINDRICAL_SURFACE('',#30069,0.1335); #26601=CYLINDRICAL_SURFACE('',#30073,0.1335); #26602=CYLINDRICAL_SURFACE('',#30077,0.1335); #26603=CYLINDRICAL_SURFACE('',#30081,0.1335); #26604=CYLINDRICAL_SURFACE('',#30085,0.1335); #26605=CYLINDRICAL_SURFACE('',#30089,0.1335); #26606=CYLINDRICAL_SURFACE('',#30093,0.1335); #26607=CYLINDRICAL_SURFACE('',#30097,0.1335); #26608=CYLINDRICAL_SURFACE('',#30101,0.1335); #26609=CYLINDRICAL_SURFACE('',#30105,0.1335); #26610=CYLINDRICAL_SURFACE('',#30109,0.1335); #26611=CYLINDRICAL_SURFACE('',#30113,0.1335); #26612=CYLINDRICAL_SURFACE('',#30117,0.1335); #26613=CYLINDRICAL_SURFACE('',#30121,0.1335); #26614=CYLINDRICAL_SURFACE('',#30125,0.1335); #26615=CYLINDRICAL_SURFACE('',#30129,0.1335); #26616=CYLINDRICAL_SURFACE('',#30133,0.1335); #26617=CYLINDRICAL_SURFACE('',#30137,0.1335); #26618=CYLINDRICAL_SURFACE('',#30141,0.1335); #26619=CYLINDRICAL_SURFACE('',#30145,0.1335); #26620=CYLINDRICAL_SURFACE('',#30149,0.1335); #26621=CYLINDRICAL_SURFACE('',#30153,0.1335); #26622=CYLINDRICAL_SURFACE('',#30157,0.1335); #26623=CYLINDRICAL_SURFACE('',#30161,0.1335); #26624=CYLINDRICAL_SURFACE('',#30165,0.1335); #26625=CYLINDRICAL_SURFACE('',#30169,0.1335); #26626=CYLINDRICAL_SURFACE('',#30173,0.1335); #26627=CYLINDRICAL_SURFACE('',#30177,0.1335); #26628=CYLINDRICAL_SURFACE('',#30181,0.1335); #26629=CYLINDRICAL_SURFACE('',#30185,0.1335); #26630=CYLINDRICAL_SURFACE('',#30189,0.1335); #26631=CYLINDRICAL_SURFACE('',#30193,0.1335); #26632=CYLINDRICAL_SURFACE('',#30197,0.1335); #26633=CYLINDRICAL_SURFACE('',#30201,0.1335); #26634=CYLINDRICAL_SURFACE('',#30205,0.1335); #26635=CYLINDRICAL_SURFACE('',#30209,0.1335); #26636=CYLINDRICAL_SURFACE('',#30213,0.1335); #26637=CYLINDRICAL_SURFACE('',#30216,0.1335); #26638=CYLINDRICAL_SURFACE('',#30219,0.1335); #26639=CYLINDRICAL_SURFACE('',#30222,0.1335); #26640=CYLINDRICAL_SURFACE('',#30225,0.1335); #26641=CYLINDRICAL_SURFACE('',#30228,0.1335); #26642=CYLINDRICAL_SURFACE('',#30231,0.1335); #26643=CYLINDRICAL_SURFACE('',#30234,0.1335); #26644=CYLINDRICAL_SURFACE('',#30237,0.1335); #26645=CYLINDRICAL_SURFACE('',#30241,0.1335); #26646=CYLINDRICAL_SURFACE('',#30245,0.1335); #26647=CYLINDRICAL_SURFACE('',#30249,0.1335); #26648=CYLINDRICAL_SURFACE('',#30253,0.1335); #26649=CYLINDRICAL_SURFACE('',#30257,0.1335); #26650=CYLINDRICAL_SURFACE('',#30261,0.1335); #26651=CYLINDRICAL_SURFACE('',#30265,0.1335); #26652=CYLINDRICAL_SURFACE('',#30269,0.1335); #26653=CYLINDRICAL_SURFACE('',#30273,0.1335); #26654=CYLINDRICAL_SURFACE('',#30277,0.1335); #26655=CYLINDRICAL_SURFACE('',#30281,0.1335); #26656=CYLINDRICAL_SURFACE('',#30285,0.1335); #26657=CYLINDRICAL_SURFACE('',#30289,0.1335); #26658=CYLINDRICAL_SURFACE('',#30293,0.1335); #26659=CYLINDRICAL_SURFACE('',#30295,0.1335); #26660=CYLINDRICAL_SURFACE('',#30320,5.); #26661=CYLINDRICAL_SURFACE('',#30322,5.); #26662=CYLINDRICAL_SURFACE('',#30324,2.5); #26663=CYLINDRICAL_SURFACE('',#30325,2.5); #26664=CYLINDRICAL_SURFACE('',#30326,5.); #26665=CYLINDRICAL_SURFACE('',#30327,2.5); #26666=CYLINDRICAL_SURFACE('',#30333,93.5603742569475); #26667=CYLINDRICAL_SURFACE('',#30338,86.0550815662616); #26668=CYLINDRICAL_SURFACE('',#30341,98.5); #26669=CYLINDRICAL_SURFACE('',#30343,0.166); #26670=CYLINDRICAL_SURFACE('',#30346,0.166); #26671=CYLINDRICAL_SURFACE('',#30349,0.166); #26672=CYLINDRICAL_SURFACE('',#30352,0.166); #26673=CYLINDRICAL_SURFACE('',#30355,0.166); #26674=CYLINDRICAL_SURFACE('',#30358,0.166); #26675=CYLINDRICAL_SURFACE('',#30361,0.166); #26676=CYLINDRICAL_SURFACE('',#30364,0.166); #26677=CYLINDRICAL_SURFACE('',#30367,0.166); #26678=CYLINDRICAL_SURFACE('',#30370,0.166); #26679=CYLINDRICAL_SURFACE('',#30373,0.166); #26680=CYLINDRICAL_SURFACE('',#30376,0.166); #26681=CYLINDRICAL_SURFACE('',#30379,0.166); #26682=CYLINDRICAL_SURFACE('',#30382,0.166); #26683=CYLINDRICAL_SURFACE('',#30385,0.166); #26684=CYLINDRICAL_SURFACE('',#30388,0.166); #26685=CYLINDRICAL_SURFACE('',#30391,0.166); #26686=CYLINDRICAL_SURFACE('',#30394,0.166); #26687=CYLINDRICAL_SURFACE('',#30397,0.166); #26688=CYLINDRICAL_SURFACE('',#30400,0.166); #26689=CYLINDRICAL_SURFACE('',#30403,0.166); #26690=CYLINDRICAL_SURFACE('',#30406,0.166); #26691=CYLINDRICAL_SURFACE('',#30409,0.166); #26692=CYLINDRICAL_SURFACE('',#30412,0.166); #26693=CYLINDRICAL_SURFACE('',#30415,0.166); #26694=CYLINDRICAL_SURFACE('',#30418,0.166); #26695=CYLINDRICAL_SURFACE('',#30421,0.166); #26696=CYLINDRICAL_SURFACE('',#30424,0.166); #26697=CYLINDRICAL_SURFACE('',#30427,0.166); #26698=CYLINDRICAL_SURFACE('',#30430,0.166); #26699=CYLINDRICAL_SURFACE('',#30433,0.166); #26700=CYLINDRICAL_SURFACE('',#30436,0.166); #26701=CYLINDRICAL_SURFACE('',#30439,0.166); #26702=CYLINDRICAL_SURFACE('',#30442,0.166); #26703=CYLINDRICAL_SURFACE('',#30445,0.166); #26704=CYLINDRICAL_SURFACE('',#30448,0.166); #26705=CYLINDRICAL_SURFACE('',#30451,0.166); #26706=CYLINDRICAL_SURFACE('',#30454,0.166); #26707=CYLINDRICAL_SURFACE('',#30457,0.166); #26708=CYLINDRICAL_SURFACE('',#30460,0.166); #26709=CYLINDRICAL_SURFACE('',#30463,0.166); #26710=CYLINDRICAL_SURFACE('',#30466,0.166); #26711=CYLINDRICAL_SURFACE('',#30469,0.166); #26712=CYLINDRICAL_SURFACE('',#30472,0.166); #26713=CYLINDRICAL_SURFACE('',#30475,0.166); #26714=CYLINDRICAL_SURFACE('',#30478,0.166); #26715=CYLINDRICAL_SURFACE('',#30481,0.166); #26716=CYLINDRICAL_SURFACE('',#30484,0.166); #26717=CYLINDRICAL_SURFACE('',#30487,0.166); #26718=CYLINDRICAL_SURFACE('',#30490,0.166); #26719=CYLINDRICAL_SURFACE('',#30493,0.166); #26720=CYLINDRICAL_SURFACE('',#30496,0.166); #26721=CYLINDRICAL_SURFACE('',#30499,0.166); #26722=CYLINDRICAL_SURFACE('',#30502,0.166); #26723=CYLINDRICAL_SURFACE('',#30505,0.166); #26724=CYLINDRICAL_SURFACE('',#30508,0.166); #26725=CYLINDRICAL_SURFACE('',#30511,0.166); #26726=CYLINDRICAL_SURFACE('',#30514,0.166); #26727=CYLINDRICAL_SURFACE('',#30517,0.166); #26728=CYLINDRICAL_SURFACE('',#30520,0.166); #26729=CYLINDRICAL_SURFACE('',#30523,0.166); #26730=CYLINDRICAL_SURFACE('',#30526,0.166); #26731=CYLINDRICAL_SURFACE('',#30529,0.166); #26732=CYLINDRICAL_SURFACE('',#30532,0.166); #26733=CYLINDRICAL_SURFACE('',#30535,0.166); #26734=CYLINDRICAL_SURFACE('',#30538,0.166); #26735=CYLINDRICAL_SURFACE('',#30541,0.166); #26736=CYLINDRICAL_SURFACE('',#30544,0.166); #26737=CYLINDRICAL_SURFACE('',#30547,0.166); #26738=CYLINDRICAL_SURFACE('',#30550,0.166); #26739=CYLINDRICAL_SURFACE('',#30553,0.166); #26740=CYLINDRICAL_SURFACE('',#30556,0.166); #26741=CYLINDRICAL_SURFACE('',#30559,0.166); #26742=CYLINDRICAL_SURFACE('',#30562,0.166); #26743=CYLINDRICAL_SURFACE('',#30565,0.166); #26744=CYLINDRICAL_SURFACE('',#30568,0.166); #26745=CYLINDRICAL_SURFACE('',#30571,0.166); #26746=CYLINDRICAL_SURFACE('',#30574,0.166); #26747=CYLINDRICAL_SURFACE('',#30577,0.166); #26748=CYLINDRICAL_SURFACE('',#30580,0.166); #26749=CYLINDRICAL_SURFACE('',#30583,0.166); #26750=CYLINDRICAL_SURFACE('',#30586,0.166); #26751=CYLINDRICAL_SURFACE('',#30589,0.166); #26752=CYLINDRICAL_SURFACE('',#30592,0.166); #26753=CYLINDRICAL_SURFACE('',#30595,0.166); #26754=CYLINDRICAL_SURFACE('',#30598,0.166); #26755=CYLINDRICAL_SURFACE('',#30601,0.166); #26756=CYLINDRICAL_SURFACE('',#30604,0.166); #26757=CYLINDRICAL_SURFACE('',#30607,0.166); #26758=CYLINDRICAL_SURFACE('',#30610,0.166); #26759=CYLINDRICAL_SURFACE('',#30613,0.166); #26760=CYLINDRICAL_SURFACE('',#30616,0.166); #26761=CYLINDRICAL_SURFACE('',#30619,0.166); #26762=CYLINDRICAL_SURFACE('',#30622,0.166); #26763=CYLINDRICAL_SURFACE('',#30625,0.166); #26764=CYLINDRICAL_SURFACE('',#30628,0.166); #26765=CYLINDRICAL_SURFACE('',#30631,30.7847734880976); #26766=CYLINDRICAL_SURFACE('',#30635,71.882); #26767=CYLINDRICAL_SURFACE('',#30642,0.166); #26768=CYLINDRICAL_SURFACE('',#30645,0.166); #26769=CYLINDRICAL_SURFACE('',#30648,0.166); #26770=CYLINDRICAL_SURFACE('',#30651,0.166); #26771=CYLINDRICAL_SURFACE('',#30657,0.219); #26772=CYLINDRICAL_SURFACE('',#30659,0.133); #26773=CYLINDRICAL_SURFACE('',#30664,0.219); #26774=CYLINDRICAL_SURFACE('',#30666,0.133); #26775=CYLINDRICAL_SURFACE('',#30668,0.133); #26776=CYLINDRICAL_SURFACE('',#30671,0.219); #26777=CYLINDRICAL_SURFACE('',#30678,0.219); #26778=CYLINDRICAL_SURFACE('',#30680,0.133); #26779=CYLINDRICAL_SURFACE('',#30682,0.133); #26780=CYLINDRICAL_SURFACE('',#30685,0.219); #26781=CYLINDRICAL_SURFACE('',#30692,0.219); #26782=CYLINDRICAL_SURFACE('',#30694,0.133); #26783=CYLINDRICAL_SURFACE('',#30696,0.133); #26784=CYLINDRICAL_SURFACE('',#30699,0.219); #26785=CYLINDRICAL_SURFACE('',#30706,0.219); #26786=CYLINDRICAL_SURFACE('',#30708,0.133); #26787=CYLINDRICAL_SURFACE('',#30710,0.133); #26788=CYLINDRICAL_SURFACE('',#30713,0.219); #26789=CYLINDRICAL_SURFACE('',#30720,0.219); #26790=CYLINDRICAL_SURFACE('',#30722,0.133); #26791=CYLINDRICAL_SURFACE('',#30724,0.133); #26792=CYLINDRICAL_SURFACE('',#30727,0.219); #26793=CYLINDRICAL_SURFACE('',#30734,0.219); #26794=CYLINDRICAL_SURFACE('',#30736,0.133); #26795=CYLINDRICAL_SURFACE('',#30738,0.133); #26796=CYLINDRICAL_SURFACE('',#30741,0.219); #26797=CYLINDRICAL_SURFACE('',#30748,0.219); #26798=CYLINDRICAL_SURFACE('',#30750,0.133); #26799=CYLINDRICAL_SURFACE('',#30752,0.133); #26800=CYLINDRICAL_SURFACE('',#30755,0.219); #26801=CYLINDRICAL_SURFACE('',#30762,0.219); #26802=CYLINDRICAL_SURFACE('',#30764,0.133); #26803=CYLINDRICAL_SURFACE('',#30769,0.219); #26804=CYLINDRICAL_SURFACE('',#30771,0.133); #26805=CYLINDRICAL_SURFACE('',#30776,0.219); #26806=CYLINDRICAL_SURFACE('',#30778,0.133); #26807=CYLINDRICAL_SURFACE('',#30783,0.219); #26808=CYLINDRICAL_SURFACE('',#30785,0.133); #26809=CYLINDRICAL_SURFACE('',#30790,0.219); #26810=CYLINDRICAL_SURFACE('',#30792,0.133); #26811=CYLINDRICAL_SURFACE('',#30797,0.219); #26812=CYLINDRICAL_SURFACE('',#30799,0.133); #26813=CYLINDRICAL_SURFACE('',#30804,0.219); #26814=CYLINDRICAL_SURFACE('',#30806,0.133); #26815=CYLINDRICAL_SURFACE('',#30811,0.219); #26816=CYLINDRICAL_SURFACE('',#30813,0.133); #26817=CYLINDRICAL_SURFACE('',#30818,0.219); #26818=CYLINDRICAL_SURFACE('',#30820,0.133); #26819=CYLINDRICAL_SURFACE('',#30825,0.219); #26820=CYLINDRICAL_SURFACE('',#30827,0.133); #26821=CYLINDRICAL_SURFACE('',#30832,0.219); #26822=CYLINDRICAL_SURFACE('',#30834,0.133); #26823=CYLINDRICAL_SURFACE('',#30839,0.219); #26824=CYLINDRICAL_SURFACE('',#30841,0.133); #26825=CYLINDRICAL_SURFACE('',#30846,0.219); #26826=CYLINDRICAL_SURFACE('',#30848,0.133); #26827=CYLINDRICAL_SURFACE('',#30853,0.219); #26828=CYLINDRICAL_SURFACE('',#30855,0.133); #26829=CYLINDRICAL_SURFACE('',#30860,0.219); #26830=CYLINDRICAL_SURFACE('',#30862,0.133); #26831=CYLINDRICAL_SURFACE('',#30864,0.166); #26832=CYLINDRICAL_SURFACE('',#30867,0.166); #26833=CYLINDRICAL_SURFACE('',#30872,0.1335); #26834=CYLINDRICAL_SURFACE('',#30876,0.1335); #26835=CYLINDRICAL_SURFACE('',#30880,0.1335); #26836=CYLINDRICAL_SURFACE('',#30884,0.1335); #26837=CYLINDRICAL_SURFACE('',#30888,0.1335); #26838=CYLINDRICAL_SURFACE('',#30892,0.1335); #26839=CYLINDRICAL_SURFACE('',#30896,0.1335); #26840=CYLINDRICAL_SURFACE('',#30900,0.1335); #26841=CYLINDRICAL_SURFACE('',#30904,0.1335); #26842=CYLINDRICAL_SURFACE('',#30908,0.1335); #26843=CYLINDRICAL_SURFACE('',#30912,0.1335); #26844=CYLINDRICAL_SURFACE('',#30916,0.1335); #26845=CYLINDRICAL_SURFACE('',#30920,0.1335); #26846=CYLINDRICAL_SURFACE('',#30924,0.1335); #26847=CYLINDRICAL_SURFACE('',#30928,0.1335); #26848=CYLINDRICAL_SURFACE('',#30932,0.1335); #26849=CYLINDRICAL_SURFACE('',#30936,0.1335); #26850=CYLINDRICAL_SURFACE('',#30940,0.1335); #26851=CYLINDRICAL_SURFACE('',#30944,0.1335); #26852=CYLINDRICAL_SURFACE('',#30948,0.1335); #26853=CYLINDRICAL_SURFACE('',#30952,0.1335); #26854=CYLINDRICAL_SURFACE('',#30954,0.166); #26855=CYLINDRICAL_SURFACE('',#30957,0.166); #26856=CYLINDRICAL_SURFACE('',#30960,0.166); #26857=CYLINDRICAL_SURFACE('',#30963,0.166); #26858=CYLINDRICAL_SURFACE('',#30966,0.166); #26859=CYLINDRICAL_SURFACE('',#30969,0.166); #26860=CYLINDRICAL_SURFACE('',#30972,0.166); #26861=CYLINDRICAL_SURFACE('',#30975,0.166); #26862=CYLINDRICAL_SURFACE('',#30978,0.166); #26863=CYLINDRICAL_SURFACE('',#30981,0.166); #26864=CYLINDRICAL_SURFACE('',#30989,0.125); #26865=CYLINDRICAL_SURFACE('',#31003,0.125); #26866=CYLINDRICAL_SURFACE('',#31012,32.2847734880977); #26867=CYLINDRICAL_SURFACE('',#31014,2.96999999999987); #26868=CYLINDRICAL_SURFACE('',#31017,2.96999999999987); #26869=CYLINDRICAL_SURFACE('',#31026,2.96999999999987); #26870=CYLINDRICAL_SURFACE('',#31029,2.96999999999987); #26871=CYLINDRICAL_SURFACE('',#31031,69.5519999999999); #26872=CYLINDRICAL_SURFACE('',#31033,0.166); #26873=CYLINDRICAL_SURFACE('',#31036,0.166); #26874=CYLINDRICAL_SURFACE('',#31039,0.166); #26875=CYLINDRICAL_SURFACE('',#31042,0.166); #26876=CYLINDRICAL_SURFACE('',#31045,0.166); #26877=CYLINDRICAL_SURFACE('',#31048,0.166); #26878=CYLINDRICAL_SURFACE('',#31051,0.166); #26879=CYLINDRICAL_SURFACE('',#31054,0.166); #26880=CYLINDRICAL_SURFACE('',#31057,0.166); #26881=CYLINDRICAL_SURFACE('',#31060,0.166); #26882=CYLINDRICAL_SURFACE('',#31063,0.166); #26883=CYLINDRICAL_SURFACE('',#31066,0.166); #26884=CYLINDRICAL_SURFACE('',#31069,0.166); #26885=CYLINDRICAL_SURFACE('',#31072,0.166); #26886=CYLINDRICAL_SURFACE('',#31075,0.166); #26887=CYLINDRICAL_SURFACE('',#31078,0.166); #26888=CYLINDRICAL_SURFACE('',#31081,0.166); #26889=CYLINDRICAL_SURFACE('',#31084,0.166); #26890=CYLINDRICAL_SURFACE('',#31087,0.166); #26891=CYLINDRICAL_SURFACE('',#31090,0.166); #26892=CYLINDRICAL_SURFACE('',#31093,0.166); #26893=CYLINDRICAL_SURFACE('',#31096,0.166); #26894=CYLINDRICAL_SURFACE('',#31099,0.166); #26895=CYLINDRICAL_SURFACE('',#31102,0.166); #26896=CYLINDRICAL_SURFACE('',#31105,0.166); #26897=CYLINDRICAL_SURFACE('',#31108,0.166); #26898=CYLINDRICAL_SURFACE('',#31111,0.166); #26899=CYLINDRICAL_SURFACE('',#31114,0.166); #26900=CYLINDRICAL_SURFACE('',#31117,0.166); #26901=CYLINDRICAL_SURFACE('',#31120,0.166); #26902=CYLINDRICAL_SURFACE('',#31123,0.166); #26903=CYLINDRICAL_SURFACE('',#31126,0.166); #26904=CYLINDRICAL_SURFACE('',#31129,0.166); #26905=CYLINDRICAL_SURFACE('',#31132,0.166); #26906=CYLINDRICAL_SURFACE('',#31135,0.166); #26907=CYLINDRICAL_SURFACE('',#31138,0.166); #26908=CYLINDRICAL_SURFACE('',#31141,0.166); #26909=CYLINDRICAL_SURFACE('',#31144,0.166); #26910=CYLINDRICAL_SURFACE('',#31147,0.166); #26911=CYLINDRICAL_SURFACE('',#31150,0.166); #26912=CYLINDRICAL_SURFACE('',#31153,0.166); #26913=CYLINDRICAL_SURFACE('',#31156,0.166); #26914=CYLINDRICAL_SURFACE('',#31159,0.166); #26915=CYLINDRICAL_SURFACE('',#31162,0.166); #26916=CYLINDRICAL_SURFACE('',#31165,0.166); #26917=CYLINDRICAL_SURFACE('',#31168,0.166); #26918=CYLINDRICAL_SURFACE('',#31171,0.166); #26919=CYLINDRICAL_SURFACE('',#31174,0.166); #26920=CYLINDRICAL_SURFACE('',#31177,0.166); #26921=CYLINDRICAL_SURFACE('',#31180,0.166); #26922=CYLINDRICAL_SURFACE('',#31183,0.166); #26923=CYLINDRICAL_SURFACE('',#31186,0.166); #26924=CYLINDRICAL_SURFACE('',#31189,0.166); #26925=CYLINDRICAL_SURFACE('',#31192,0.166); #26926=CYLINDRICAL_SURFACE('',#31195,0.166); #26927=CYLINDRICAL_SURFACE('',#31198,3.60999999999994); #26928=CYLINDRICAL_SURFACE('',#31201,70.192); #26929=CYLINDRICAL_SURFACE('',#31204,3.60999999999948); #26930=CYLINDRICAL_SURFACE('',#31208,3.44999999999996); #26931=CYLINDRICAL_SURFACE('',#31211,3.44999999999997); #26932=CYLINDRICAL_SURFACE('',#31213,31.8047734880976); #26933=CYLINDRICAL_SURFACE('',#31215,3.60999999999955); #26934=CYLINDRICAL_SURFACE('',#31218,3.44999999999956); #26935=CYLINDRICAL_SURFACE('',#31221,3.44999999999949); #26936=CYLINDRICAL_SURFACE('',#31223,70.032); #26937=CYLINDRICAL_SURFACE('',#31229,3.60999999999996); #26938=CYLINDRICAL_SURFACE('',#31231,31.6447734880976); #26939=CYLINDRICAL_SURFACE('',#31235,0.126); #26940=CYLINDRICAL_SURFACE('',#31239,0.126); #26941=CYLINDRICAL_SURFACE('',#31243,0.126); #26942=CYLINDRICAL_SURFACE('',#31247,0.126); #26943=CYLINDRICAL_SURFACE('',#31251,0.126); #26944=CYLINDRICAL_SURFACE('',#31255,0.126); #26945=CYLINDRICAL_SURFACE('',#31259,0.126); #26946=CYLINDRICAL_SURFACE('',#31263,0.126); #26947=CYLINDRICAL_SURFACE('',#31267,0.126); #26948=CYLINDRICAL_SURFACE('',#31271,0.126); #26949=CYLINDRICAL_SURFACE('',#31275,0.126); #26950=CYLINDRICAL_SURFACE('',#31279,0.126); #26951=CYLINDRICAL_SURFACE('',#31283,0.126); #26952=CYLINDRICAL_SURFACE('',#31287,0.126); #26953=CYLINDRICAL_SURFACE('',#31291,0.126); #26954=CYLINDRICAL_SURFACE('',#31295,0.126); #26955=CYLINDRICAL_SURFACE('',#31299,0.126); #26956=CYLINDRICAL_SURFACE('',#31303,0.126); #26957=CYLINDRICAL_SURFACE('',#31307,0.126); #26958=CYLINDRICAL_SURFACE('',#31311,0.126); #26959=CYLINDRICAL_SURFACE('',#31315,0.126); #26960=CYLINDRICAL_SURFACE('',#31319,0.126); #26961=CYLINDRICAL_SURFACE('',#31323,0.126); #26962=CYLINDRICAL_SURFACE('',#31327,0.126); #26963=CYLINDRICAL_SURFACE('',#31331,0.126); #26964=CYLINDRICAL_SURFACE('',#31335,0.126); #26965=CYLINDRICAL_SURFACE('',#31339,0.126); #26966=CYLINDRICAL_SURFACE('',#31343,0.126); #26967=CYLINDRICAL_SURFACE('',#31347,0.126); #26968=CYLINDRICAL_SURFACE('',#31351,0.126); #26969=CYLINDRICAL_SURFACE('',#31355,0.126); #26970=CYLINDRICAL_SURFACE('',#31359,0.126); #26971=CYLINDRICAL_SURFACE('',#31363,0.126); #26972=CYLINDRICAL_SURFACE('',#31367,0.126); #26973=CYLINDRICAL_SURFACE('',#31371,0.126); #26974=CYLINDRICAL_SURFACE('',#31381,30.7847734880976); #26975=CYLINDRICAL_SURFACE('',#31384,1.); #26976=CYLINDRICAL_SURFACE('',#31387,1.); #26977=CYLINDRICAL_SURFACE('',#31390,1.); #26978=CYLINDRICAL_SURFACE('',#31393,1.); #26979=CYLINDRICAL_SURFACE('',#31398,0.1335); #26980=CYLINDRICAL_SURFACE('',#31402,0.1335); #26981=CYLINDRICAL_SURFACE('',#31406,0.1335); #26982=CYLINDRICAL_SURFACE('',#31415,0.1985); #26983=CYLINDRICAL_SURFACE('',#31417,0.1985); #26984=CYLINDRICAL_SURFACE('',#31419,0.1985); #26985=CYLINDRICAL_SURFACE('',#31421,0.1985); #26986=CYLINDRICAL_SURFACE('',#31423,0.1985); #26987=CYLINDRICAL_SURFACE('',#31432,0.1535); #26988=CYLINDRICAL_SURFACE('',#31435,0.1535); #26989=CYLINDRICAL_SURFACE('',#31436,0.1535); #26990=CYLINDRICAL_SURFACE('',#31448,0.3125); #26991=CYLINDRICAL_SURFACE('',#31450,0.1985); #26992=CYLINDRICAL_SURFACE('',#31455,0.3125); #26993=CYLINDRICAL_SURFACE('',#31457,0.1985); #26994=CYLINDRICAL_SURFACE('',#31462,0.3125); #26995=CYLINDRICAL_SURFACE('',#31464,0.1985); #26996=CYLINDRICAL_SURFACE('',#31469,0.3125); #26997=CYLINDRICAL_SURFACE('',#31471,0.1985); #26998=CYLINDRICAL_SURFACE('',#31476,0.3125); #26999=CYLINDRICAL_SURFACE('',#31478,0.1985); #27000=CYLINDRICAL_SURFACE('',#31483,0.3125); #27001=CYLINDRICAL_SURFACE('',#31485,0.1985); #27002=CYLINDRICAL_SURFACE('',#31490,0.3125); #27003=CYLINDRICAL_SURFACE('',#31492,0.1985); #27004=CYLINDRICAL_SURFACE('',#31497,0.3125); #27005=CYLINDRICAL_SURFACE('',#31499,0.1985); #27006=CYLINDRICAL_SURFACE('',#31504,0.3125); #27007=CYLINDRICAL_SURFACE('',#31506,0.1985); #27008=CYLINDRICAL_SURFACE('',#31511,0.3125); #27009=CYLINDRICAL_SURFACE('',#31513,0.1985); #27010=CYLINDRICAL_SURFACE('',#31523,0.1335); #27011=CYLINDRICAL_SURFACE('',#31527,0.1335); #27012=CYLINDRICAL_SURFACE('',#31531,0.1335); #27013=CYLINDRICAL_SURFACE('',#31535,0.1335); #27014=CYLINDRICAL_SURFACE('',#31539,0.1335); #27015=CYLINDRICAL_SURFACE('',#31543,0.1335); #27016=CYLINDRICAL_SURFACE('',#31547,0.1335); #27017=CYLINDRICAL_SURFACE('',#31551,0.1335); #27018=CYLINDRICAL_SURFACE('',#31555,0.1335); #27019=CYLINDRICAL_SURFACE('',#31559,0.1335); #27020=CYLINDRICAL_SURFACE('',#31563,0.1335); #27021=CYLINDRICAL_SURFACE('',#31567,0.1335); #27022=CYLINDRICAL_SURFACE('',#31571,0.1335); #27023=CYLINDRICAL_SURFACE('',#31575,0.1335); #27024=CYLINDRICAL_SURFACE('',#31579,0.1335); #27025=CYLINDRICAL_SURFACE('',#31583,0.1335); #27026=CYLINDRICAL_SURFACE('',#31587,0.1335); #27027=CYLINDRICAL_SURFACE('',#31591,0.1335); #27028=CYLINDRICAL_SURFACE('',#31595,0.1335); #27029=CYLINDRICAL_SURFACE('',#31599,0.1335); #27030=CYLINDRICAL_SURFACE('',#31603,0.1335); #27031=CYLINDRICAL_SURFACE('',#31607,0.1335); #27032=CYLINDRICAL_SURFACE('',#31611,0.1335); #27033=CYLINDRICAL_SURFACE('',#31615,0.1335); #27034=CYLINDRICAL_SURFACE('',#31619,0.1335); #27035=CYLINDRICAL_SURFACE('',#31623,0.1335); #27036=CYLINDRICAL_SURFACE('',#31627,0.1335); #27037=CYLINDRICAL_SURFACE('',#31631,0.1335); #27038=CYLINDRICAL_SURFACE('',#31635,0.1335); #27039=CYLINDRICAL_SURFACE('',#31639,0.1335); #27040=CYLINDRICAL_SURFACE('',#31643,0.1335); #27041=CYLINDRICAL_SURFACE('',#31647,0.1335); #27042=CYLINDRICAL_SURFACE('',#31651,0.1335); #27043=CYLINDRICAL_SURFACE('',#31655,0.1335); #27044=CYLINDRICAL_SURFACE('',#31659,0.1335); #27045=CYLINDRICAL_SURFACE('',#31663,0.1335); #27046=CYLINDRICAL_SURFACE('',#31673,0.5); #27047=CYLINDRICAL_SURFACE('',#31682,0.098); #27048=CYLINDRICAL_SURFACE('',#31686,0.098); #27049=CYLINDRICAL_SURFACE('',#31690,0.1335); #27050=CYLINDRICAL_SURFACE('',#31694,0.1335); #27051=CYLINDRICAL_SURFACE('',#31698,0.1335); #27052=CYLINDRICAL_SURFACE('',#31700,0.166); #27053=CYLINDRICAL_SURFACE('',#31703,0.166); #27054=CYLINDRICAL_SURFACE('',#31706,0.166); #27055=CYLINDRICAL_SURFACE('',#31709,0.166); #27056=CYLINDRICAL_SURFACE('',#31712,0.166); #27057=CYLINDRICAL_SURFACE('',#31715,0.166); #27058=CYLINDRICAL_SURFACE('',#31718,0.166); #27059=CYLINDRICAL_SURFACE('',#31721,0.166); #27060=CYLINDRICAL_SURFACE('',#31724,0.166); #27061=CYLINDRICAL_SURFACE('',#31727,0.166); #27062=CYLINDRICAL_SURFACE('',#31730,0.166); #27063=CYLINDRICAL_SURFACE('',#31733,0.166); #27064=CYLINDRICAL_SURFACE('',#31736,0.166); #27065=CYLINDRICAL_SURFACE('',#31739,0.166); #27066=CYLINDRICAL_SURFACE('',#31742,0.166); #27067=CYLINDRICAL_SURFACE('',#31745,0.166); #27068=CYLINDRICAL_SURFACE('',#31748,0.166); #27069=CYLINDRICAL_SURFACE('',#31756,86.0550815662623); #27070=CYLINDRICAL_SURFACE('',#31768,0.098); #27071=CYLINDRICAL_SURFACE('',#31772,0.098); #27072=CYLINDRICAL_SURFACE('',#31776,0.1335); #27073=CYLINDRICAL_SURFACE('',#31780,0.1335); #27074=CYLINDRICAL_SURFACE('',#31784,0.1335); #27075=CYLINDRICAL_SURFACE('',#31788,0.1335); #27076=CYLINDRICAL_SURFACE('',#31792,0.1335); #27077=CYLINDRICAL_SURFACE('',#31794,0.1335); #27078=CYLINDRICAL_SURFACE('',#31797,0.1335); #27079=CYLINDRICAL_SURFACE('',#31800,0.1335); #27080=CYLINDRICAL_SURFACE('',#31803,0.1335); #27081=CYLINDRICAL_SURFACE('',#31806,0.1335); #27082=CYLINDRICAL_SURFACE('',#31809,0.1335); #27083=CYLINDRICAL_SURFACE('',#31812,0.1335); #27084=CYLINDRICAL_SURFACE('',#31815,0.1335); #27085=CYLINDRICAL_SURFACE('',#31818,0.1335); #27086=CYLINDRICAL_SURFACE('',#31821,0.1335); #27087=CYLINDRICAL_SURFACE('',#31824,0.1335); #27088=CYLINDRICAL_SURFACE('',#31827,0.1335); #27089=CYLINDRICAL_SURFACE('',#31830,0.1335); #27090=CYLINDRICAL_SURFACE('',#31833,0.1335); #27091=CYLINDRICAL_SURFACE('',#31836,0.1335); #27092=CYLINDRICAL_SURFACE('',#31839,0.1335); #27093=CYLINDRICAL_SURFACE('',#31847,86.0550815662621); #27094=CYLINDRICAL_SURFACE('',#31865,0.098); #27095=CYLINDRICAL_SURFACE('',#31869,0.098); #27096=CYLINDRICAL_SURFACE('',#31873,0.1335); #27097=CYLINDRICAL_SURFACE('',#31877,0.1335); #27098=CYLINDRICAL_SURFACE('',#31881,0.1335); #27099=CYLINDRICAL_SURFACE('',#31883,0.166); #27100=CYLINDRICAL_SURFACE('',#31886,0.166); #27101=CYLINDRICAL_SURFACE('',#31889,0.166); #27102=CYLINDRICAL_SURFACE('',#31892,0.166); #27103=CYLINDRICAL_SURFACE('',#31895,0.166); #27104=CYLINDRICAL_SURFACE('',#31898,0.166); #27105=CYLINDRICAL_SURFACE('',#31901,0.166); #27106=CYLINDRICAL_SURFACE('',#31904,0.166); #27107=CYLINDRICAL_SURFACE('',#31907,0.166); #27108=CYLINDRICAL_SURFACE('',#31910,0.166); #27109=CYLINDRICAL_SURFACE('',#31913,0.166); #27110=CYLINDRICAL_SURFACE('',#31916,0.166); #27111=CYLINDRICAL_SURFACE('',#31919,0.166); #27112=CYLINDRICAL_SURFACE('',#31922,0.166); #27113=CYLINDRICAL_SURFACE('',#31925,0.166); #27114=CYLINDRICAL_SURFACE('',#31928,0.166); #27115=CYLINDRICAL_SURFACE('',#31931,0.166); #27116=CYLINDRICAL_SURFACE('',#31943,86.0550815662622); #27117=CYLINDRICAL_SURFACE('',#31950,86.055); #27118=CYLINDRICAL_SURFACE('',#31953,86.305); #27119=CYLINDRICAL_SURFACE('',#31956,0.166); #27120=CYLINDRICAL_SURFACE('',#31959,0.166); #27121=CYLINDRICAL_SURFACE('',#31964,82.3181714265492); #27122=CYLINDRICAL_SURFACE('',#31972,0.1335); #27123=CYLINDRICAL_SURFACE('',#31976,0.1335); #27124=CYLINDRICAL_SURFACE('',#31980,0.1335); #27125=CYLINDRICAL_SURFACE('',#31984,0.1335); #27126=CYLINDRICAL_SURFACE('',#31988,0.1335); #27127=CYLINDRICAL_SURFACE('',#31992,0.1335); #27128=CYLINDRICAL_SURFACE('',#31996,0.1335); #27129=CYLINDRICAL_SURFACE('',#32000,0.1335); #27130=CYLINDRICAL_SURFACE('',#32004,0.1335); #27131=CYLINDRICAL_SURFACE('',#32008,0.1335); #27132=CYLINDRICAL_SURFACE('',#32012,0.1335); #27133=CYLINDRICAL_SURFACE('',#32016,0.1335); #27134=CYLINDRICAL_SURFACE('',#32020,0.1335); #27135=CYLINDRICAL_SURFACE('',#32024,0.1335); #27136=CYLINDRICAL_SURFACE('',#32028,0.1335); #27137=CYLINDRICAL_SURFACE('',#32032,0.1335); #27138=CYLINDRICAL_SURFACE('',#32036,0.1335); #27139=CYLINDRICAL_SURFACE('',#32040,0.1335); #27140=CYLINDRICAL_SURFACE('',#32044,0.1335); #27141=CYLINDRICAL_SURFACE('',#32048,0.1335); #27142=CYLINDRICAL_SURFACE('',#32052,0.1335); #27143=CYLINDRICAL_SURFACE('',#32056,0.1335); #27144=CYLINDRICAL_SURFACE('',#32060,0.1335); #27145=CYLINDRICAL_SURFACE('',#32064,0.1335); #27146=CYLINDRICAL_SURFACE('',#32068,0.1335); #27147=CYLINDRICAL_SURFACE('',#32070,0.5); #27148=CYLINDRICAL_SURFACE('',#32097,0.5); #27149=CYLINDRICAL_SURFACE('',#32129,0.166); #27150=CYLINDRICAL_SURFACE('',#32131,0.166); #27151=CYLINDRICAL_SURFACE('',#32133,0.166); #27152=CYLINDRICAL_SURFACE('',#32135,0.166); #27153=CYLINDRICAL_SURFACE('',#32137,0.166); #27154=CYLINDRICAL_SURFACE('',#32139,0.166); #27155=CYLINDRICAL_SURFACE('',#32141,0.166); #27156=CYLINDRICAL_SURFACE('',#32143,0.166); #27157=CYLINDRICAL_SURFACE('',#32145,0.166); #27158=CYLINDRICAL_SURFACE('',#32147,0.166); #27159=CYLINDRICAL_SURFACE('',#32149,0.166); #27160=CYLINDRICAL_SURFACE('',#32151,0.166); #27161=CYLINDRICAL_SURFACE('',#32153,97.927805574297); #27162=CYLINDRICAL_SURFACE('',#32186,0.166); #27163=CYLINDRICAL_SURFACE('',#32187,0.166); #27164=CYLINDRICAL_SURFACE('',#32188,0.166); #27165=CYLINDRICAL_SURFACE('',#32189,0.166); #27166=CYLINDRICAL_SURFACE('',#32190,0.166); #27167=CYLINDRICAL_SURFACE('',#32191,0.166); #27168=CYLINDRICAL_SURFACE('',#32192,0.166); #27169=CYLINDRICAL_SURFACE('',#32193,0.166); #27170=CYLINDRICAL_SURFACE('',#32194,0.166); #27171=CYLINDRICAL_SURFACE('',#32195,0.166); #27172=CYLINDRICAL_SURFACE('',#32196,0.166); #27173=CYLINDRICAL_SURFACE('',#32197,0.166); #27174=CYLINDRICAL_SURFACE('',#32198,0.166); #27175=CYLINDRICAL_SURFACE('',#32199,0.166); #27176=CYLINDRICAL_SURFACE('',#32200,0.166); #27177=CYLINDRICAL_SURFACE('',#32201,0.166); #27178=CYLINDRICAL_SURFACE('',#32202,0.166); #27179=CYLINDRICAL_SURFACE('',#32203,0.166); #27180=CYLINDRICAL_SURFACE('',#32204,0.166); #27181=CYLINDRICAL_SURFACE('',#32205,0.166); #27182=CYLINDRICAL_SURFACE('',#32206,0.166); #27183=CYLINDRICAL_SURFACE('',#32207,0.166); #27184=CYLINDRICAL_SURFACE('',#32208,0.166); #27185=CYLINDRICAL_SURFACE('',#32209,0.166); #27186=CYLINDRICAL_SURFACE('',#32210,0.166); #27187=CYLINDRICAL_SURFACE('',#32211,0.166); #27188=CYLINDRICAL_SURFACE('',#32212,0.166); #27189=CYLINDRICAL_SURFACE('',#32213,0.166); #27190=CYLINDRICAL_SURFACE('',#32214,0.166); #27191=CYLINDRICAL_SURFACE('',#32216,80.3181714265491); #27192=CYLINDRICAL_SURFACE('',#32222,0.166); #27193=CYLINDRICAL_SURFACE('',#32225,0.166); #27194=CYLINDRICAL_SURFACE('',#32228,0.166); #27195=CYLINDRICAL_SURFACE('',#32231,0.166); #27196=CYLINDRICAL_SURFACE('',#32234,0.166); #27197=CYLINDRICAL_SURFACE('',#32237,0.166); #27198=CYLINDRICAL_SURFACE('',#32240,0.166); #27199=CYLINDRICAL_SURFACE('',#32243,0.166); #27200=CYLINDRICAL_SURFACE('',#32246,0.166); #27201=CYLINDRICAL_SURFACE('',#32249,0.166); #27202=CYLINDRICAL_SURFACE('',#32252,0.166); #27203=CYLINDRICAL_SURFACE('',#32255,0.166); #27204=CYLINDRICAL_SURFACE('',#32258,0.166); #27205=CYLINDRICAL_SURFACE('',#32261,0.166); #27206=CYLINDRICAL_SURFACE('',#32264,0.166); #27207=CYLINDRICAL_SURFACE('',#32267,0.166); #27208=CYLINDRICAL_SURFACE('',#32270,0.166); #27209=CYLINDRICAL_SURFACE('',#32273,0.166); #27210=CYLINDRICAL_SURFACE('',#32276,0.166); #27211=CYLINDRICAL_SURFACE('',#32279,0.166); #27212=CYLINDRICAL_SURFACE('',#32282,0.166); #27213=CYLINDRICAL_SURFACE('',#32285,0.166); #27214=CYLINDRICAL_SURFACE('',#32288,0.166); #27215=CYLINDRICAL_SURFACE('',#32291,0.166); #27216=CYLINDRICAL_SURFACE('',#32294,0.166); #27217=CYLINDRICAL_SURFACE('',#32297,0.166); #27218=CYLINDRICAL_SURFACE('',#32300,0.166); #27219=CYLINDRICAL_SURFACE('',#32303,0.166); #27220=CYLINDRICAL_SURFACE('',#32306,0.166); #27221=CYLINDRICAL_SURFACE('',#32309,0.166); #27222=CYLINDRICAL_SURFACE('',#32312,0.166); #27223=CYLINDRICAL_SURFACE('',#32315,0.166); #27224=CYLINDRICAL_SURFACE('',#32318,0.166); #27225=CYLINDRICAL_SURFACE('',#32321,0.166); #27226=CYLINDRICAL_SURFACE('',#32324,0.166); #27227=CYLINDRICAL_SURFACE('',#32327,0.166); #27228=CYLINDRICAL_SURFACE('',#32330,0.166); #27229=CYLINDRICAL_SURFACE('',#32333,0.166); #27230=CYLINDRICAL_SURFACE('',#32336,0.166); #27231=CYLINDRICAL_SURFACE('',#32339,0.166); #27232=CYLINDRICAL_SURFACE('',#32342,0.166); #27233=CYLINDRICAL_SURFACE('',#32345,0.166); #27234=CYLINDRICAL_SURFACE('',#32348,0.166); #27235=CYLINDRICAL_SURFACE('',#32351,0.166); #27236=CYLINDRICAL_SURFACE('',#32354,0.166); #27237=CYLINDRICAL_SURFACE('',#32357,0.166); #27238=CYLINDRICAL_SURFACE('',#32360,0.166); #27239=CYLINDRICAL_SURFACE('',#32363,0.166); #27240=CYLINDRICAL_SURFACE('',#32366,0.166); #27241=CYLINDRICAL_SURFACE('',#32369,0.166); #27242=CYLINDRICAL_SURFACE('',#32372,0.166); #27243=CYLINDRICAL_SURFACE('',#32375,0.166); #27244=CYLINDRICAL_SURFACE('',#32378,0.166); #27245=CYLINDRICAL_SURFACE('',#32381,0.166); #27246=CYLINDRICAL_SURFACE('',#32384,0.166); #27247=CYLINDRICAL_SURFACE('',#32387,0.166); #27248=CYLINDRICAL_SURFACE('',#32390,0.166); #27249=CYLINDRICAL_SURFACE('',#32393,0.166); #27250=CYLINDRICAL_SURFACE('',#32396,0.166); #27251=CYLINDRICAL_SURFACE('',#32399,0.166); #27252=CYLINDRICAL_SURFACE('',#32402,0.166); #27253=CYLINDRICAL_SURFACE('',#32405,0.166); #27254=CYLINDRICAL_SURFACE('',#32408,0.166); #27255=CYLINDRICAL_SURFACE('',#32411,0.166); #27256=CYLINDRICAL_SURFACE('',#32414,0.166); #27257=CYLINDRICAL_SURFACE('',#32417,0.166); #27258=CYLINDRICAL_SURFACE('',#32420,0.166); #27259=CYLINDRICAL_SURFACE('',#32423,0.166); #27260=CYLINDRICAL_SURFACE('',#32426,0.166); #27261=CYLINDRICAL_SURFACE('',#32429,0.166); #27262=CYLINDRICAL_SURFACE('',#32432,0.166); #27263=CYLINDRICAL_SURFACE('',#32435,0.166); #27264=CYLINDRICAL_SURFACE('',#32438,0.166); #27265=CYLINDRICAL_SURFACE('',#32441,0.166); #27266=CYLINDRICAL_SURFACE('',#32444,0.166); #27267=CYLINDRICAL_SURFACE('',#32447,0.166); #27268=CYLINDRICAL_SURFACE('',#32450,0.166); #27269=CYLINDRICAL_SURFACE('',#32453,0.166); #27270=CYLINDRICAL_SURFACE('',#32456,0.166); #27271=CYLINDRICAL_SURFACE('',#32459,0.166); #27272=CYLINDRICAL_SURFACE('',#32462,0.166); #27273=CYLINDRICAL_SURFACE('',#32465,0.166); #27274=CYLINDRICAL_SURFACE('',#32468,0.166); #27275=CYLINDRICAL_SURFACE('',#32471,0.166); #27276=CYLINDRICAL_SURFACE('',#32474,0.166); #27277=CYLINDRICAL_SURFACE('',#32477,0.166); #27278=CYLINDRICAL_SURFACE('',#32480,0.166); #27279=CYLINDRICAL_SURFACE('',#32483,0.166); #27280=CYLINDRICAL_SURFACE('',#32486,0.166); #27281=CYLINDRICAL_SURFACE('',#32489,0.166); #27282=CYLINDRICAL_SURFACE('',#32492,0.166); #27283=CYLINDRICAL_SURFACE('',#32495,0.166); #27284=CYLINDRICAL_SURFACE('',#32498,0.166); #27285=CYLINDRICAL_SURFACE('',#32501,0.166); #27286=CYLINDRICAL_SURFACE('',#32504,0.166); #27287=CYLINDRICAL_SURFACE('',#32507,0.166); #27288=CYLINDRICAL_SURFACE('',#32523,0.375); #27289=CYLINDRICAL_SURFACE('',#32537,0.375); #27290=CYLINDRICAL_SURFACE('',#32539,2.94499999999999); #27291=CYLINDRICAL_SURFACE('',#32541,69.527); #27292=CYLINDRICAL_SURFACE('',#32543,2.94499999999999); #27293=CYLINDRICAL_SURFACE('',#32549,2.94499999999999); #27294=CYLINDRICAL_SURFACE('',#32551,32.3097734880976); #27295=CYLINDRICAL_SURFACE('',#32553,2.94499999999999); #27296=CYLINDRICAL_SURFACE('',#32556,71.882); #27297=CYLINDRICAL_SURFACE('',#32559,30.7847734880976); #27298=CYLINDRICAL_SURFACE('',#32565,0.1535); #27299=CYLINDRICAL_SURFACE('',#32569,0.1535); #27300=CYLINDRICAL_SURFACE('',#32573,0.1535); #27301=CYLINDRICAL_SURFACE('',#32577,0.1535); #27302=CYLINDRICAL_SURFACE('',#32581,0.1535); #27303=CYLINDRICAL_SURFACE('',#32585,0.1535); #27304=CYLINDRICAL_SURFACE('',#32589,0.1535); #27305=CYLINDRICAL_SURFACE('',#32593,0.1535); #27306=CYLINDRICAL_SURFACE('',#32597,0.1535); #27307=CYLINDRICAL_SURFACE('',#32601,0.1535); #27308=CYLINDRICAL_SURFACE('',#32605,0.1335); #27309=CYLINDRICAL_SURFACE('',#32609,0.1335); #27310=CYLINDRICAL_SURFACE('',#32613,0.1335); #27311=CYLINDRICAL_SURFACE('',#32617,0.1335); #27312=CYLINDRICAL_SURFACE('',#32621,0.1335); #27313=CYLINDRICAL_SURFACE('',#32625,0.1335); #27314=CYLINDRICAL_SURFACE('',#32629,0.1335); #27315=CYLINDRICAL_SURFACE('',#32633,0.1335); #27316=CYLINDRICAL_SURFACE('',#32637,0.1335); #27317=CYLINDRICAL_SURFACE('',#32641,0.1335); #27318=CYLINDRICAL_SURFACE('',#32645,0.1335); #27319=CYLINDRICAL_SURFACE('',#32649,0.1335); #27320=CYLINDRICAL_SURFACE('',#32653,0.1335); #27321=CYLINDRICAL_SURFACE('',#32657,0.1335); #27322=CYLINDRICAL_SURFACE('',#32659,0.166); #27323=CYLINDRICAL_SURFACE('',#32662,0.166); #27324=CYLINDRICAL_SURFACE('',#32665,0.166); #27325=CYLINDRICAL_SURFACE('',#32668,0.166); #27326=CYLINDRICAL_SURFACE('',#32671,0.166); #27327=CYLINDRICAL_SURFACE('',#32674,0.166); #27328=CYLINDRICAL_SURFACE('',#32677,0.166); #27329=CYLINDRICAL_SURFACE('',#32680,0.166); #27330=CYLINDRICAL_SURFACE('',#32683,0.166); #27331=CYLINDRICAL_SURFACE('',#32686,0.166); #27332=CYLINDRICAL_SURFACE('',#32689,0.166); #27333=CYLINDRICAL_SURFACE('',#32692,0.166); #27334=CYLINDRICAL_SURFACE('',#32695,0.166); #27335=CYLINDRICAL_SURFACE('',#32698,0.166); #27336=CYLINDRICAL_SURFACE('',#32701,0.166); #27337=CYLINDRICAL_SURFACE('',#32704,0.166); #27338=CYLINDRICAL_SURFACE('',#32707,0.166); #27339=CYLINDRICAL_SURFACE('',#32710,0.166); #27340=CYLINDRICAL_SURFACE('',#32720,0.166); #27341=CYLINDRICAL_SURFACE('',#32723,0.166); #27342=CYLINDRICAL_SURFACE('',#32726,0.166); #27343=CYLINDRICAL_SURFACE('',#32729,0.166); #27344=CYLINDRICAL_SURFACE('',#32732,0.166); #27345=CYLINDRICAL_SURFACE('',#32735,0.166); #27346=CYLINDRICAL_SURFACE('',#32738,0.166); #27347=CYLINDRICAL_SURFACE('',#32741,0.166); #27348=CYLINDRICAL_SURFACE('',#32744,0.166); #27349=CYLINDRICAL_SURFACE('',#32747,0.166); #27350=CYLINDRICAL_SURFACE('',#32750,0.166); #27351=CYLINDRICAL_SURFACE('',#32753,0.166); #27352=CYLINDRICAL_SURFACE('',#32756,0.166); #27353=CYLINDRICAL_SURFACE('',#32759,0.166); #27354=CYLINDRICAL_SURFACE('',#32762,0.166); #27355=CYLINDRICAL_SURFACE('',#32765,0.166); #27356=CYLINDRICAL_SURFACE('',#32768,0.166); #27357=CYLINDRICAL_SURFACE('',#32771,0.166); #27358=CYLINDRICAL_SURFACE('',#32774,0.166); #27359=CYLINDRICAL_SURFACE('',#32777,0.166); #27360=CYLINDRICAL_SURFACE('',#32780,0.166); #27361=CYLINDRICAL_SURFACE('',#32783,0.166); #27362=CYLINDRICAL_SURFACE('',#32786,0.166); #27363=CYLINDRICAL_SURFACE('',#32789,0.166); #27364=CYLINDRICAL_SURFACE('',#32792,0.166); #27365=CYLINDRICAL_SURFACE('',#32795,0.166); #27366=CYLINDRICAL_SURFACE('',#32798,0.166); #27367=CYLINDRICAL_SURFACE('',#32801,0.166); #27368=CYLINDRICAL_SURFACE('',#32804,0.166); #27369=CYLINDRICAL_SURFACE('',#32807,0.166); #27370=CYLINDRICAL_SURFACE('',#32810,0.166); #27371=CYLINDRICAL_SURFACE('',#32813,0.166); #27372=ADVANCED_FACE('',(#2872),#26408,.F.); #27373=ADVANCED_FACE('',(#2873),#2846,.F.); #27374=ADVANCED_FACE('',(#2874),#2847,.F.); #27375=ADVANCED_FACE('',(#2875),#2848,.F.); #27376=ADVANCED_FACE('',(#2876),#26409,.F.); #27377=ADVANCED_FACE('',(#2877),#2849,.F.); #27378=ADVANCED_FACE('',(#2878),#26410,.F.); #27379=ADVANCED_FACE('',(#2879),#2850,.F.); #27380=ADVANCED_FACE('',(#2880),#26411,.F.); #27381=ADVANCED_FACE('',(#2881),#2851,.F.); #27382=ADVANCED_FACE('',(#2882),#26412,.F.); #27383=ADVANCED_FACE('',(#2883),#2852,.F.); #27384=ADVANCED_FACE('',(#2884),#26413,.F.); #27385=ADVANCED_FACE('',(#2885),#2648,.T.); #27386=ADVANCED_FACE('',(#2886),#26414,.F.); #27387=ADVANCED_FACE('',(#2887),#2649,.T.); #27388=ADVANCED_FACE('',(#2888),#26415,.F.); #27389=ADVANCED_FACE('',(#2889),#26416,.F.); #27390=ADVANCED_FACE('',(#2890),#26417,.F.); #27391=ADVANCED_FACE('',(#2891),#2650,.T.); #27392=ADVANCED_FACE('',(#2892),#2651,.T.); #27393=ADVANCED_FACE('',(#2893),#2260,.F.); #27394=ADVANCED_FACE('',(#2894),#26418,.F.); #27395=ADVANCED_FACE('',(#2895),#2261,.F.); #27396=ADVANCED_FACE('',(#2896),#26419,.F.); #27397=ADVANCED_FACE('',(#2897),#2262,.F.); #27398=ADVANCED_FACE('',(#2898),#26420,.F.); #27399=ADVANCED_FACE('',(#2899),#2263,.F.); #27400=ADVANCED_FACE('',(#2900),#26421,.F.); #27401=ADVANCED_FACE('',(#2901),#2264,.F.); #27402=ADVANCED_FACE('',(#2902),#26422,.F.); #27403=ADVANCED_FACE('',(#2903),#2265,.F.); #27404=ADVANCED_FACE('',(#2904),#26423,.F.); #27405=ADVANCED_FACE('',(#2905),#2266,.F.); #27406=ADVANCED_FACE('',(#2906),#26424,.F.); #27407=ADVANCED_FACE('',(#2907),#2267,.F.); #27408=ADVANCED_FACE('',(#2908),#26425,.F.); #27409=ADVANCED_FACE('',(#2909),#2268,.F.); #27410=ADVANCED_FACE('',(#2910),#26426,.F.); #27411=ADVANCED_FACE('',(#2911),#2269,.F.); #27412=ADVANCED_FACE('',(#2912),#26427,.F.); #27413=ADVANCED_FACE('',(#2913),#2270,.F.); #27414=ADVANCED_FACE('',(#2914),#26428,.F.); #27415=ADVANCED_FACE('',(#2915),#2271,.F.); #27416=ADVANCED_FACE('',(#2916),#26429,.F.); #27417=ADVANCED_FACE('',(#2917,#897),#26430,.F.); #27418=ADVANCED_FACE('',(#2918),#2272,.F.); #27419=ADVANCED_FACE('',(#2919),#2273,.F.); #27420=ADVANCED_FACE('',(#2920),#2274,.F.); #27421=ADVANCED_FACE('',(#2921),#26431,.F.); #27422=ADVANCED_FACE('',(#2922),#2275,.F.); #27423=ADVANCED_FACE('',(#2923),#26432,.F.); #27424=ADVANCED_FACE('',(#2924),#2276,.F.); #27425=ADVANCED_FACE('',(#2925),#26433,.F.); #27426=ADVANCED_FACE('',(#2926),#2277,.F.); #27427=ADVANCED_FACE('',(#2927),#26434,.F.); #27428=ADVANCED_FACE('',(#2928),#2278,.F.); #27429=ADVANCED_FACE('',(#2929),#26435,.F.); #27430=ADVANCED_FACE('',(#2930),#2279,.F.); #27431=ADVANCED_FACE('',(#2931),#26436,.F.); #27432=ADVANCED_FACE('',(#2932),#2280,.F.); #27433=ADVANCED_FACE('',(#2933),#26437,.F.); #27434=ADVANCED_FACE('',(#2934),#2281,.F.); #27435=ADVANCED_FACE('',(#2935),#26438,.F.); #27436=ADVANCED_FACE('',(#2936),#2282,.F.); #27437=ADVANCED_FACE('',(#2937),#26439,.F.); #27438=ADVANCED_FACE('',(#2938),#2283,.F.); #27439=ADVANCED_FACE('',(#2939),#26440,.F.); #27440=ADVANCED_FACE('',(#2940),#2284,.F.); #27441=ADVANCED_FACE('',(#2941),#26441,.F.); #27442=ADVANCED_FACE('',(#2942),#2285,.F.); #27443=ADVANCED_FACE('',(#2943),#26442,.F.); #27444=ADVANCED_FACE('',(#2944),#2286,.F.); #27445=ADVANCED_FACE('',(#2945),#26443,.F.); #27446=ADVANCED_FACE('',(#2946),#2287,.F.); #27447=ADVANCED_FACE('',(#2947),#26444,.F.); #27448=ADVANCED_FACE('',(#2948),#2288,.F.); #27449=ADVANCED_FACE('',(#2949),#26445,.F.); #27450=ADVANCED_FACE('',(#2950),#2289,.F.); #27451=ADVANCED_FACE('',(#2951),#26446,.F.); #27452=ADVANCED_FACE('',(#2952),#2290,.F.); #27453=ADVANCED_FACE('',(#2953),#26447,.F.); #27454=ADVANCED_FACE('',(#2954),#2291,.F.); #27455=ADVANCED_FACE('',(#2955),#26448,.F.); #27456=ADVANCED_FACE('',(#2956),#2292,.F.); #27457=ADVANCED_FACE('',(#2957),#26449,.F.); #27458=ADVANCED_FACE('',(#2958),#2293,.F.); #27459=ADVANCED_FACE('',(#2959),#26450,.F.); #27460=ADVANCED_FACE('',(#2960),#2294,.F.); #27461=ADVANCED_FACE('',(#2961),#26451,.F.); #27462=ADVANCED_FACE('',(#2962),#2295,.F.); #27463=ADVANCED_FACE('',(#2963),#26452,.F.); #27464=ADVANCED_FACE('',(#2964),#2296,.F.); #27465=ADVANCED_FACE('',(#2965),#26453,.F.); #27466=ADVANCED_FACE('',(#2966),#2297,.F.); #27467=ADVANCED_FACE('',(#2967),#26454,.F.); #27468=ADVANCED_FACE('',(#2968),#2298,.F.); #27469=ADVANCED_FACE('',(#2969),#26455,.F.); #27470=ADVANCED_FACE('',(#2970),#2299,.F.); #27471=ADVANCED_FACE('',(#2971),#26456,.F.); #27472=ADVANCED_FACE('',(#2972),#2300,.F.); #27473=ADVANCED_FACE('',(#2973),#26457,.F.); #27474=ADVANCED_FACE('',(#2974),#2301,.F.); #27475=ADVANCED_FACE('',(#2975),#26458,.F.); #27476=ADVANCED_FACE('',(#2976),#2302,.F.); #27477=ADVANCED_FACE('',(#2977),#26459,.F.); #27478=ADVANCED_FACE('',(#2978),#2303,.F.); #27479=ADVANCED_FACE('',(#2979),#26460,.F.); #27480=ADVANCED_FACE('',(#2980),#26461,.F.); #27481=ADVANCED_FACE('',(#2981,#898,#899,#900,#901,#902,#903,#904,#905, #906),#2652,.F.); #27482=ADVANCED_FACE('',(#2982,#907,#908,#909,#910),#2653,.F.); #27483=ADVANCED_FACE('',(#2983),#2654,.F.); #27484=ADVANCED_FACE('',(#2984),#2655,.T.); #27485=ADVANCED_FACE('',(#2985,#911,#912,#913,#914,#915,#916),#2656,.F.); #27486=ADVANCED_FACE('',(#2986),#2657,.F.); #27487=ADVANCED_FACE('',(#2987,#917,#918,#919,#920,#921,#922,#923,#924, #925),#2658,.F.); #27488=ADVANCED_FACE('',(#2988,#926,#927,#928,#929,#930,#931,#932,#933, #934,#935,#936,#937,#938,#939,#940,#941),#2659,.F.); #27489=ADVANCED_FACE('',(#2989,#942),#2660,.F.); #27490=ADVANCED_FACE('',(#2990),#2661,.T.); #27491=ADVANCED_FACE('',(#2991),#26462,.T.); #27492=ADVANCED_FACE('',(#2992),#26463,.F.); #27493=ADVANCED_FACE('',(#2993),#26464,.F.); #27494=ADVANCED_FACE('',(#2994),#2662,.T.); #27495=ADVANCED_FACE('',(#2995),#26465,.F.); #27496=ADVANCED_FACE('',(#2996),#2663,.T.); #27497=ADVANCED_FACE('',(#2997),#2664,.T.); #27498=ADVANCED_FACE('',(#2998),#2304,.F.); #27499=ADVANCED_FACE('',(#2999),#26466,.F.); #27500=ADVANCED_FACE('',(#3000),#2305,.F.); #27501=ADVANCED_FACE('',(#3001),#26467,.F.); #27502=ADVANCED_FACE('',(#3002),#2306,.F.); #27503=ADVANCED_FACE('',(#3003),#26468,.F.); #27504=ADVANCED_FACE('',(#3004),#2307,.F.); #27505=ADVANCED_FACE('',(#3005),#26469,.F.); #27506=ADVANCED_FACE('',(#3006),#2308,.F.); #27507=ADVANCED_FACE('',(#3007),#26470,.F.); #27508=ADVANCED_FACE('',(#3008),#2309,.F.); #27509=ADVANCED_FACE('',(#3009),#26471,.F.); #27510=ADVANCED_FACE('',(#3010),#2310,.F.); #27511=ADVANCED_FACE('',(#3011),#26472,.F.); #27512=ADVANCED_FACE('',(#3012),#2311,.F.); #27513=ADVANCED_FACE('',(#3013),#26473,.F.); #27514=ADVANCED_FACE('',(#3014),#2312,.F.); #27515=ADVANCED_FACE('',(#3015),#26474,.F.); #27516=ADVANCED_FACE('',(#3016),#2313,.F.); #27517=ADVANCED_FACE('',(#3017),#26475,.F.); #27518=ADVANCED_FACE('',(#3018),#2314,.F.); #27519=ADVANCED_FACE('',(#3019),#26476,.F.); #27520=ADVANCED_FACE('',(#3020),#2315,.F.); #27521=ADVANCED_FACE('',(#3021),#26477,.F.); #27522=ADVANCED_FACE('',(#3022),#26478,.F.); #27523=ADVANCED_FACE('',(#3023),#2316,.F.); #27524=ADVANCED_FACE('',(#3024),#26479,.F.); #27525=ADVANCED_FACE('',(#3025),#2317,.F.); #27526=ADVANCED_FACE('',(#3026),#26480,.F.); #27527=ADVANCED_FACE('',(#3027),#2318,.F.); #27528=ADVANCED_FACE('',(#3028),#26481,.F.); #27529=ADVANCED_FACE('',(#3029),#2319,.F.); #27530=ADVANCED_FACE('',(#3030),#26482,.F.); #27531=ADVANCED_FACE('',(#3031),#26483,.F.); #27532=ADVANCED_FACE('',(#3032),#2853,.F.); #27533=ADVANCED_FACE('',(#3033),#2854,.F.); #27534=ADVANCED_FACE('',(#3034),#2855,.F.); #27535=ADVANCED_FACE('',(#3035),#26484,.F.); #27536=ADVANCED_FACE('',(#3036),#2856,.F.); #27537=ADVANCED_FACE('',(#3037),#26485,.F.); #27538=ADVANCED_FACE('',(#3038),#2857,.F.); #27539=ADVANCED_FACE('',(#3039),#26486,.F.); #27540=ADVANCED_FACE('',(#3040),#2858,.F.); #27541=ADVANCED_FACE('',(#3041),#26487,.F.); #27542=ADVANCED_FACE('',(#3042),#2859,.F.); #27543=ADVANCED_FACE('',(#3043),#26488,.F.); #27544=ADVANCED_FACE('',(#3044),#26489,.F.); #27545=ADVANCED_FACE('',(#3045),#2665,.F.); #27546=ADVANCED_FACE('',(#3046),#26490,.F.); #27547=ADVANCED_FACE('',(#3047),#2666,.F.); #27548=ADVANCED_FACE('',(#3048),#26491,.F.); #27549=ADVANCED_FACE('',(#3049),#2667,.F.); #27550=ADVANCED_FACE('',(#3050),#2668,.F.); #27551=ADVANCED_FACE('',(#3051),#2669,.F.); #27552=ADVANCED_FACE('',(#3052),#2320,.F.); #27553=ADVANCED_FACE('',(#3053),#26492,.F.); #27554=ADVANCED_FACE('',(#3054),#2321,.F.); #27555=ADVANCED_FACE('',(#3055),#26493,.F.); #27556=ADVANCED_FACE('',(#3056),#2322,.F.); #27557=ADVANCED_FACE('',(#3057),#26494,.F.); #27558=ADVANCED_FACE('',(#3058),#2323,.F.); #27559=ADVANCED_FACE('',(#3059),#26495,.F.); #27560=ADVANCED_FACE('',(#3060),#2324,.F.); #27561=ADVANCED_FACE('',(#3061),#2325,.F.); #27562=ADVANCED_FACE('',(#3062),#26496,.F.); #27563=ADVANCED_FACE('',(#3063),#2326,.F.); #27564=ADVANCED_FACE('',(#3064),#26497,.F.); #27565=ADVANCED_FACE('',(#3065),#2327,.F.); #27566=ADVANCED_FACE('',(#3066),#26498,.F.); #27567=ADVANCED_FACE('',(#3067),#2328,.F.); #27568=ADVANCED_FACE('',(#3068),#26499,.F.); #27569=ADVANCED_FACE('',(#3069),#2329,.F.); #27570=ADVANCED_FACE('',(#3070),#26500,.F.); #27571=ADVANCED_FACE('',(#3071),#2330,.F.); #27572=ADVANCED_FACE('',(#3072),#26501,.F.); #27573=ADVANCED_FACE('',(#3073),#2331,.F.); #27574=ADVANCED_FACE('',(#3074),#26502,.F.); #27575=ADVANCED_FACE('',(#3075),#2332,.F.); #27576=ADVANCED_FACE('',(#3076),#26503,.F.); #27577=ADVANCED_FACE('',(#3077),#2333,.F.); #27578=ADVANCED_FACE('',(#3078),#26504,.F.); #27579=ADVANCED_FACE('',(#3079),#2334,.F.); #27580=ADVANCED_FACE('',(#3080),#26505,.F.); #27581=ADVANCED_FACE('',(#3081),#2335,.F.); #27582=ADVANCED_FACE('',(#3082),#26506,.F.); #27583=ADVANCED_FACE('',(#3083),#2336,.F.); #27584=ADVANCED_FACE('',(#3084),#26507,.F.); #27585=ADVANCED_FACE('',(#3085),#2337,.F.); #27586=ADVANCED_FACE('',(#3086),#26508,.F.); #27587=ADVANCED_FACE('',(#3087),#2338,.F.); #27588=ADVANCED_FACE('',(#3088),#26509,.F.); #27589=ADVANCED_FACE('',(#3089),#2339,.F.); #27590=ADVANCED_FACE('',(#3090),#26510,.F.); #27591=ADVANCED_FACE('',(#3091),#2340,.F.); #27592=ADVANCED_FACE('',(#3092),#26511,.F.); #27593=ADVANCED_FACE('',(#3093),#2341,.F.); #27594=ADVANCED_FACE('',(#3094),#26512,.F.); #27595=ADVANCED_FACE('',(#3095),#2342,.F.); #27596=ADVANCED_FACE('',(#3096),#26513,.F.); #27597=ADVANCED_FACE('',(#3097),#2343,.F.); #27598=ADVANCED_FACE('',(#3098),#26514,.F.); #27599=ADVANCED_FACE('',(#3099),#2344,.F.); #27600=ADVANCED_FACE('',(#3100),#26515,.F.); #27601=ADVANCED_FACE('',(#3101),#2345,.F.); #27602=ADVANCED_FACE('',(#3102),#26516,.F.); #27603=ADVANCED_FACE('',(#3103),#2346,.F.); #27604=ADVANCED_FACE('',(#3104),#26517,.F.); #27605=ADVANCED_FACE('',(#3105),#2347,.F.); #27606=ADVANCED_FACE('',(#3106),#26518,.F.); #27607=ADVANCED_FACE('',(#3107),#2348,.F.); #27608=ADVANCED_FACE('',(#3108),#26519,.F.); #27609=ADVANCED_FACE('',(#3109),#2349,.F.); #27610=ADVANCED_FACE('',(#3110),#26520,.F.); #27611=ADVANCED_FACE('',(#3111),#2350,.F.); #27612=ADVANCED_FACE('',(#3112),#26521,.F.); #27613=ADVANCED_FACE('',(#3113),#2351,.F.); #27614=ADVANCED_FACE('',(#3114),#26522,.F.); #27615=ADVANCED_FACE('',(#3115),#2352,.F.); #27616=ADVANCED_FACE('',(#3116),#26523,.F.); #27617=ADVANCED_FACE('',(#3117),#2353,.F.); #27618=ADVANCED_FACE('',(#3118),#26524,.F.); #27619=ADVANCED_FACE('',(#3119),#2354,.F.); #27620=ADVANCED_FACE('',(#3120),#26525,.F.); #27621=ADVANCED_FACE('',(#3121),#2355,.F.); #27622=ADVANCED_FACE('',(#3122),#26526,.F.); #27623=ADVANCED_FACE('',(#3123),#2356,.F.); #27624=ADVANCED_FACE('',(#3124),#26527,.F.); #27625=ADVANCED_FACE('',(#3125),#2357,.F.); #27626=ADVANCED_FACE('',(#3126),#26528,.F.); #27627=ADVANCED_FACE('',(#3127),#2358,.F.); #27628=ADVANCED_FACE('',(#3128),#26529,.F.); #27629=ADVANCED_FACE('',(#3129),#2359,.F.); #27630=ADVANCED_FACE('',(#3130),#26530,.F.); #27631=ADVANCED_FACE('',(#3131),#2360,.F.); #27632=ADVANCED_FACE('',(#3132),#26531,.F.); #27633=ADVANCED_FACE('',(#3133),#2361,.F.); #27634=ADVANCED_FACE('',(#3134),#26532,.F.); #27635=ADVANCED_FACE('',(#3135),#2362,.F.); #27636=ADVANCED_FACE('',(#3136),#26533,.F.); #27637=ADVANCED_FACE('',(#3137),#2363,.F.); #27638=ADVANCED_FACE('',(#3138),#26534,.F.); #27639=ADVANCED_FACE('',(#3139),#2364,.F.); #27640=ADVANCED_FACE('',(#3140),#26535,.F.); #27641=ADVANCED_FACE('',(#3141),#2365,.F.); #27642=ADVANCED_FACE('',(#3142),#26536,.F.); #27643=ADVANCED_FACE('',(#3143),#2366,.F.); #27644=ADVANCED_FACE('',(#3144),#26537,.F.); #27645=ADVANCED_FACE('',(#3145),#2367,.F.); #27646=ADVANCED_FACE('',(#3146),#26538,.F.); #27647=ADVANCED_FACE('',(#3147),#2368,.F.); #27648=ADVANCED_FACE('',(#3148),#26539,.F.); #27649=ADVANCED_FACE('',(#3149),#2369,.F.); #27650=ADVANCED_FACE('',(#3150),#26540,.F.); #27651=ADVANCED_FACE('',(#3151),#2370,.F.); #27652=ADVANCED_FACE('',(#3152),#26541,.F.); #27653=ADVANCED_FACE('',(#3153),#2371,.F.); #27654=ADVANCED_FACE('',(#3154),#26542,.F.); #27655=ADVANCED_FACE('',(#3155,#943,#944,#945,#946,#947,#948),#2670,.F.); #27656=ADVANCED_FACE('',(#3156,#949,#950,#951,#952,#953,#954,#955,#956, #957,#958,#959,#960,#961,#962,#963,#964,#965,#966,#967,#968,#969,#970,#971, #972,#973,#974,#975,#976,#977,#978,#979,#980,#981,#982,#983,#984,#985,#986, #987,#988),#2671,.T.); #27657=ADVANCED_FACE('',(#3157,#989,#990,#991,#992,#993,#994,#995,#996, #997),#2672,.F.); #27658=ADVANCED_FACE('',(#3158),#2673,.T.); #27659=ADVANCED_FACE('',(#3159),#26543,.T.); #27660=ADVANCED_FACE('',(#3160,#998,#999,#1000,#1001,#1002,#1003,#1004, #1005,#1006),#2674,.F.); #27661=ADVANCED_FACE('',(#3161,#1007,#1008,#1009,#1010),#2675,.T.); #27662=ADVANCED_FACE('',(#3162),#2676,.F.); #27663=ADVANCED_FACE('',(#3163),#2677,.T.); #27664=ADVANCED_FACE('',(#3164,#1011),#2678,.F.); #27665=ADVANCED_FACE('',(#3165),#2679,.T.); #27666=ADVANCED_FACE('',(#3166),#26544,.F.); #27667=ADVANCED_FACE('',(#3167),#2680,.F.); #27668=ADVANCED_FACE('',(#3168),#26545,.F.); #27669=ADVANCED_FACE('',(#3169),#26546,.F.); #27670=ADVANCED_FACE('',(#3170),#2681,.F.); #27671=ADVANCED_FACE('',(#3171),#26547,.F.); #27672=ADVANCED_FACE('',(#3172),#2682,.T.); #27673=ADVANCED_FACE('',(#3173,#1012,#1013,#1014,#1015,#1016,#1017,#1018, #1019),#2683,.T.); #27674=ADVANCED_FACE('',(#3174),#2372,.F.); #27675=ADVANCED_FACE('',(#3175),#26548,.F.); #27676=ADVANCED_FACE('',(#3176),#2373,.F.); #27677=ADVANCED_FACE('',(#3177),#26549,.F.); #27678=ADVANCED_FACE('',(#3178),#2374,.F.); #27679=ADVANCED_FACE('',(#3179),#26550,.F.); #27680=ADVANCED_FACE('',(#3180),#2375,.F.); #27681=ADVANCED_FACE('',(#3181),#26551,.F.); #27682=ADVANCED_FACE('',(#3182),#2376,.F.); #27683=ADVANCED_FACE('',(#3183),#26552,.F.); #27684=ADVANCED_FACE('',(#3184),#2377,.F.); #27685=ADVANCED_FACE('',(#3185),#26553,.F.); #27686=ADVANCED_FACE('',(#3186),#2378,.F.); #27687=ADVANCED_FACE('',(#3187),#26554,.F.); #27688=ADVANCED_FACE('',(#3188),#2379,.F.); #27689=ADVANCED_FACE('',(#3189),#26555,.F.); #27690=ADVANCED_FACE('',(#3190,#1020),#26556,.F.); #27691=ADVANCED_FACE('',(#3191),#2380,.F.); #27692=ADVANCED_FACE('',(#3192),#2381,.F.); #27693=ADVANCED_FACE('',(#3193),#2382,.F.); #27694=ADVANCED_FACE('',(#3194),#26557,.F.); #27695=ADVANCED_FACE('',(#3195),#2383,.F.); #27696=ADVANCED_FACE('',(#3196),#26558,.F.); #27697=ADVANCED_FACE('',(#3197),#2384,.F.); #27698=ADVANCED_FACE('',(#3198),#26559,.F.); #27699=ADVANCED_FACE('',(#3199),#2385,.F.); #27700=ADVANCED_FACE('',(#3200),#26560,.F.); #27701=ADVANCED_FACE('',(#3201),#2386,.F.); #27702=ADVANCED_FACE('',(#3202),#26561,.F.); #27703=ADVANCED_FACE('',(#3203),#2387,.F.); #27704=ADVANCED_FACE('',(#3204),#26562,.F.); #27705=ADVANCED_FACE('',(#3205),#2388,.F.); #27706=ADVANCED_FACE('',(#3206),#26563,.F.); #27707=ADVANCED_FACE('',(#3207),#2389,.F.); #27708=ADVANCED_FACE('',(#3208),#26564,.F.); #27709=ADVANCED_FACE('',(#3209),#2390,.F.); #27710=ADVANCED_FACE('',(#3210),#26565,.F.); #27711=ADVANCED_FACE('',(#3211),#2391,.F.); #27712=ADVANCED_FACE('',(#3212),#2392,.F.); #27713=ADVANCED_FACE('',(#3213),#26566,.F.); #27714=ADVANCED_FACE('',(#3214),#2393,.F.); #27715=ADVANCED_FACE('',(#3215),#26567,.F.); #27716=ADVANCED_FACE('',(#3216),#2394,.F.); #27717=ADVANCED_FACE('',(#3217),#26568,.F.); #27718=ADVANCED_FACE('',(#3218),#2395,.F.); #27719=ADVANCED_FACE('',(#3219),#26569,.F.); #27720=ADVANCED_FACE('',(#3220),#2396,.F.); #27721=ADVANCED_FACE('',(#3221),#26570,.F.); #27722=ADVANCED_FACE('',(#3222),#2397,.F.); #27723=ADVANCED_FACE('',(#3223),#26571,.F.); #27724=ADVANCED_FACE('',(#3224),#2398,.F.); #27725=ADVANCED_FACE('',(#3225),#26572,.F.); #27726=ADVANCED_FACE('',(#3226),#2399,.F.); #27727=ADVANCED_FACE('',(#3227),#26573,.F.); #27728=ADVANCED_FACE('',(#3228),#2400,.F.); #27729=ADVANCED_FACE('',(#3229),#26574,.F.); #27730=ADVANCED_FACE('',(#3230),#2401,.F.); #27731=ADVANCED_FACE('',(#3231),#26575,.F.); #27732=ADVANCED_FACE('',(#3232),#2402,.F.); #27733=ADVANCED_FACE('',(#3233),#26576,.F.); #27734=ADVANCED_FACE('',(#3234),#26577,.F.); #27735=ADVANCED_FACE('',(#3235),#2403,.F.); #27736=ADVANCED_FACE('',(#3236),#26578,.F.); #27737=ADVANCED_FACE('',(#3237),#2404,.F.); #27738=ADVANCED_FACE('',(#3238),#26579,.F.); #27739=ADVANCED_FACE('',(#3239),#2405,.F.); #27740=ADVANCED_FACE('',(#3240),#26580,.F.); #27741=ADVANCED_FACE('',(#3241),#2406,.F.); #27742=ADVANCED_FACE('',(#3242),#26581,.F.); #27743=ADVANCED_FACE('',(#3243),#2407,.F.); #27744=ADVANCED_FACE('',(#3244),#26582,.F.); #27745=ADVANCED_FACE('',(#3245),#2408,.F.); #27746=ADVANCED_FACE('',(#3246),#26583,.F.); #27747=ADVANCED_FACE('',(#3247),#2409,.F.); #27748=ADVANCED_FACE('',(#3248),#26584,.F.); #27749=ADVANCED_FACE('',(#3249),#2410,.F.); #27750=ADVANCED_FACE('',(#3250),#26585,.F.); #27751=ADVANCED_FACE('',(#3251),#2411,.F.); #27752=ADVANCED_FACE('',(#3252),#26586,.F.); #27753=ADVANCED_FACE('',(#3253),#2412,.F.); #27754=ADVANCED_FACE('',(#3254),#26587,.F.); #27755=ADVANCED_FACE('',(#3255),#2413,.F.); #27756=ADVANCED_FACE('',(#3256),#26588,.F.); #27757=ADVANCED_FACE('',(#3257),#2414,.F.); #27758=ADVANCED_FACE('',(#3258),#26589,.F.); #27759=ADVANCED_FACE('',(#3259),#2415,.F.); #27760=ADVANCED_FACE('',(#3260),#26590,.F.); #27761=ADVANCED_FACE('',(#3261),#2416,.F.); #27762=ADVANCED_FACE('',(#3262),#26591,.F.); #27763=ADVANCED_FACE('',(#3263),#2417,.F.); #27764=ADVANCED_FACE('',(#3264),#26592,.F.); #27765=ADVANCED_FACE('',(#3265),#2418,.F.); #27766=ADVANCED_FACE('',(#3266),#26593,.F.); #27767=ADVANCED_FACE('',(#3267),#2419,.F.); #27768=ADVANCED_FACE('',(#3268),#26594,.F.); #27769=ADVANCED_FACE('',(#3269),#2420,.F.); #27770=ADVANCED_FACE('',(#3270),#26595,.F.); #27771=ADVANCED_FACE('',(#3271),#2421,.F.); #27772=ADVANCED_FACE('',(#3272),#26596,.F.); #27773=ADVANCED_FACE('',(#3273),#2422,.F.); #27774=ADVANCED_FACE('',(#3274),#26597,.F.); #27775=ADVANCED_FACE('',(#3275),#2423,.F.); #27776=ADVANCED_FACE('',(#3276),#26598,.F.); #27777=ADVANCED_FACE('',(#3277),#2424,.F.); #27778=ADVANCED_FACE('',(#3278),#26599,.F.); #27779=ADVANCED_FACE('',(#3279),#2425,.F.); #27780=ADVANCED_FACE('',(#3280),#26600,.F.); #27781=ADVANCED_FACE('',(#3281),#2426,.F.); #27782=ADVANCED_FACE('',(#3282),#26601,.F.); #27783=ADVANCED_FACE('',(#3283),#2427,.F.); #27784=ADVANCED_FACE('',(#3284),#26602,.F.); #27785=ADVANCED_FACE('',(#3285),#2428,.F.); #27786=ADVANCED_FACE('',(#3286),#26603,.F.); #27787=ADVANCED_FACE('',(#3287),#2429,.F.); #27788=ADVANCED_FACE('',(#3288),#26604,.F.); #27789=ADVANCED_FACE('',(#3289),#2430,.F.); #27790=ADVANCED_FACE('',(#3290),#26605,.F.); #27791=ADVANCED_FACE('',(#3291),#2431,.F.); #27792=ADVANCED_FACE('',(#3292),#26606,.F.); #27793=ADVANCED_FACE('',(#3293),#2432,.F.); #27794=ADVANCED_FACE('',(#3294),#26607,.F.); #27795=ADVANCED_FACE('',(#3295),#2433,.F.); #27796=ADVANCED_FACE('',(#3296),#26608,.F.); #27797=ADVANCED_FACE('',(#3297),#2434,.F.); #27798=ADVANCED_FACE('',(#3298),#26609,.F.); #27799=ADVANCED_FACE('',(#3299),#2435,.F.); #27800=ADVANCED_FACE('',(#3300),#26610,.F.); #27801=ADVANCED_FACE('',(#3301),#2436,.F.); #27802=ADVANCED_FACE('',(#3302),#26611,.F.); #27803=ADVANCED_FACE('',(#3303),#2437,.F.); #27804=ADVANCED_FACE('',(#3304),#26612,.F.); #27805=ADVANCED_FACE('',(#3305),#2438,.F.); #27806=ADVANCED_FACE('',(#3306),#26613,.F.); #27807=ADVANCED_FACE('',(#3307),#2439,.F.); #27808=ADVANCED_FACE('',(#3308),#26614,.F.); #27809=ADVANCED_FACE('',(#3309),#2440,.F.); #27810=ADVANCED_FACE('',(#3310),#26615,.F.); #27811=ADVANCED_FACE('',(#3311),#2441,.F.); #27812=ADVANCED_FACE('',(#3312),#26616,.F.); #27813=ADVANCED_FACE('',(#3313),#2442,.F.); #27814=ADVANCED_FACE('',(#3314),#26617,.F.); #27815=ADVANCED_FACE('',(#3315),#2443,.F.); #27816=ADVANCED_FACE('',(#3316),#26618,.F.); #27817=ADVANCED_FACE('',(#3317),#2444,.F.); #27818=ADVANCED_FACE('',(#3318),#26619,.F.); #27819=ADVANCED_FACE('',(#3319),#2445,.F.); #27820=ADVANCED_FACE('',(#3320),#26620,.F.); #27821=ADVANCED_FACE('',(#3321),#2446,.F.); #27822=ADVANCED_FACE('',(#3322),#26621,.F.); #27823=ADVANCED_FACE('',(#3323),#2447,.F.); #27824=ADVANCED_FACE('',(#3324),#26622,.F.); #27825=ADVANCED_FACE('',(#3325),#2448,.F.); #27826=ADVANCED_FACE('',(#3326),#26623,.F.); #27827=ADVANCED_FACE('',(#3327),#2449,.F.); #27828=ADVANCED_FACE('',(#3328),#26624,.F.); #27829=ADVANCED_FACE('',(#3329),#2450,.F.); #27830=ADVANCED_FACE('',(#3330),#26625,.F.); #27831=ADVANCED_FACE('',(#3331),#2451,.F.); #27832=ADVANCED_FACE('',(#3332),#26626,.F.); #27833=ADVANCED_FACE('',(#3333),#2452,.F.); #27834=ADVANCED_FACE('',(#3334),#26627,.F.); #27835=ADVANCED_FACE('',(#3335),#2453,.F.); #27836=ADVANCED_FACE('',(#3336),#26628,.F.); #27837=ADVANCED_FACE('',(#3337),#2454,.F.); #27838=ADVANCED_FACE('',(#3338),#26629,.F.); #27839=ADVANCED_FACE('',(#3339),#2455,.F.); #27840=ADVANCED_FACE('',(#3340),#26630,.F.); #27841=ADVANCED_FACE('',(#3341),#2456,.F.); #27842=ADVANCED_FACE('',(#3342),#26631,.F.); #27843=ADVANCED_FACE('',(#3343),#2457,.F.); #27844=ADVANCED_FACE('',(#3344),#26632,.F.); #27845=ADVANCED_FACE('',(#3345),#2458,.F.); #27846=ADVANCED_FACE('',(#3346),#26633,.F.); #27847=ADVANCED_FACE('',(#3347),#2459,.F.); #27848=ADVANCED_FACE('',(#3348),#26634,.F.); #27849=ADVANCED_FACE('',(#3349),#2460,.F.); #27850=ADVANCED_FACE('',(#3350),#26635,.F.); #27851=ADVANCED_FACE('',(#3351),#2461,.F.); #27852=ADVANCED_FACE('',(#3352),#26636,.F.); #27853=ADVANCED_FACE('',(#3353),#2462,.F.); #27854=ADVANCED_FACE('',(#3354),#26637,.F.); #27855=ADVANCED_FACE('',(#3355),#2463,.F.); #27856=ADVANCED_FACE('',(#3356),#26638,.F.); #27857=ADVANCED_FACE('',(#3357),#2464,.F.); #27858=ADVANCED_FACE('',(#3358),#26639,.F.); #27859=ADVANCED_FACE('',(#3359),#2465,.F.); #27860=ADVANCED_FACE('',(#3360),#26640,.F.); #27861=ADVANCED_FACE('',(#3361),#2466,.F.); #27862=ADVANCED_FACE('',(#3362),#26641,.F.); #27863=ADVANCED_FACE('',(#3363),#2467,.F.); #27864=ADVANCED_FACE('',(#3364),#26642,.F.); #27865=ADVANCED_FACE('',(#3365),#2468,.F.); #27866=ADVANCED_FACE('',(#3366),#26643,.F.); #27867=ADVANCED_FACE('',(#3367),#2469,.F.); #27868=ADVANCED_FACE('',(#3368),#26644,.F.); #27869=ADVANCED_FACE('',(#3369),#2470,.F.); #27870=ADVANCED_FACE('',(#3370),#26645,.F.); #27871=ADVANCED_FACE('',(#3371),#2471,.F.); #27872=ADVANCED_FACE('',(#3372),#26646,.F.); #27873=ADVANCED_FACE('',(#3373),#2472,.F.); #27874=ADVANCED_FACE('',(#3374),#26647,.F.); #27875=ADVANCED_FACE('',(#3375),#2473,.F.); #27876=ADVANCED_FACE('',(#3376),#26648,.F.); #27877=ADVANCED_FACE('',(#3377),#2474,.F.); #27878=ADVANCED_FACE('',(#3378),#26649,.F.); #27879=ADVANCED_FACE('',(#3379),#2475,.F.); #27880=ADVANCED_FACE('',(#3380),#26650,.F.); #27881=ADVANCED_FACE('',(#3381),#2476,.F.); #27882=ADVANCED_FACE('',(#3382),#26651,.F.); #27883=ADVANCED_FACE('',(#3383),#2477,.F.); #27884=ADVANCED_FACE('',(#3384),#26652,.F.); #27885=ADVANCED_FACE('',(#3385),#2478,.F.); #27886=ADVANCED_FACE('',(#3386),#26653,.F.); #27887=ADVANCED_FACE('',(#3387),#2479,.F.); #27888=ADVANCED_FACE('',(#3388),#26654,.F.); #27889=ADVANCED_FACE('',(#3389),#2480,.F.); #27890=ADVANCED_FACE('',(#3390),#26655,.F.); #27891=ADVANCED_FACE('',(#3391),#2481,.F.); #27892=ADVANCED_FACE('',(#3392),#26656,.F.); #27893=ADVANCED_FACE('',(#3393),#2482,.F.); #27894=ADVANCED_FACE('',(#3394),#26657,.F.); #27895=ADVANCED_FACE('',(#3395),#2483,.F.); #27896=ADVANCED_FACE('',(#3396),#26658,.F.); #27897=ADVANCED_FACE('',(#3397),#26659,.F.); #27898=ADVANCED_FACE('',(#3398,#1021,#1022,#1023,#1024,#1025,#1026,#1027, #1028,#1029),#2684,.F.); #27899=ADVANCED_FACE('',(#3399,#1030),#2685,.F.); #27900=ADVANCED_FACE('',(#3400,#1031,#1032,#1033,#1034,#1035,#1036),#2686, .F.); #27901=ADVANCED_FACE('',(#3401,#1037,#1038,#1039,#1040,#1041,#1042,#1043, #1044,#1045,#1046,#1047,#1048,#1049,#1050,#1051,#1052,#1053,#1054,#1055, #1056,#1057,#1058,#1059,#1060,#1061,#1062,#1063,#1064,#1065,#1066,#1067, #1068,#1069,#1070,#1071,#1072,#1073,#1074,#1075,#1076,#1077,#1078,#1079, #1080,#1081,#1082,#1083,#1084,#1085,#1086,#1087,#1088,#1089,#1090,#1091, #1092),#2687,.T.); #27902=ADVANCED_FACE('',(#3402,#1093,#1094,#1095,#1096,#1097,#1098),#2688, .F.); #27903=ADVANCED_FACE('',(#3403,#1099,#1100,#1101,#1102,#1103,#1104,#1105, #1106,#1107),#2689,.F.); #27904=ADVANCED_FACE('',(#3404,#1108),#2690,.T.); #27905=ADVANCED_FACE('',(#3405),#26660,.T.); #27906=ADVANCED_FACE('',(#3406),#2691,.F.); #27907=ADVANCED_FACE('',(#3407),#26661,.F.); #27908=ADVANCED_FACE('',(#3408),#2692,.T.); #27909=ADVANCED_FACE('',(#3409),#26662,.F.); #27910=ADVANCED_FACE('',(#3410),#26663,.F.); #27911=ADVANCED_FACE('',(#3411),#26664,.F.); #27912=ADVANCED_FACE('',(#3412),#26665,.F.); #27913=ADVANCED_FACE('',(#3413),#2693,.F.); #27914=ADVANCED_FACE('',(#3414,#1109,#1110,#1111,#1112,#1113,#1114,#1115, #1116,#1117),#2694,.F.); #27915=ADVANCED_FACE('',(#3415,#1118,#1119,#1120,#1121,#1122,#1123,#1124, #1125,#1126),#2695,.F.); #27916=ADVANCED_FACE('',(#3416),#26666,.T.); #27917=ADVANCED_FACE('',(#3417),#2696,.F.); #27918=ADVANCED_FACE('',(#3418),#2697,.T.); #27919=ADVANCED_FACE('',(#3419),#26667,.T.); #27920=ADVANCED_FACE('',(#3420),#2698,.F.); #27921=ADVANCED_FACE('',(#3421),#2699,.F.); #27922=ADVANCED_FACE('',(#3422),#26668,.F.); #27923=ADVANCED_FACE('',(#3423),#26669,.F.); #27924=ADVANCED_FACE('',(#3424),#26670,.F.); #27925=ADVANCED_FACE('',(#3425),#26671,.F.); #27926=ADVANCED_FACE('',(#3426),#26672,.F.); #27927=ADVANCED_FACE('',(#3427),#26673,.F.); #27928=ADVANCED_FACE('',(#3428),#26674,.F.); #27929=ADVANCED_FACE('',(#3429),#26675,.F.); #27930=ADVANCED_FACE('',(#3430),#26676,.F.); #27931=ADVANCED_FACE('',(#3431),#26677,.F.); #27932=ADVANCED_FACE('',(#3432),#26678,.F.); #27933=ADVANCED_FACE('',(#3433),#26679,.F.); #27934=ADVANCED_FACE('',(#3434),#26680,.F.); #27935=ADVANCED_FACE('',(#3435),#26681,.F.); #27936=ADVANCED_FACE('',(#3436),#26682,.F.); #27937=ADVANCED_FACE('',(#3437),#26683,.F.); #27938=ADVANCED_FACE('',(#3438),#26684,.F.); #27939=ADVANCED_FACE('',(#3439),#26685,.F.); #27940=ADVANCED_FACE('',(#3440),#26686,.F.); #27941=ADVANCED_FACE('',(#3441),#26687,.F.); #27942=ADVANCED_FACE('',(#3442),#26688,.F.); #27943=ADVANCED_FACE('',(#3443),#26689,.F.); #27944=ADVANCED_FACE('',(#3444),#26690,.F.); #27945=ADVANCED_FACE('',(#3445),#26691,.F.); #27946=ADVANCED_FACE('',(#3446),#26692,.F.); #27947=ADVANCED_FACE('',(#3447),#26693,.F.); #27948=ADVANCED_FACE('',(#3448),#26694,.F.); #27949=ADVANCED_FACE('',(#3449),#26695,.F.); #27950=ADVANCED_FACE('',(#3450),#26696,.F.); #27951=ADVANCED_FACE('',(#3451),#26697,.F.); #27952=ADVANCED_FACE('',(#3452),#26698,.F.); #27953=ADVANCED_FACE('',(#3453),#26699,.F.); #27954=ADVANCED_FACE('',(#3454),#26700,.F.); #27955=ADVANCED_FACE('',(#3455),#26701,.F.); #27956=ADVANCED_FACE('',(#3456),#26702,.F.); #27957=ADVANCED_FACE('',(#3457),#26703,.F.); #27958=ADVANCED_FACE('',(#3458),#26704,.F.); #27959=ADVANCED_FACE('',(#3459),#26705,.F.); #27960=ADVANCED_FACE('',(#3460),#26706,.F.); #27961=ADVANCED_FACE('',(#3461),#26707,.F.); #27962=ADVANCED_FACE('',(#3462),#26708,.F.); #27963=ADVANCED_FACE('',(#3463),#26709,.F.); #27964=ADVANCED_FACE('',(#3464),#26710,.F.); #27965=ADVANCED_FACE('',(#3465),#26711,.F.); #27966=ADVANCED_FACE('',(#3466),#26712,.F.); #27967=ADVANCED_FACE('',(#3467),#26713,.F.); #27968=ADVANCED_FACE('',(#3468),#26714,.F.); #27969=ADVANCED_FACE('',(#3469),#26715,.F.); #27970=ADVANCED_FACE('',(#3470),#26716,.F.); #27971=ADVANCED_FACE('',(#3471),#26717,.F.); #27972=ADVANCED_FACE('',(#3472),#26718,.F.); #27973=ADVANCED_FACE('',(#3473),#26719,.F.); #27974=ADVANCED_FACE('',(#3474),#26720,.F.); #27975=ADVANCED_FACE('',(#3475),#26721,.F.); #27976=ADVANCED_FACE('',(#3476),#26722,.F.); #27977=ADVANCED_FACE('',(#3477),#26723,.F.); #27978=ADVANCED_FACE('',(#3478),#26724,.F.); #27979=ADVANCED_FACE('',(#3479),#26725,.F.); #27980=ADVANCED_FACE('',(#3480),#26726,.F.); #27981=ADVANCED_FACE('',(#3481),#26727,.F.); #27982=ADVANCED_FACE('',(#3482),#26728,.F.); #27983=ADVANCED_FACE('',(#3483),#26729,.F.); #27984=ADVANCED_FACE('',(#3484),#26730,.F.); #27985=ADVANCED_FACE('',(#3485),#26731,.F.); #27986=ADVANCED_FACE('',(#3486),#26732,.F.); #27987=ADVANCED_FACE('',(#3487),#26733,.F.); #27988=ADVANCED_FACE('',(#3488),#26734,.F.); #27989=ADVANCED_FACE('',(#3489),#26735,.F.); #27990=ADVANCED_FACE('',(#3490),#26736,.F.); #27991=ADVANCED_FACE('',(#3491),#26737,.F.); #27992=ADVANCED_FACE('',(#3492),#26738,.F.); #27993=ADVANCED_FACE('',(#3493),#26739,.F.); #27994=ADVANCED_FACE('',(#3494),#26740,.F.); #27995=ADVANCED_FACE('',(#3495),#26741,.F.); #27996=ADVANCED_FACE('',(#3496),#26742,.F.); #27997=ADVANCED_FACE('',(#3497),#26743,.F.); #27998=ADVANCED_FACE('',(#3498),#26744,.F.); #27999=ADVANCED_FACE('',(#3499),#26745,.F.); #28000=ADVANCED_FACE('',(#3500),#26746,.F.); #28001=ADVANCED_FACE('',(#3501),#26747,.F.); #28002=ADVANCED_FACE('',(#3502),#26748,.F.); #28003=ADVANCED_FACE('',(#3503),#26749,.F.); #28004=ADVANCED_FACE('',(#3504),#26750,.F.); #28005=ADVANCED_FACE('',(#3505),#26751,.F.); #28006=ADVANCED_FACE('',(#3506),#26752,.F.); #28007=ADVANCED_FACE('',(#3507),#26753,.F.); #28008=ADVANCED_FACE('',(#3508),#26754,.F.); #28009=ADVANCED_FACE('',(#3509),#26755,.F.); #28010=ADVANCED_FACE('',(#3510),#26756,.F.); #28011=ADVANCED_FACE('',(#3511),#26757,.F.); #28012=ADVANCED_FACE('',(#3512),#26758,.F.); #28013=ADVANCED_FACE('',(#3513),#26759,.F.); #28014=ADVANCED_FACE('',(#3514),#26760,.F.); #28015=ADVANCED_FACE('',(#3515),#26761,.F.); #28016=ADVANCED_FACE('',(#3516),#26762,.F.); #28017=ADVANCED_FACE('',(#3517),#26763,.F.); #28018=ADVANCED_FACE('',(#3518),#26764,.F.); #28019=ADVANCED_FACE('',(#3519),#26765,.F.); #28020=ADVANCED_FACE('',(#3520),#2700,.T.); #28021=ADVANCED_FACE('',(#3521),#26766,.T.); #28022=ADVANCED_FACE('',(#3522),#2701,.T.); #28023=ADVANCED_FACE('',(#3523,#1127,#1128,#1129,#1130,#1131,#1132,#1133, #1134,#1135,#1136,#1137,#1138,#1139,#1140,#1141,#1142,#1143,#1144,#1145, #1146,#1147,#1148,#1149,#1150,#1151,#1152,#1153,#1154,#1155,#1156,#1157, #1158,#1159,#1160,#1161,#1162,#1163,#1164,#1165,#1166,#1167,#1168,#1169, #1170,#1171,#1172,#1173,#1174,#1175,#1176,#1177,#1178,#1179,#1180,#1181, #1182,#1183,#1184,#1185,#1186,#1187,#1188,#1189,#1190,#1191,#1192,#1193, #1194,#1195,#1196,#1197,#1198,#1199,#1200,#1201,#1202,#1203,#1204,#1205, #1206,#1207,#1208,#1209,#1210,#1211,#1212,#1213,#1214,#1215,#1216,#1217, #1218,#1219,#1220,#1221,#1222),#2702,.T.); #28024=ADVANCED_FACE('',(#3524,#1223,#1224,#1225,#1226,#1227,#1228,#1229, #1230,#1231,#1232,#1233,#1234,#1235,#1236,#1237,#1238,#1239,#1240,#1241, #1242,#1243,#1244,#1245,#1246,#1247,#1248,#1249,#1250,#1251,#1252,#1253, #1254,#1255,#1256,#1257,#1258,#1259,#1260,#1261,#1262,#1263,#1264,#1265, #1266,#1267,#1268,#1269,#1270,#1271,#1272,#1273,#1274,#1275,#1276,#1277, #1278,#1279,#1280,#1281,#1282,#1283,#1284,#1285,#1286,#1287,#1288,#1289, #1290,#1291,#1292,#1293,#1294,#1295,#1296,#1297,#1298,#1299,#1300,#1301, #1302,#1303,#1304,#1305,#1306,#1307,#1308,#1309,#1310,#1311,#1312,#1313, #1314,#1315,#1316,#1317,#1318),#2703,.F.); #28025=ADVANCED_FACE('',(#3525),#26767,.F.); #28026=ADVANCED_FACE('',(#3526),#26768,.F.); #28027=ADVANCED_FACE('',(#3527),#26769,.F.); #28028=ADVANCED_FACE('',(#3528),#26770,.F.); #28029=ADVANCED_FACE('',(#3529,#1319),#2704,.F.); #28030=ADVANCED_FACE('',(#3530),#26771,.F.); #28031=ADVANCED_FACE('',(#3531),#26772,.F.); #28032=ADVANCED_FACE('',(#3532,#1320),#2705,.F.); #28033=ADVANCED_FACE('',(#3533),#26773,.F.); #28034=ADVANCED_FACE('',(#3534),#26774,.F.); #28035=ADVANCED_FACE('',(#3535),#26775,.F.); #28036=ADVANCED_FACE('',(#3536),#26776,.F.); #28037=ADVANCED_FACE('',(#3537,#1321),#2706,.F.); #28038=ADVANCED_FACE('',(#3538,#1322),#2707,.F.); #28039=ADVANCED_FACE('',(#3539),#26777,.F.); #28040=ADVANCED_FACE('',(#3540),#26778,.F.); #28041=ADVANCED_FACE('',(#3541),#26779,.F.); #28042=ADVANCED_FACE('',(#3542),#26780,.F.); #28043=ADVANCED_FACE('',(#3543,#1323),#2708,.F.); #28044=ADVANCED_FACE('',(#3544,#1324),#2709,.F.); #28045=ADVANCED_FACE('',(#3545),#26781,.F.); #28046=ADVANCED_FACE('',(#3546),#26782,.F.); #28047=ADVANCED_FACE('',(#3547),#26783,.F.); #28048=ADVANCED_FACE('',(#3548),#26784,.F.); #28049=ADVANCED_FACE('',(#3549,#1325),#2710,.F.); #28050=ADVANCED_FACE('',(#3550,#1326),#2711,.F.); #28051=ADVANCED_FACE('',(#3551),#26785,.F.); #28052=ADVANCED_FACE('',(#3552),#26786,.F.); #28053=ADVANCED_FACE('',(#3553),#26787,.F.); #28054=ADVANCED_FACE('',(#3554),#26788,.F.); #28055=ADVANCED_FACE('',(#3555,#1327),#2712,.F.); #28056=ADVANCED_FACE('',(#3556,#1328),#2713,.F.); #28057=ADVANCED_FACE('',(#3557),#26789,.F.); #28058=ADVANCED_FACE('',(#3558),#26790,.F.); #28059=ADVANCED_FACE('',(#3559),#26791,.F.); #28060=ADVANCED_FACE('',(#3560),#26792,.F.); #28061=ADVANCED_FACE('',(#3561,#1329),#2714,.F.); #28062=ADVANCED_FACE('',(#3562,#1330),#2715,.F.); #28063=ADVANCED_FACE('',(#3563),#26793,.F.); #28064=ADVANCED_FACE('',(#3564),#26794,.F.); #28065=ADVANCED_FACE('',(#3565),#26795,.F.); #28066=ADVANCED_FACE('',(#3566),#26796,.F.); #28067=ADVANCED_FACE('',(#3567,#1331),#2716,.F.); #28068=ADVANCED_FACE('',(#3568,#1332),#2717,.F.); #28069=ADVANCED_FACE('',(#3569),#26797,.F.); #28070=ADVANCED_FACE('',(#3570),#26798,.F.); #28071=ADVANCED_FACE('',(#3571),#26799,.F.); #28072=ADVANCED_FACE('',(#3572),#26800,.F.); #28073=ADVANCED_FACE('',(#3573,#1333),#2718,.F.); #28074=ADVANCED_FACE('',(#3574,#1334),#2719,.T.); #28075=ADVANCED_FACE('',(#3575),#26801,.F.); #28076=ADVANCED_FACE('',(#3576),#26802,.F.); #28077=ADVANCED_FACE('',(#3577,#1335),#2720,.T.); #28078=ADVANCED_FACE('',(#3578),#26803,.F.); #28079=ADVANCED_FACE('',(#3579),#26804,.F.); #28080=ADVANCED_FACE('',(#3580,#1336),#2721,.T.); #28081=ADVANCED_FACE('',(#3581),#26805,.F.); #28082=ADVANCED_FACE('',(#3582),#26806,.F.); #28083=ADVANCED_FACE('',(#3583,#1337),#2722,.T.); #28084=ADVANCED_FACE('',(#3584),#26807,.F.); #28085=ADVANCED_FACE('',(#3585),#26808,.F.); #28086=ADVANCED_FACE('',(#3586,#1338),#2723,.T.); #28087=ADVANCED_FACE('',(#3587),#26809,.F.); #28088=ADVANCED_FACE('',(#3588),#26810,.F.); #28089=ADVANCED_FACE('',(#3589,#1339),#2724,.T.); #28090=ADVANCED_FACE('',(#3590),#26811,.F.); #28091=ADVANCED_FACE('',(#3591),#26812,.F.); #28092=ADVANCED_FACE('',(#3592,#1340),#2725,.T.); #28093=ADVANCED_FACE('',(#3593),#26813,.F.); #28094=ADVANCED_FACE('',(#3594),#26814,.F.); #28095=ADVANCED_FACE('',(#3595,#1341),#2726,.T.); #28096=ADVANCED_FACE('',(#3596),#26815,.F.); #28097=ADVANCED_FACE('',(#3597),#26816,.F.); #28098=ADVANCED_FACE('',(#3598,#1342),#2727,.T.); #28099=ADVANCED_FACE('',(#3599),#26817,.F.); #28100=ADVANCED_FACE('',(#3600),#26818,.F.); #28101=ADVANCED_FACE('',(#3601,#1343),#2728,.T.); #28102=ADVANCED_FACE('',(#3602),#26819,.F.); #28103=ADVANCED_FACE('',(#3603),#26820,.F.); #28104=ADVANCED_FACE('',(#3604,#1344),#2729,.T.); #28105=ADVANCED_FACE('',(#3605),#26821,.F.); #28106=ADVANCED_FACE('',(#3606),#26822,.F.); #28107=ADVANCED_FACE('',(#3607,#1345),#2730,.T.); #28108=ADVANCED_FACE('',(#3608),#26823,.F.); #28109=ADVANCED_FACE('',(#3609),#26824,.F.); #28110=ADVANCED_FACE('',(#3610,#1346),#2731,.T.); #28111=ADVANCED_FACE('',(#3611),#26825,.F.); #28112=ADVANCED_FACE('',(#3612),#26826,.F.); #28113=ADVANCED_FACE('',(#3613,#1347),#2732,.T.); #28114=ADVANCED_FACE('',(#3614),#26827,.F.); #28115=ADVANCED_FACE('',(#3615),#26828,.F.); #28116=ADVANCED_FACE('',(#3616,#1348),#2733,.T.); #28117=ADVANCED_FACE('',(#3617),#26829,.F.); #28118=ADVANCED_FACE('',(#3618),#26830,.F.); #28119=ADVANCED_FACE('',(#3619),#26831,.F.); #28120=ADVANCED_FACE('',(#3620),#26832,.F.); #28121=ADVANCED_FACE('',(#3621),#2484,.F.); #28122=ADVANCED_FACE('',(#3622),#26833,.F.); #28123=ADVANCED_FACE('',(#3623),#2485,.F.); #28124=ADVANCED_FACE('',(#3624),#26834,.F.); #28125=ADVANCED_FACE('',(#3625),#2486,.F.); #28126=ADVANCED_FACE('',(#3626),#26835,.F.); #28127=ADVANCED_FACE('',(#3627),#2487,.F.); #28128=ADVANCED_FACE('',(#3628),#26836,.F.); #28129=ADVANCED_FACE('',(#3629),#2488,.F.); #28130=ADVANCED_FACE('',(#3630),#26837,.F.); #28131=ADVANCED_FACE('',(#3631),#2489,.F.); #28132=ADVANCED_FACE('',(#3632),#26838,.F.); #28133=ADVANCED_FACE('',(#3633),#2490,.F.); #28134=ADVANCED_FACE('',(#3634),#26839,.F.); #28135=ADVANCED_FACE('',(#3635),#2491,.F.); #28136=ADVANCED_FACE('',(#3636),#26840,.F.); #28137=ADVANCED_FACE('',(#3637),#2492,.F.); #28138=ADVANCED_FACE('',(#3638),#26841,.F.); #28139=ADVANCED_FACE('',(#3639),#2493,.F.); #28140=ADVANCED_FACE('',(#3640),#26842,.F.); #28141=ADVANCED_FACE('',(#3641),#2494,.F.); #28142=ADVANCED_FACE('',(#3642),#26843,.F.); #28143=ADVANCED_FACE('',(#3643),#2495,.F.); #28144=ADVANCED_FACE('',(#3644),#26844,.F.); #28145=ADVANCED_FACE('',(#3645),#2496,.F.); #28146=ADVANCED_FACE('',(#3646),#26845,.F.); #28147=ADVANCED_FACE('',(#3647),#2497,.F.); #28148=ADVANCED_FACE('',(#3648),#26846,.F.); #28149=ADVANCED_FACE('',(#3649),#2498,.F.); #28150=ADVANCED_FACE('',(#3650),#26847,.F.); #28151=ADVANCED_FACE('',(#3651),#2499,.F.); #28152=ADVANCED_FACE('',(#3652),#26848,.F.); #28153=ADVANCED_FACE('',(#3653),#2500,.F.); #28154=ADVANCED_FACE('',(#3654),#26849,.F.); #28155=ADVANCED_FACE('',(#3655),#2501,.F.); #28156=ADVANCED_FACE('',(#3656),#26850,.F.); #28157=ADVANCED_FACE('',(#3657),#2502,.F.); #28158=ADVANCED_FACE('',(#3658),#26851,.F.); #28159=ADVANCED_FACE('',(#3659),#2503,.F.); #28160=ADVANCED_FACE('',(#3660),#26852,.F.); #28161=ADVANCED_FACE('',(#3661),#2504,.F.); #28162=ADVANCED_FACE('',(#3662),#26853,.F.); #28163=ADVANCED_FACE('',(#3663),#26854,.F.); #28164=ADVANCED_FACE('',(#3664),#26855,.F.); #28165=ADVANCED_FACE('',(#3665),#26856,.F.); #28166=ADVANCED_FACE('',(#3666),#26857,.F.); #28167=ADVANCED_FACE('',(#3667),#26858,.F.); #28168=ADVANCED_FACE('',(#3668),#26859,.F.); #28169=ADVANCED_FACE('',(#3669),#26860,.F.); #28170=ADVANCED_FACE('',(#3670),#26861,.F.); #28171=ADVANCED_FACE('',(#3671),#26862,.F.); #28172=ADVANCED_FACE('',(#3672),#26863,.F.); #28173=ADVANCED_FACE('',(#3673),#2860,.T.); #28174=ADVANCED_FACE('',(#3674),#26864,.T.); #28175=ADVANCED_FACE('',(#3675),#2861,.T.); #28176=ADVANCED_FACE('',(#3676),#2862,.T.); #28177=ADVANCED_FACE('',(#3677),#2863,.T.); #28178=ADVANCED_FACE('',(#3678),#26865,.T.); #28179=ADVANCED_FACE('',(#3679),#2864,.T.); #28180=ADVANCED_FACE('',(#3680),#2865,.T.); #28181=ADVANCED_FACE('',(#3681),#26866,.T.); #28182=ADVANCED_FACE('',(#3682),#26867,.F.); #28183=ADVANCED_FACE('',(#3683),#2734,.F.); #28184=ADVANCED_FACE('',(#3684),#26868,.F.); #28185=ADVANCED_FACE('',(#3685,#1349),#2735,.T.); #28186=ADVANCED_FACE('',(#3686),#26869,.F.); #28187=ADVANCED_FACE('',(#3687),#2736,.F.); #28188=ADVANCED_FACE('',(#3688),#26870,.F.); #28189=ADVANCED_FACE('',(#3689),#26871,.F.); #28190=ADVANCED_FACE('',(#3690),#26872,.F.); #28191=ADVANCED_FACE('',(#3691),#26873,.F.); #28192=ADVANCED_FACE('',(#3692),#26874,.F.); #28193=ADVANCED_FACE('',(#3693),#26875,.F.); #28194=ADVANCED_FACE('',(#3694),#26876,.F.); #28195=ADVANCED_FACE('',(#3695),#26877,.F.); #28196=ADVANCED_FACE('',(#3696),#26878,.F.); #28197=ADVANCED_FACE('',(#3697),#26879,.F.); #28198=ADVANCED_FACE('',(#3698),#26880,.F.); #28199=ADVANCED_FACE('',(#3699),#26881,.F.); #28200=ADVANCED_FACE('',(#3700),#26882,.F.); #28201=ADVANCED_FACE('',(#3701),#26883,.F.); #28202=ADVANCED_FACE('',(#3702),#26884,.F.); #28203=ADVANCED_FACE('',(#3703),#26885,.F.); #28204=ADVANCED_FACE('',(#3704),#26886,.F.); #28205=ADVANCED_FACE('',(#3705),#26887,.F.); #28206=ADVANCED_FACE('',(#3706),#26888,.F.); #28207=ADVANCED_FACE('',(#3707),#26889,.F.); #28208=ADVANCED_FACE('',(#3708),#26890,.F.); #28209=ADVANCED_FACE('',(#3709),#26891,.F.); #28210=ADVANCED_FACE('',(#3710),#26892,.F.); #28211=ADVANCED_FACE('',(#3711),#26893,.F.); #28212=ADVANCED_FACE('',(#3712),#26894,.F.); #28213=ADVANCED_FACE('',(#3713),#26895,.F.); #28214=ADVANCED_FACE('',(#3714),#26896,.F.); #28215=ADVANCED_FACE('',(#3715),#26897,.F.); #28216=ADVANCED_FACE('',(#3716),#26898,.F.); #28217=ADVANCED_FACE('',(#3717),#26899,.F.); #28218=ADVANCED_FACE('',(#3718),#26900,.F.); #28219=ADVANCED_FACE('',(#3719),#26901,.F.); #28220=ADVANCED_FACE('',(#3720),#26902,.F.); #28221=ADVANCED_FACE('',(#3721),#26903,.F.); #28222=ADVANCED_FACE('',(#3722),#26904,.F.); #28223=ADVANCED_FACE('',(#3723),#26905,.F.); #28224=ADVANCED_FACE('',(#3724),#26906,.F.); #28225=ADVANCED_FACE('',(#3725),#26907,.F.); #28226=ADVANCED_FACE('',(#3726),#26908,.F.); #28227=ADVANCED_FACE('',(#3727),#26909,.F.); #28228=ADVANCED_FACE('',(#3728),#26910,.F.); #28229=ADVANCED_FACE('',(#3729),#26911,.F.); #28230=ADVANCED_FACE('',(#3730),#26912,.F.); #28231=ADVANCED_FACE('',(#3731),#26913,.F.); #28232=ADVANCED_FACE('',(#3732),#26914,.F.); #28233=ADVANCED_FACE('',(#3733),#26915,.F.); #28234=ADVANCED_FACE('',(#3734),#26916,.F.); #28235=ADVANCED_FACE('',(#3735),#26917,.F.); #28236=ADVANCED_FACE('',(#3736),#26918,.F.); #28237=ADVANCED_FACE('',(#3737),#26919,.F.); #28238=ADVANCED_FACE('',(#3738),#26920,.F.); #28239=ADVANCED_FACE('',(#3739),#26921,.F.); #28240=ADVANCED_FACE('',(#3740),#26922,.F.); #28241=ADVANCED_FACE('',(#3741),#26923,.F.); #28242=ADVANCED_FACE('',(#3742),#26924,.F.); #28243=ADVANCED_FACE('',(#3743),#26925,.F.); #28244=ADVANCED_FACE('',(#3744),#26926,.F.); #28245=ADVANCED_FACE('',(#3745),#26927,.F.); #28246=ADVANCED_FACE('',(#3746),#26928,.F.); #28247=ADVANCED_FACE('',(#3747),#26929,.F.); #28248=ADVANCED_FACE('',(#3748),#2737,.F.); #28249=ADVANCED_FACE('',(#3749),#26930,.T.); #28250=ADVANCED_FACE('',(#3750),#2738,.F.); #28251=ADVANCED_FACE('',(#3751),#26931,.T.); #28252=ADVANCED_FACE('',(#3752),#26932,.F.); #28253=ADVANCED_FACE('',(#3753),#26933,.F.); #28254=ADVANCED_FACE('',(#3754),#26934,.T.); #28255=ADVANCED_FACE('',(#3755),#2739,.F.); #28256=ADVANCED_FACE('',(#3756),#26935,.T.); #28257=ADVANCED_FACE('',(#3757),#26936,.T.); #28258=ADVANCED_FACE('',(#3758),#2740,.F.); #28259=ADVANCED_FACE('',(#3759,#1350),#2741,.F.); #28260=ADVANCED_FACE('',(#3760),#26937,.F.); #28261=ADVANCED_FACE('',(#3761),#26938,.T.); #28262=ADVANCED_FACE('',(#3762),#2505,.F.); #28263=ADVANCED_FACE('',(#3763),#26939,.F.); #28264=ADVANCED_FACE('',(#3764),#2506,.F.); #28265=ADVANCED_FACE('',(#3765),#26940,.F.); #28266=ADVANCED_FACE('',(#3766),#2507,.F.); #28267=ADVANCED_FACE('',(#3767),#26941,.F.); #28268=ADVANCED_FACE('',(#3768),#2508,.F.); #28269=ADVANCED_FACE('',(#3769),#26942,.F.); #28270=ADVANCED_FACE('',(#3770),#2509,.F.); #28271=ADVANCED_FACE('',(#3771),#26943,.F.); #28272=ADVANCED_FACE('',(#3772),#2510,.F.); #28273=ADVANCED_FACE('',(#3773),#26944,.F.); #28274=ADVANCED_FACE('',(#3774),#2511,.F.); #28275=ADVANCED_FACE('',(#3775),#26945,.F.); #28276=ADVANCED_FACE('',(#3776),#2512,.F.); #28277=ADVANCED_FACE('',(#3777),#26946,.F.); #28278=ADVANCED_FACE('',(#3778),#2513,.F.); #28279=ADVANCED_FACE('',(#3779),#26947,.F.); #28280=ADVANCED_FACE('',(#3780),#2514,.F.); #28281=ADVANCED_FACE('',(#3781),#26948,.F.); #28282=ADVANCED_FACE('',(#3782),#2515,.F.); #28283=ADVANCED_FACE('',(#3783),#26949,.F.); #28284=ADVANCED_FACE('',(#3784),#2516,.F.); #28285=ADVANCED_FACE('',(#3785),#26950,.F.); #28286=ADVANCED_FACE('',(#3786),#2517,.F.); #28287=ADVANCED_FACE('',(#3787),#26951,.F.); #28288=ADVANCED_FACE('',(#3788),#2518,.F.); #28289=ADVANCED_FACE('',(#3789),#26952,.F.); #28290=ADVANCED_FACE('',(#3790),#2519,.F.); #28291=ADVANCED_FACE('',(#3791),#26953,.F.); #28292=ADVANCED_FACE('',(#3792),#2520,.F.); #28293=ADVANCED_FACE('',(#3793),#26954,.F.); #28294=ADVANCED_FACE('',(#3794),#2521,.F.); #28295=ADVANCED_FACE('',(#3795),#26955,.F.); #28296=ADVANCED_FACE('',(#3796),#2522,.F.); #28297=ADVANCED_FACE('',(#3797),#26956,.F.); #28298=ADVANCED_FACE('',(#3798),#2523,.F.); #28299=ADVANCED_FACE('',(#3799),#26957,.F.); #28300=ADVANCED_FACE('',(#3800),#2524,.F.); #28301=ADVANCED_FACE('',(#3801),#26958,.F.); #28302=ADVANCED_FACE('',(#3802),#2525,.F.); #28303=ADVANCED_FACE('',(#3803),#26959,.F.); #28304=ADVANCED_FACE('',(#3804),#2526,.F.); #28305=ADVANCED_FACE('',(#3805),#26960,.F.); #28306=ADVANCED_FACE('',(#3806),#2527,.F.); #28307=ADVANCED_FACE('',(#3807),#26961,.F.); #28308=ADVANCED_FACE('',(#3808),#2528,.F.); #28309=ADVANCED_FACE('',(#3809),#26962,.F.); #28310=ADVANCED_FACE('',(#3810),#2529,.F.); #28311=ADVANCED_FACE('',(#3811),#26963,.F.); #28312=ADVANCED_FACE('',(#3812),#2530,.F.); #28313=ADVANCED_FACE('',(#3813),#26964,.F.); #28314=ADVANCED_FACE('',(#3814),#2531,.F.); #28315=ADVANCED_FACE('',(#3815),#26965,.F.); #28316=ADVANCED_FACE('',(#3816),#2532,.F.); #28317=ADVANCED_FACE('',(#3817),#26966,.F.); #28318=ADVANCED_FACE('',(#3818),#2533,.F.); #28319=ADVANCED_FACE('',(#3819),#26967,.F.); #28320=ADVANCED_FACE('',(#3820),#2534,.F.); #28321=ADVANCED_FACE('',(#3821),#26968,.F.); #28322=ADVANCED_FACE('',(#3822),#2535,.F.); #28323=ADVANCED_FACE('',(#3823),#26969,.F.); #28324=ADVANCED_FACE('',(#3824),#2536,.F.); #28325=ADVANCED_FACE('',(#3825),#26970,.F.); #28326=ADVANCED_FACE('',(#3826),#2537,.F.); #28327=ADVANCED_FACE('',(#3827),#26971,.F.); #28328=ADVANCED_FACE('',(#3828),#2538,.F.); #28329=ADVANCED_FACE('',(#3829),#26972,.F.); #28330=ADVANCED_FACE('',(#3830),#2539,.F.); #28331=ADVANCED_FACE('',(#3831),#26973,.F.); #28332=ADVANCED_FACE('',(#3832,#1351,#1352,#1353,#1354,#1355,#1356,#1357), #2742,.F.); #28333=ADVANCED_FACE('',(#3833,#1358,#1359,#1360,#1361,#1362,#1363,#1364, #1365,#1366,#1367,#1368,#1369,#1370,#1371,#1372,#1373,#1374,#1375,#1376, #1377,#1378,#1379,#1380,#1381,#1382,#1383,#1384,#1385,#1386,#1387,#1388, #1389,#1390,#1391,#1392,#1393,#1394,#1395,#1396,#1397,#1398,#1399,#1400, #1401,#1402,#1403,#1404,#1405,#1406,#1407,#1408,#1409,#1410,#1411,#1412, #1413,#1414,#1415,#1416,#1417,#1418,#1419,#1420,#1421,#1422,#1423,#1424, #1425,#1426,#1427,#1428,#1429,#1430,#1431,#1432,#1433,#1434,#1435,#1436, #1437,#1438,#1439,#1440,#1441,#1442,#1443,#1444,#1445,#1446,#1447,#1448, #1449,#1450,#1451,#1452,#1453,#1454,#1455,#1456,#1457,#1458,#1459),#2743, .F.); #28334=ADVANCED_FACE('',(#3834,#1460,#1461,#1462,#1463,#1464,#1465,#1466), #2744,.F.); #28335=ADVANCED_FACE('',(#3835,#1467,#1468,#1469,#1470,#1471,#1472,#1473), #2745,.F.); #28336=ADVANCED_FACE('',(#3836),#2746,.T.); #28337=ADVANCED_FACE('',(#3837,#1474,#1475,#1476,#1477,#1478,#1479,#1480, #1481,#1482,#1483,#1484,#1485,#1486,#1487,#1488,#1489,#1490,#1491,#1492, #1493,#1494,#1495,#1496,#1497,#1498,#1499,#1500,#1501,#1502,#1503,#1504, #1505,#1506,#1507,#1508,#1509,#1510,#1511,#1512,#1513,#1514,#1515,#1516, #1517,#1518,#1519,#1520,#1521,#1522,#1523,#1524,#1525,#1526,#1527,#1528, #1529,#1530,#1531,#1532,#1533,#1534,#1535,#1536,#1537,#1538,#1539,#1540, #1541,#1542,#1543,#1544,#1545,#1546,#1547,#1548,#1549,#1550,#1551,#1552, #1553,#1554,#1555,#1556,#1557,#1558,#1559,#1560,#1561,#1562,#1563,#1564, #1565,#1566,#1567,#1568,#1569,#1570,#1571,#1572,#1573,#1574,#1575,#1576, #1577,#1578,#1579,#1580,#1581,#1582,#1583,#1584,#1585,#1586,#1587,#1588, #1589,#1590,#1591,#1592,#1593,#1594,#1595,#1596,#1597,#1598,#1599,#1600, #1601,#1602,#1603,#1604,#1605,#1606,#1607,#1608,#1609,#1610),#2747,.T.); #28338=ADVANCED_FACE('',(#3838),#26974,.F.); #28339=ADVANCED_FACE('',(#3839),#2748,.T.); #28340=ADVANCED_FACE('',(#3840),#26975,.F.); #28341=ADVANCED_FACE('',(#3841),#26976,.F.); #28342=ADVANCED_FACE('',(#3842),#26977,.F.); #28343=ADVANCED_FACE('',(#3843),#26978,.F.); #28344=ADVANCED_FACE('',(#3844),#2540,.F.); #28345=ADVANCED_FACE('',(#3845),#26979,.F.); #28346=ADVANCED_FACE('',(#3846),#2541,.F.); #28347=ADVANCED_FACE('',(#3847),#26980,.F.); #28348=ADVANCED_FACE('',(#3848),#2542,.F.); #28349=ADVANCED_FACE('',(#3849),#26981,.F.); #28350=ADVANCED_FACE('',(#3850,#1611,#1612,#1613,#1614,#1615),#2749,.F.); #28351=ADVANCED_FACE('',(#3851,#1616,#1617,#1618,#1619),#2750,.T.); #28352=ADVANCED_FACE('',(#3852),#26982,.F.); #28353=ADVANCED_FACE('',(#3853),#26983,.F.); #28354=ADVANCED_FACE('',(#3854),#26984,.F.); #28355=ADVANCED_FACE('',(#3855),#26985,.F.); #28356=ADVANCED_FACE('',(#3856),#26986,.F.); #28357=ADVANCED_FACE('',(#3857,#1620,#1621,#1622),#2751,.F.); #28358=ADVANCED_FACE('',(#3858,#1623,#1624,#1625,#1626),#2752,.T.); #28359=ADVANCED_FACE('',(#3859),#2543,.F.); #28360=ADVANCED_FACE('',(#3860),#26987,.F.); #28361=ADVANCED_FACE('',(#3861),#2544,.F.); #28362=ADVANCED_FACE('',(#3862),#26988,.F.); #28363=ADVANCED_FACE('',(#3863),#26989,.F.); #28364=ADVANCED_FACE('',(#3864),#2545,.F.); #28365=ADVANCED_FACE('',(#3865,#1627,#1628,#1629),#2753,.T.); #28366=ADVANCED_FACE('',(#3866,#1630,#1631,#1632,#1633,#1634,#1635),#2754, .T.); #28367=ADVANCED_FACE('',(#3867),#2755,.T.); #28368=ADVANCED_FACE('',(#3868,#1636),#2756,.T.); #28369=ADVANCED_FACE('',(#3869),#26990,.F.); #28370=ADVANCED_FACE('',(#3870),#26991,.F.); #28371=ADVANCED_FACE('',(#3871,#1637),#2757,.T.); #28372=ADVANCED_FACE('',(#3872),#26992,.F.); #28373=ADVANCED_FACE('',(#3873),#26993,.F.); #28374=ADVANCED_FACE('',(#3874,#1638),#2758,.T.); #28375=ADVANCED_FACE('',(#3875),#26994,.F.); #28376=ADVANCED_FACE('',(#3876),#26995,.F.); #28377=ADVANCED_FACE('',(#3877,#1639),#2759,.T.); #28378=ADVANCED_FACE('',(#3878),#26996,.F.); #28379=ADVANCED_FACE('',(#3879),#26997,.F.); #28380=ADVANCED_FACE('',(#3880,#1640),#2760,.T.); #28381=ADVANCED_FACE('',(#3881),#26998,.F.); #28382=ADVANCED_FACE('',(#3882),#26999,.F.); #28383=ADVANCED_FACE('',(#3883,#1641),#2761,.T.); #28384=ADVANCED_FACE('',(#3884),#27000,.F.); #28385=ADVANCED_FACE('',(#3885),#27001,.F.); #28386=ADVANCED_FACE('',(#3886,#1642),#2762,.T.); #28387=ADVANCED_FACE('',(#3887),#27002,.F.); #28388=ADVANCED_FACE('',(#3888),#27003,.F.); #28389=ADVANCED_FACE('',(#3889,#1643),#2763,.T.); #28390=ADVANCED_FACE('',(#3890),#27004,.F.); #28391=ADVANCED_FACE('',(#3891),#27005,.F.); #28392=ADVANCED_FACE('',(#3892,#1644),#2764,.T.); #28393=ADVANCED_FACE('',(#3893),#27006,.F.); #28394=ADVANCED_FACE('',(#3894),#27007,.F.); #28395=ADVANCED_FACE('',(#3895,#1645),#2765,.T.); #28396=ADVANCED_FACE('',(#3896),#27008,.F.); #28397=ADVANCED_FACE('',(#3897),#27009,.F.); #28398=ADVANCED_FACE('',(#3898),#2766,.T.); #28399=ADVANCED_FACE('',(#3899),#2767,.T.); #28400=ADVANCED_FACE('',(#3900),#2768,.T.); #28401=ADVANCED_FACE('',(#3901,#1646,#1647,#1648,#1649,#1650,#1651,#1652, #1653,#1654,#1655),#2769,.T.); #28402=ADVANCED_FACE('',(#3902,#1656,#1657,#1658,#1659,#1660,#1661,#1662, #1663,#1664,#1665),#2770,.F.); #28403=ADVANCED_FACE('',(#3903),#2546,.F.); #28404=ADVANCED_FACE('',(#3904),#27010,.F.); #28405=ADVANCED_FACE('',(#3905),#2547,.F.); #28406=ADVANCED_FACE('',(#3906),#27011,.F.); #28407=ADVANCED_FACE('',(#3907),#2548,.F.); #28408=ADVANCED_FACE('',(#3908),#27012,.F.); #28409=ADVANCED_FACE('',(#3909),#2549,.F.); #28410=ADVANCED_FACE('',(#3910),#27013,.F.); #28411=ADVANCED_FACE('',(#3911),#2550,.F.); #28412=ADVANCED_FACE('',(#3912),#27014,.F.); #28413=ADVANCED_FACE('',(#3913),#2551,.F.); #28414=ADVANCED_FACE('',(#3914),#27015,.F.); #28415=ADVANCED_FACE('',(#3915),#2552,.F.); #28416=ADVANCED_FACE('',(#3916),#27016,.F.); #28417=ADVANCED_FACE('',(#3917),#2553,.F.); #28418=ADVANCED_FACE('',(#3918),#27017,.F.); #28419=ADVANCED_FACE('',(#3919),#2554,.F.); #28420=ADVANCED_FACE('',(#3920),#27018,.F.); #28421=ADVANCED_FACE('',(#3921),#2555,.F.); #28422=ADVANCED_FACE('',(#3922),#27019,.F.); #28423=ADVANCED_FACE('',(#3923),#2556,.F.); #28424=ADVANCED_FACE('',(#3924),#27020,.F.); #28425=ADVANCED_FACE('',(#3925),#2557,.F.); #28426=ADVANCED_FACE('',(#3926),#27021,.F.); #28427=ADVANCED_FACE('',(#3927),#2558,.F.); #28428=ADVANCED_FACE('',(#3928),#27022,.F.); #28429=ADVANCED_FACE('',(#3929),#2559,.F.); #28430=ADVANCED_FACE('',(#3930),#27023,.F.); #28431=ADVANCED_FACE('',(#3931),#2560,.F.); #28432=ADVANCED_FACE('',(#3932),#27024,.F.); #28433=ADVANCED_FACE('',(#3933),#2561,.F.); #28434=ADVANCED_FACE('',(#3934),#27025,.F.); #28435=ADVANCED_FACE('',(#3935),#2562,.F.); #28436=ADVANCED_FACE('',(#3936),#27026,.F.); #28437=ADVANCED_FACE('',(#3937),#2563,.F.); #28438=ADVANCED_FACE('',(#3938),#27027,.F.); #28439=ADVANCED_FACE('',(#3939),#2564,.F.); #28440=ADVANCED_FACE('',(#3940),#27028,.F.); #28441=ADVANCED_FACE('',(#3941),#2565,.F.); #28442=ADVANCED_FACE('',(#3942),#27029,.F.); #28443=ADVANCED_FACE('',(#3943),#2566,.F.); #28444=ADVANCED_FACE('',(#3944),#27030,.F.); #28445=ADVANCED_FACE('',(#3945),#2567,.F.); #28446=ADVANCED_FACE('',(#3946),#27031,.F.); #28447=ADVANCED_FACE('',(#3947),#2568,.F.); #28448=ADVANCED_FACE('',(#3948),#27032,.F.); #28449=ADVANCED_FACE('',(#3949),#2569,.F.); #28450=ADVANCED_FACE('',(#3950),#27033,.F.); #28451=ADVANCED_FACE('',(#3951),#2570,.F.); #28452=ADVANCED_FACE('',(#3952),#27034,.F.); #28453=ADVANCED_FACE('',(#3953),#2571,.F.); #28454=ADVANCED_FACE('',(#3954),#27035,.F.); #28455=ADVANCED_FACE('',(#3955),#2572,.F.); #28456=ADVANCED_FACE('',(#3956),#27036,.F.); #28457=ADVANCED_FACE('',(#3957),#2573,.F.); #28458=ADVANCED_FACE('',(#3958),#27037,.F.); #28459=ADVANCED_FACE('',(#3959),#2574,.F.); #28460=ADVANCED_FACE('',(#3960),#27038,.F.); #28461=ADVANCED_FACE('',(#3961),#2575,.F.); #28462=ADVANCED_FACE('',(#3962),#27039,.F.); #28463=ADVANCED_FACE('',(#3963),#2576,.F.); #28464=ADVANCED_FACE('',(#3964),#27040,.F.); #28465=ADVANCED_FACE('',(#3965),#2577,.F.); #28466=ADVANCED_FACE('',(#3966),#27041,.F.); #28467=ADVANCED_FACE('',(#3967),#2578,.F.); #28468=ADVANCED_FACE('',(#3968),#27042,.F.); #28469=ADVANCED_FACE('',(#3969),#2579,.F.); #28470=ADVANCED_FACE('',(#3970),#27043,.F.); #28471=ADVANCED_FACE('',(#3971),#2580,.F.); #28472=ADVANCED_FACE('',(#3972),#27044,.F.); #28473=ADVANCED_FACE('',(#3973),#2581,.F.); #28474=ADVANCED_FACE('',(#3974),#27045,.F.); #28475=ADVANCED_FACE('',(#3975,#1666,#1667,#1668,#1669,#1670,#1671),#2771, .F.); #28476=ADVANCED_FACE('',(#3976,#1672,#1673),#2772,.T.); #28477=ADVANCED_FACE('',(#3977,#1674,#1675,#1676,#1677,#1678,#1679),#2773, .F.); #28478=ADVANCED_FACE('',(#3978),#2774,.T.); #28479=ADVANCED_FACE('',(#3979,#1680,#1681,#1682,#1683,#1684,#1685,#1686, #1687,#1688),#2775,.F.); #28480=ADVANCED_FACE('',(#3980,#1689,#1690,#1691,#1692),#2776,.T.); #28481=ADVANCED_FACE('',(#3981,#1693,#1694,#1695,#1696,#1697,#1698,#1699, #1700,#1701),#2777,.F.); #28482=ADVANCED_FACE('',(#3982),#27046,.F.); #28483=ADVANCED_FACE('',(#3983),#2778,.T.); #28484=ADVANCED_FACE('',(#3984),#2779,.T.); #28485=ADVANCED_FACE('',(#3985),#2780,.F.); #28486=ADVANCED_FACE('',(#3986),#2781,.F.); #28487=ADVANCED_FACE('',(#3987),#2582,.F.); #28488=ADVANCED_FACE('',(#3988),#27047,.F.); #28489=ADVANCED_FACE('',(#3989),#2583,.F.); #28490=ADVANCED_FACE('',(#3990),#27048,.F.); #28491=ADVANCED_FACE('',(#3991),#2584,.F.); #28492=ADVANCED_FACE('',(#3992),#27049,.F.); #28493=ADVANCED_FACE('',(#3993),#2585,.F.); #28494=ADVANCED_FACE('',(#3994),#27050,.F.); #28495=ADVANCED_FACE('',(#3995),#2586,.F.); #28496=ADVANCED_FACE('',(#3996),#27051,.F.); #28497=ADVANCED_FACE('',(#3997),#27052,.F.); #28498=ADVANCED_FACE('',(#3998),#27053,.F.); #28499=ADVANCED_FACE('',(#3999),#27054,.F.); #28500=ADVANCED_FACE('',(#4000),#27055,.F.); #28501=ADVANCED_FACE('',(#4001),#27056,.F.); #28502=ADVANCED_FACE('',(#4002),#27057,.F.); #28503=ADVANCED_FACE('',(#4003),#27058,.F.); #28504=ADVANCED_FACE('',(#4004),#27059,.F.); #28505=ADVANCED_FACE('',(#4005),#27060,.F.); #28506=ADVANCED_FACE('',(#4006),#27061,.F.); #28507=ADVANCED_FACE('',(#4007),#27062,.F.); #28508=ADVANCED_FACE('',(#4008),#27063,.F.); #28509=ADVANCED_FACE('',(#4009),#27064,.F.); #28510=ADVANCED_FACE('',(#4010),#27065,.F.); #28511=ADVANCED_FACE('',(#4011),#27066,.F.); #28512=ADVANCED_FACE('',(#4012),#27067,.F.); #28513=ADVANCED_FACE('',(#4013),#27068,.F.); #28514=ADVANCED_FACE('',(#4014,#1702,#1703,#1704,#1705,#1706,#1707,#1708, #1709,#1710,#1711,#1712,#1713,#1714,#1715,#1716,#1717,#1718),#2782,.T.); #28515=ADVANCED_FACE('',(#4015,#1719,#1720,#1721,#1722,#1723),#2783,.F.); #28516=ADVANCED_FACE('',(#4016),#2784,.F.); #28517=ADVANCED_FACE('',(#4017),#27069,.T.); #28518=ADVANCED_FACE('',(#4018,#1724,#1725,#1726,#1727,#1728,#1729,#1730, #1731),#2785,.F.); #28519=ADVANCED_FACE('',(#4019),#2786,.T.); #28520=ADVANCED_FACE('',(#4020,#1732,#1733,#1734,#1735,#1736,#1737,#1738, #1739,#1740),#2787,.T.); #28521=ADVANCED_FACE('',(#4021),#2788,.F.); #28522=ADVANCED_FACE('',(#4022),#2587,.F.); #28523=ADVANCED_FACE('',(#4023),#27070,.F.); #28524=ADVANCED_FACE('',(#4024),#2588,.F.); #28525=ADVANCED_FACE('',(#4025),#27071,.F.); #28526=ADVANCED_FACE('',(#4026),#2589,.F.); #28527=ADVANCED_FACE('',(#4027),#27072,.F.); #28528=ADVANCED_FACE('',(#4028),#2590,.F.); #28529=ADVANCED_FACE('',(#4029),#27073,.F.); #28530=ADVANCED_FACE('',(#4030),#2591,.F.); #28531=ADVANCED_FACE('',(#4031),#27074,.F.); #28532=ADVANCED_FACE('',(#4032),#2592,.F.); #28533=ADVANCED_FACE('',(#4033),#27075,.F.); #28534=ADVANCED_FACE('',(#4034),#2593,.F.); #28535=ADVANCED_FACE('',(#4035),#27076,.F.); #28536=ADVANCED_FACE('',(#4036),#27077,.F.); #28537=ADVANCED_FACE('',(#4037),#27078,.F.); #28538=ADVANCED_FACE('',(#4038),#27079,.F.); #28539=ADVANCED_FACE('',(#4039),#27080,.F.); #28540=ADVANCED_FACE('',(#4040),#27081,.F.); #28541=ADVANCED_FACE('',(#4041),#27082,.F.); #28542=ADVANCED_FACE('',(#4042),#27083,.F.); #28543=ADVANCED_FACE('',(#4043),#27084,.F.); #28544=ADVANCED_FACE('',(#4044),#27085,.F.); #28545=ADVANCED_FACE('',(#4045),#27086,.F.); #28546=ADVANCED_FACE('',(#4046),#27087,.F.); #28547=ADVANCED_FACE('',(#4047),#27088,.F.); #28548=ADVANCED_FACE('',(#4048),#27089,.F.); #28549=ADVANCED_FACE('',(#4049),#27090,.F.); #28550=ADVANCED_FACE('',(#4050),#27091,.F.); #28551=ADVANCED_FACE('',(#4051),#27092,.F.); #28552=ADVANCED_FACE('',(#4052),#2789,.T.); #28553=ADVANCED_FACE('',(#4053),#2790,.T.); #28554=ADVANCED_FACE('',(#4054,#1741,#1742,#1743,#1744,#1745,#1746,#1747, #1748),#2791,.F.); #28555=ADVANCED_FACE('',(#4055),#27093,.T.); #28556=ADVANCED_FACE('',(#4056,#1749,#1750,#1751,#1752,#1753,#1754,#1755, #1756),#2792,.T.); #28557=ADVANCED_FACE('',(#4057),#2793,.F.); #28558=ADVANCED_FACE('',(#4058,#1757,#1758,#1759,#1760,#1761,#1762,#1763), #2794,.F.); #28559=ADVANCED_FACE('',(#4059),#2795,.F.); #28560=ADVANCED_FACE('',(#4060,#1764,#1765,#1766,#1767,#1768,#1769,#1770, #1771),#2796,.F.); #28561=ADVANCED_FACE('',(#4061),#2797,.T.); #28562=ADVANCED_FACE('',(#4062,#1772,#1773,#1774,#1775,#1776,#1777,#1778, #1779),#2798,.T.); #28563=ADVANCED_FACE('',(#4063),#2799,.T.); #28564=ADVANCED_FACE('',(#4064),#2594,.F.); #28565=ADVANCED_FACE('',(#4065),#27094,.F.); #28566=ADVANCED_FACE('',(#4066),#2595,.F.); #28567=ADVANCED_FACE('',(#4067),#27095,.F.); #28568=ADVANCED_FACE('',(#4068),#2596,.F.); #28569=ADVANCED_FACE('',(#4069),#27096,.F.); #28570=ADVANCED_FACE('',(#4070),#2597,.F.); #28571=ADVANCED_FACE('',(#4071),#27097,.F.); #28572=ADVANCED_FACE('',(#4072),#2598,.F.); #28573=ADVANCED_FACE('',(#4073),#27098,.F.); #28574=ADVANCED_FACE('',(#4074),#27099,.F.); #28575=ADVANCED_FACE('',(#4075),#27100,.F.); #28576=ADVANCED_FACE('',(#4076),#27101,.F.); #28577=ADVANCED_FACE('',(#4077),#27102,.F.); #28578=ADVANCED_FACE('',(#4078),#27103,.F.); #28579=ADVANCED_FACE('',(#4079),#27104,.F.); #28580=ADVANCED_FACE('',(#4080),#27105,.F.); #28581=ADVANCED_FACE('',(#4081),#27106,.F.); #28582=ADVANCED_FACE('',(#4082),#27107,.F.); #28583=ADVANCED_FACE('',(#4083),#27108,.F.); #28584=ADVANCED_FACE('',(#4084),#27109,.F.); #28585=ADVANCED_FACE('',(#4085),#27110,.F.); #28586=ADVANCED_FACE('',(#4086),#27111,.F.); #28587=ADVANCED_FACE('',(#4087),#27112,.F.); #28588=ADVANCED_FACE('',(#4088),#27113,.F.); #28589=ADVANCED_FACE('',(#4089),#27114,.F.); #28590=ADVANCED_FACE('',(#4090),#27115,.F.); #28591=ADVANCED_FACE('',(#4091),#2800,.T.); #28592=ADVANCED_FACE('',(#4092,#1780,#1781,#1782,#1783,#1784,#1785,#1786, #1787),#2801,.F.); #28593=ADVANCED_FACE('',(#4093),#2802,.F.); #28594=ADVANCED_FACE('',(#4094,#1788,#1789,#1790,#1791,#1792,#1793,#1794, #1795,#1796,#1797,#1798,#1799,#1800,#1801,#1802,#1803,#1804),#2803,.T.); #28595=ADVANCED_FACE('',(#4095,#1805,#1806,#1807,#1808,#1809),#2804,.F.); #28596=ADVANCED_FACE('',(#4096),#27116,.T.); #28597=ADVANCED_FACE('',(#4097),#2805,.F.); #28598=ADVANCED_FACE('',(#4098,#1810,#1811,#1812,#1813,#1814,#1815,#1816, #1817,#1818),#2806,.T.); #28599=ADVANCED_FACE('',(#4099),#2807,.T.); #28600=ADVANCED_FACE('',(#4100),#27117,.F.); #28601=ADVANCED_FACE('',(#4101),#27118,.T.); #28602=ADVANCED_FACE('',(#4102),#27119,.F.); #28603=ADVANCED_FACE('',(#4103),#27120,.F.); #28604=ADVANCED_FACE('',(#4104),#2808,.T.); #28605=ADVANCED_FACE('',(#4105),#2809,.T.); #28606=ADVANCED_FACE('',(#4106),#27121,.T.); #28607=ADVANCED_FACE('',(#4107,#1819,#1820),#2810,.T.); #28608=ADVANCED_FACE('',(#4108,#1821,#1822),#2811,.F.); #28609=ADVANCED_FACE('',(#4109),#2599,.F.); #28610=ADVANCED_FACE('',(#4110),#27122,.F.); #28611=ADVANCED_FACE('',(#4111),#2600,.F.); #28612=ADVANCED_FACE('',(#4112),#27123,.F.); #28613=ADVANCED_FACE('',(#4113),#2601,.F.); #28614=ADVANCED_FACE('',(#4114),#27124,.F.); #28615=ADVANCED_FACE('',(#4115),#2602,.F.); #28616=ADVANCED_FACE('',(#4116),#27125,.F.); #28617=ADVANCED_FACE('',(#4117),#2603,.F.); #28618=ADVANCED_FACE('',(#4118),#27126,.F.); #28619=ADVANCED_FACE('',(#4119),#2604,.F.); #28620=ADVANCED_FACE('',(#4120),#27127,.F.); #28621=ADVANCED_FACE('',(#4121),#2605,.F.); #28622=ADVANCED_FACE('',(#4122),#27128,.F.); #28623=ADVANCED_FACE('',(#4123),#2606,.F.); #28624=ADVANCED_FACE('',(#4124),#27129,.F.); #28625=ADVANCED_FACE('',(#4125),#2607,.F.); #28626=ADVANCED_FACE('',(#4126),#27130,.F.); #28627=ADVANCED_FACE('',(#4127),#2608,.F.); #28628=ADVANCED_FACE('',(#4128),#27131,.F.); #28629=ADVANCED_FACE('',(#4129),#2609,.F.); #28630=ADVANCED_FACE('',(#4130),#27132,.F.); #28631=ADVANCED_FACE('',(#4131),#2610,.F.); #28632=ADVANCED_FACE('',(#4132),#27133,.F.); #28633=ADVANCED_FACE('',(#4133),#2611,.F.); #28634=ADVANCED_FACE('',(#4134),#27134,.F.); #28635=ADVANCED_FACE('',(#4135),#2612,.F.); #28636=ADVANCED_FACE('',(#4136),#27135,.F.); #28637=ADVANCED_FACE('',(#4137),#2613,.F.); #28638=ADVANCED_FACE('',(#4138),#27136,.F.); #28639=ADVANCED_FACE('',(#4139),#2614,.F.); #28640=ADVANCED_FACE('',(#4140),#27137,.F.); #28641=ADVANCED_FACE('',(#4141),#2615,.F.); #28642=ADVANCED_FACE('',(#4142),#27138,.F.); #28643=ADVANCED_FACE('',(#4143),#2616,.F.); #28644=ADVANCED_FACE('',(#4144),#27139,.F.); #28645=ADVANCED_FACE('',(#4145),#2617,.F.); #28646=ADVANCED_FACE('',(#4146),#27140,.F.); #28647=ADVANCED_FACE('',(#4147),#2618,.F.); #28648=ADVANCED_FACE('',(#4148),#27141,.F.); #28649=ADVANCED_FACE('',(#4149),#2619,.F.); #28650=ADVANCED_FACE('',(#4150),#27142,.F.); #28651=ADVANCED_FACE('',(#4151),#2620,.F.); #28652=ADVANCED_FACE('',(#4152),#27143,.F.); #28653=ADVANCED_FACE('',(#4153),#2621,.F.); #28654=ADVANCED_FACE('',(#4154),#27144,.F.); #28655=ADVANCED_FACE('',(#4155),#2622,.F.); #28656=ADVANCED_FACE('',(#4156),#27145,.F.); #28657=ADVANCED_FACE('',(#4157),#2623,.F.); #28658=ADVANCED_FACE('',(#4158),#27146,.F.); #28659=ADVANCED_FACE('',(#4159),#27147,.F.); #28660=ADVANCED_FACE('',(#4160,#1823,#1824,#1825,#1826,#1827,#1828,#1829, #1830,#1831,#1832,#1833,#1834,#1835,#1836,#1837,#1838,#1839,#1840,#1841, #1842,#1843),#2812,.F.); #28661=ADVANCED_FACE('',(#4161),#2813,.F.); #28662=ADVANCED_FACE('',(#4162),#27148,.F.); #28663=ADVANCED_FACE('',(#4163),#2814,.F.); #28664=ADVANCED_FACE('',(#4164,#1844,#1845,#1846,#1847,#1848,#1849,#1850, #1851,#1852,#1853,#1854,#1855),#2815,.T.); #28665=ADVANCED_FACE('',(#4165),#2816,.F.); #28666=ADVANCED_FACE('',(#4166),#2817,.F.); #28667=ADVANCED_FACE('',(#4167),#2818,.F.); #28668=ADVANCED_FACE('',(#4168),#2819,.F.); #28669=ADVANCED_FACE('',(#4169,#1856,#1857,#1858,#1859,#1860,#1861,#1862, #1863),#2820,.T.); #28670=ADVANCED_FACE('',(#4170),#2821,.T.); #28671=ADVANCED_FACE('',(#4171),#27149,.F.); #28672=ADVANCED_FACE('',(#4172),#27150,.F.); #28673=ADVANCED_FACE('',(#4173),#27151,.F.); #28674=ADVANCED_FACE('',(#4174),#27152,.F.); #28675=ADVANCED_FACE('',(#4175),#27153,.F.); #28676=ADVANCED_FACE('',(#4176),#27154,.F.); #28677=ADVANCED_FACE('',(#4177),#27155,.F.); #28678=ADVANCED_FACE('',(#4178),#27156,.F.); #28679=ADVANCED_FACE('',(#4179),#27157,.F.); #28680=ADVANCED_FACE('',(#4180),#27158,.F.); #28681=ADVANCED_FACE('',(#4181),#27159,.F.); #28682=ADVANCED_FACE('',(#4182),#27160,.F.); #28683=ADVANCED_FACE('',(#4183),#27161,.T.); #28684=ADVANCED_FACE('',(#4184,#1864,#1865,#1866,#1867,#1868,#1869,#1870, #1871,#1872,#1873,#1874,#1875,#1876,#1877,#1878,#1879,#1880,#1881,#1882, #1883,#1884,#1885,#1886,#1887,#1888,#1889,#1890,#1891,#1892,#1893,#1894, #1895,#1896,#1897,#1898,#1899,#1900,#1901,#1902,#1903,#1904,#1905,#1906, #1907,#1908,#1909,#1910,#1911,#1912,#1913,#1914,#1915,#1916,#1917,#1918, #1919,#1920,#1921,#1922,#1923,#1924,#1925,#1926,#1927,#1928,#1929),#2822, .F.); #28685=ADVANCED_FACE('',(#4185),#27162,.F.); #28686=ADVANCED_FACE('',(#4186),#27163,.F.); #28687=ADVANCED_FACE('',(#4187),#27164,.F.); #28688=ADVANCED_FACE('',(#4188),#27165,.F.); #28689=ADVANCED_FACE('',(#4189),#27166,.F.); #28690=ADVANCED_FACE('',(#4190),#27167,.F.); #28691=ADVANCED_FACE('',(#4191),#27168,.F.); #28692=ADVANCED_FACE('',(#4192),#27169,.F.); #28693=ADVANCED_FACE('',(#4193),#27170,.F.); #28694=ADVANCED_FACE('',(#4194),#27171,.F.); #28695=ADVANCED_FACE('',(#4195),#27172,.F.); #28696=ADVANCED_FACE('',(#4196),#27173,.F.); #28697=ADVANCED_FACE('',(#4197),#27174,.F.); #28698=ADVANCED_FACE('',(#4198),#27175,.F.); #28699=ADVANCED_FACE('',(#4199),#27176,.F.); #28700=ADVANCED_FACE('',(#4200),#27177,.F.); #28701=ADVANCED_FACE('',(#4201),#27178,.F.); #28702=ADVANCED_FACE('',(#4202),#27179,.F.); #28703=ADVANCED_FACE('',(#4203),#27180,.F.); #28704=ADVANCED_FACE('',(#4204),#27181,.F.); #28705=ADVANCED_FACE('',(#4205),#27182,.F.); #28706=ADVANCED_FACE('',(#4206),#27183,.F.); #28707=ADVANCED_FACE('',(#4207),#27184,.F.); #28708=ADVANCED_FACE('',(#4208),#27185,.F.); #28709=ADVANCED_FACE('',(#4209),#27186,.F.); #28710=ADVANCED_FACE('',(#4210),#27187,.F.); #28711=ADVANCED_FACE('',(#4211),#27188,.F.); #28712=ADVANCED_FACE('',(#4212),#27189,.F.); #28713=ADVANCED_FACE('',(#4213),#27190,.F.); #28714=ADVANCED_FACE('',(#4214),#2823,.T.); #28715=ADVANCED_FACE('',(#4215),#27191,.F.); #28716=ADVANCED_FACE('',(#4216),#2824,.T.); #28717=ADVANCED_FACE('',(#4217),#2825,.T.); #28718=ADVANCED_FACE('',(#4218),#2826,.F.); #28719=ADVANCED_FACE('',(#4219),#2827,.F.); #28720=ADVANCED_FACE('',(#4220),#27192,.F.); #28721=ADVANCED_FACE('',(#4221),#27193,.F.); #28722=ADVANCED_FACE('',(#4222),#27194,.F.); #28723=ADVANCED_FACE('',(#4223),#27195,.F.); #28724=ADVANCED_FACE('',(#4224),#27196,.F.); #28725=ADVANCED_FACE('',(#4225),#27197,.F.); #28726=ADVANCED_FACE('',(#4226),#27198,.F.); #28727=ADVANCED_FACE('',(#4227),#27199,.F.); #28728=ADVANCED_FACE('',(#4228),#27200,.F.); #28729=ADVANCED_FACE('',(#4229),#27201,.F.); #28730=ADVANCED_FACE('',(#4230),#27202,.F.); #28731=ADVANCED_FACE('',(#4231),#27203,.F.); #28732=ADVANCED_FACE('',(#4232),#27204,.F.); #28733=ADVANCED_FACE('',(#4233),#27205,.F.); #28734=ADVANCED_FACE('',(#4234),#27206,.F.); #28735=ADVANCED_FACE('',(#4235),#27207,.F.); #28736=ADVANCED_FACE('',(#4236),#27208,.F.); #28737=ADVANCED_FACE('',(#4237),#27209,.F.); #28738=ADVANCED_FACE('',(#4238),#27210,.F.); #28739=ADVANCED_FACE('',(#4239),#27211,.F.); #28740=ADVANCED_FACE('',(#4240),#27212,.F.); #28741=ADVANCED_FACE('',(#4241),#27213,.F.); #28742=ADVANCED_FACE('',(#4242),#27214,.F.); #28743=ADVANCED_FACE('',(#4243),#27215,.F.); #28744=ADVANCED_FACE('',(#4244),#27216,.F.); #28745=ADVANCED_FACE('',(#4245),#27217,.F.); #28746=ADVANCED_FACE('',(#4246),#27218,.F.); #28747=ADVANCED_FACE('',(#4247),#27219,.F.); #28748=ADVANCED_FACE('',(#4248),#27220,.F.); #28749=ADVANCED_FACE('',(#4249),#27221,.F.); #28750=ADVANCED_FACE('',(#4250),#27222,.F.); #28751=ADVANCED_FACE('',(#4251),#27223,.F.); #28752=ADVANCED_FACE('',(#4252),#27224,.F.); #28753=ADVANCED_FACE('',(#4253),#27225,.F.); #28754=ADVANCED_FACE('',(#4254),#27226,.F.); #28755=ADVANCED_FACE('',(#4255),#27227,.F.); #28756=ADVANCED_FACE('',(#4256),#27228,.F.); #28757=ADVANCED_FACE('',(#4257),#27229,.F.); #28758=ADVANCED_FACE('',(#4258),#27230,.F.); #28759=ADVANCED_FACE('',(#4259),#27231,.F.); #28760=ADVANCED_FACE('',(#4260),#27232,.F.); #28761=ADVANCED_FACE('',(#4261),#27233,.F.); #28762=ADVANCED_FACE('',(#4262),#27234,.F.); #28763=ADVANCED_FACE('',(#4263),#27235,.F.); #28764=ADVANCED_FACE('',(#4264),#27236,.F.); #28765=ADVANCED_FACE('',(#4265),#27237,.F.); #28766=ADVANCED_FACE('',(#4266),#27238,.F.); #28767=ADVANCED_FACE('',(#4267),#27239,.F.); #28768=ADVANCED_FACE('',(#4268),#27240,.F.); #28769=ADVANCED_FACE('',(#4269),#27241,.F.); #28770=ADVANCED_FACE('',(#4270),#27242,.F.); #28771=ADVANCED_FACE('',(#4271),#27243,.F.); #28772=ADVANCED_FACE('',(#4272),#27244,.F.); #28773=ADVANCED_FACE('',(#4273),#27245,.F.); #28774=ADVANCED_FACE('',(#4274),#27246,.F.); #28775=ADVANCED_FACE('',(#4275),#27247,.F.); #28776=ADVANCED_FACE('',(#4276),#27248,.F.); #28777=ADVANCED_FACE('',(#4277),#27249,.F.); #28778=ADVANCED_FACE('',(#4278),#27250,.F.); #28779=ADVANCED_FACE('',(#4279),#27251,.F.); #28780=ADVANCED_FACE('',(#4280),#27252,.F.); #28781=ADVANCED_FACE('',(#4281),#27253,.F.); #28782=ADVANCED_FACE('',(#4282),#27254,.F.); #28783=ADVANCED_FACE('',(#4283),#27255,.F.); #28784=ADVANCED_FACE('',(#4284),#27256,.F.); #28785=ADVANCED_FACE('',(#4285),#27257,.F.); #28786=ADVANCED_FACE('',(#4286),#27258,.F.); #28787=ADVANCED_FACE('',(#4287),#27259,.F.); #28788=ADVANCED_FACE('',(#4288),#27260,.F.); #28789=ADVANCED_FACE('',(#4289),#27261,.F.); #28790=ADVANCED_FACE('',(#4290),#27262,.F.); #28791=ADVANCED_FACE('',(#4291),#27263,.F.); #28792=ADVANCED_FACE('',(#4292),#27264,.F.); #28793=ADVANCED_FACE('',(#4293),#27265,.F.); #28794=ADVANCED_FACE('',(#4294),#27266,.F.); #28795=ADVANCED_FACE('',(#4295),#27267,.F.); #28796=ADVANCED_FACE('',(#4296),#27268,.F.); #28797=ADVANCED_FACE('',(#4297),#27269,.F.); #28798=ADVANCED_FACE('',(#4298),#27270,.F.); #28799=ADVANCED_FACE('',(#4299),#27271,.F.); #28800=ADVANCED_FACE('',(#4300),#27272,.F.); #28801=ADVANCED_FACE('',(#4301),#27273,.F.); #28802=ADVANCED_FACE('',(#4302),#27274,.F.); #28803=ADVANCED_FACE('',(#4303),#27275,.F.); #28804=ADVANCED_FACE('',(#4304),#27276,.F.); #28805=ADVANCED_FACE('',(#4305),#27277,.F.); #28806=ADVANCED_FACE('',(#4306),#27278,.F.); #28807=ADVANCED_FACE('',(#4307),#27279,.F.); #28808=ADVANCED_FACE('',(#4308),#27280,.F.); #28809=ADVANCED_FACE('',(#4309),#27281,.F.); #28810=ADVANCED_FACE('',(#4310),#27282,.F.); #28811=ADVANCED_FACE('',(#4311),#27283,.F.); #28812=ADVANCED_FACE('',(#4312),#27284,.F.); #28813=ADVANCED_FACE('',(#4313),#27285,.F.); #28814=ADVANCED_FACE('',(#4314),#27286,.F.); #28815=ADVANCED_FACE('',(#4315),#27287,.F.); #28816=ADVANCED_FACE('',(#4316),#2866,.T.); #28817=ADVANCED_FACE('',(#4317),#2867,.T.); #28818=ADVANCED_FACE('',(#4318),#2868,.T.); #28819=ADVANCED_FACE('',(#4319),#27288,.T.); #28820=ADVANCED_FACE('',(#4320),#2869,.T.); #28821=ADVANCED_FACE('',(#4321),#2870,.T.); #28822=ADVANCED_FACE('',(#4322),#2871,.T.); #28823=ADVANCED_FACE('',(#4323),#27289,.T.); #28824=ADVANCED_FACE('',(#4324),#2828,.F.); #28825=ADVANCED_FACE('',(#4325),#27290,.F.); #28826=ADVANCED_FACE('',(#4326),#27291,.F.); #28827=ADVANCED_FACE('',(#4327),#27292,.F.); #28828=ADVANCED_FACE('',(#4328,#1930,#1931,#1932,#1933,#1934,#1935,#1936, #1937,#1938,#1939,#1940,#1941,#1942,#1943,#1944,#1945,#1946,#1947,#1948, #1949,#1950,#1951,#1952,#1953,#1954,#1955,#1956,#1957,#1958,#1959,#1960, #1961,#1962,#1963,#1964,#1965,#1966,#1967,#1968,#1969,#1970,#1971,#1972, #1973,#1974,#1975,#1976,#1977,#1978,#1979,#1980,#1981,#1982,#1983,#1984, #1985,#1986,#1987,#1988,#1989,#1990,#1991,#1992,#1993,#1994,#1995,#1996, #1997,#1998,#1999,#2000,#2001,#2002,#2003,#2004,#2005,#2006,#2007,#2008, #2009,#2010,#2011,#2012,#2013,#2014,#2015,#2016,#2017,#2018,#2019,#2020, #2021,#2022,#2023,#2024,#2025,#2026),#2829,.F.); #28829=ADVANCED_FACE('',(#4329),#2830,.F.); #28830=ADVANCED_FACE('',(#4330),#27293,.F.); #28831=ADVANCED_FACE('',(#4331),#27294,.T.); #28832=ADVANCED_FACE('',(#4332),#27295,.F.); #28833=ADVANCED_FACE('',(#4333),#2831,.T.); #28834=ADVANCED_FACE('',(#4334),#27296,.T.); #28835=ADVANCED_FACE('',(#4335),#2832,.T.); #28836=ADVANCED_FACE('',(#4336),#27297,.F.); #28837=ADVANCED_FACE('',(#4337,#2027,#2028,#2029,#2030,#2031,#2032,#2033, #2034,#2035,#2036,#2037,#2038,#2039,#2040,#2041,#2042,#2043,#2044,#2045, #2046,#2047,#2048,#2049,#2050,#2051,#2052,#2053,#2054,#2055,#2056,#2057, #2058,#2059,#2060,#2061,#2062,#2063,#2064,#2065,#2066,#2067,#2068,#2069, #2070,#2071,#2072,#2073,#2074,#2075,#2076,#2077,#2078,#2079,#2080,#2081, #2082,#2083,#2084,#2085,#2086,#2087,#2088,#2089,#2090,#2091,#2092,#2093, #2094,#2095,#2096,#2097,#2098,#2099,#2100,#2101,#2102,#2103,#2104,#2105, #2106,#2107,#2108,#2109,#2110,#2111,#2112,#2113,#2114,#2115,#2116,#2117, #2118,#2119,#2120,#2121,#2122,#2123),#2833,.T.); #28838=ADVANCED_FACE('',(#4338),#2624,.F.); #28839=ADVANCED_FACE('',(#4339),#27298,.F.); #28840=ADVANCED_FACE('',(#4340),#2625,.F.); #28841=ADVANCED_FACE('',(#4341),#27299,.F.); #28842=ADVANCED_FACE('',(#4342),#2626,.F.); #28843=ADVANCED_FACE('',(#4343),#27300,.F.); #28844=ADVANCED_FACE('',(#4344),#2627,.F.); #28845=ADVANCED_FACE('',(#4345),#27301,.F.); #28846=ADVANCED_FACE('',(#4346),#2628,.F.); #28847=ADVANCED_FACE('',(#4347),#27302,.F.); #28848=ADVANCED_FACE('',(#4348),#2629,.F.); #28849=ADVANCED_FACE('',(#4349),#27303,.F.); #28850=ADVANCED_FACE('',(#4350),#2630,.F.); #28851=ADVANCED_FACE('',(#4351),#27304,.F.); #28852=ADVANCED_FACE('',(#4352),#2631,.F.); #28853=ADVANCED_FACE('',(#4353),#27305,.F.); #28854=ADVANCED_FACE('',(#4354),#2632,.F.); #28855=ADVANCED_FACE('',(#4355),#27306,.F.); #28856=ADVANCED_FACE('',(#4356),#2633,.F.); #28857=ADVANCED_FACE('',(#4357),#27307,.F.); #28858=ADVANCED_FACE('',(#4358),#2634,.F.); #28859=ADVANCED_FACE('',(#4359),#27308,.F.); #28860=ADVANCED_FACE('',(#4360),#2635,.F.); #28861=ADVANCED_FACE('',(#4361),#27309,.F.); #28862=ADVANCED_FACE('',(#4362),#2636,.F.); #28863=ADVANCED_FACE('',(#4363),#27310,.F.); #28864=ADVANCED_FACE('',(#4364),#2637,.F.); #28865=ADVANCED_FACE('',(#4365),#27311,.F.); #28866=ADVANCED_FACE('',(#4366),#2638,.F.); #28867=ADVANCED_FACE('',(#4367),#27312,.F.); #28868=ADVANCED_FACE('',(#4368),#2639,.F.); #28869=ADVANCED_FACE('',(#4369),#27313,.F.); #28870=ADVANCED_FACE('',(#4370),#2640,.F.); #28871=ADVANCED_FACE('',(#4371),#27314,.F.); #28872=ADVANCED_FACE('',(#4372),#2641,.F.); #28873=ADVANCED_FACE('',(#4373),#27315,.F.); #28874=ADVANCED_FACE('',(#4374),#2642,.F.); #28875=ADVANCED_FACE('',(#4375),#27316,.F.); #28876=ADVANCED_FACE('',(#4376),#2643,.F.); #28877=ADVANCED_FACE('',(#4377),#27317,.F.); #28878=ADVANCED_FACE('',(#4378),#2644,.F.); #28879=ADVANCED_FACE('',(#4379),#27318,.F.); #28880=ADVANCED_FACE('',(#4380),#2645,.F.); #28881=ADVANCED_FACE('',(#4381),#27319,.F.); #28882=ADVANCED_FACE('',(#4382),#2646,.F.); #28883=ADVANCED_FACE('',(#4383),#27320,.F.); #28884=ADVANCED_FACE('',(#4384),#2647,.F.); #28885=ADVANCED_FACE('',(#4385),#27321,.F.); #28886=ADVANCED_FACE('',(#4386),#27322,.F.); #28887=ADVANCED_FACE('',(#4387),#27323,.F.); #28888=ADVANCED_FACE('',(#4388),#27324,.F.); #28889=ADVANCED_FACE('',(#4389),#27325,.F.); #28890=ADVANCED_FACE('',(#4390),#27326,.F.); #28891=ADVANCED_FACE('',(#4391),#27327,.F.); #28892=ADVANCED_FACE('',(#4392),#27328,.F.); #28893=ADVANCED_FACE('',(#4393),#27329,.F.); #28894=ADVANCED_FACE('',(#4394),#27330,.F.); #28895=ADVANCED_FACE('',(#4395),#27331,.F.); #28896=ADVANCED_FACE('',(#4396),#27332,.F.); #28897=ADVANCED_FACE('',(#4397),#27333,.F.); #28898=ADVANCED_FACE('',(#4398),#27334,.F.); #28899=ADVANCED_FACE('',(#4399),#27335,.F.); #28900=ADVANCED_FACE('',(#4400),#27336,.F.); #28901=ADVANCED_FACE('',(#4401),#27337,.F.); #28902=ADVANCED_FACE('',(#4402),#27338,.F.); #28903=ADVANCED_FACE('',(#4403),#27339,.F.); #28904=ADVANCED_FACE('',(#4404,#2124,#2125,#2126,#2127,#2128,#2129,#2130), #2834,.T.); #28905=ADVANCED_FACE('',(#4405,#2131,#2132,#2133,#2134,#2135,#2136,#2137, #2138,#2139,#2140,#2141,#2142,#2143,#2144,#2145,#2146,#2147,#2148),#2835, .T.); #28906=ADVANCED_FACE('',(#4406),#2836,.F.); #28907=ADVANCED_FACE('',(#4407,#2149,#2150,#2151,#2152,#2153,#2154,#2155), #2837,.F.); #28908=ADVANCED_FACE('',(#4408,#2156,#2157,#2158,#2159,#2160,#2161,#2162, #2163,#2164,#2165,#2166,#2167,#2168,#2169,#2170,#2171,#2172,#2173,#2174, #2175,#2176,#2177,#2178,#2179,#2180,#2181,#2182,#2183),#2838,.T.); #28909=ADVANCED_FACE('',(#4409),#2839,.F.); #28910=ADVANCED_FACE('',(#4410),#27340,.F.); #28911=ADVANCED_FACE('',(#4411),#27341,.F.); #28912=ADVANCED_FACE('',(#4412),#27342,.F.); #28913=ADVANCED_FACE('',(#4413),#27343,.F.); #28914=ADVANCED_FACE('',(#4414),#27344,.F.); #28915=ADVANCED_FACE('',(#4415),#27345,.F.); #28916=ADVANCED_FACE('',(#4416),#27346,.F.); #28917=ADVANCED_FACE('',(#4417),#27347,.F.); #28918=ADVANCED_FACE('',(#4418),#27348,.F.); #28919=ADVANCED_FACE('',(#4419),#27349,.F.); #28920=ADVANCED_FACE('',(#4420),#27350,.F.); #28921=ADVANCED_FACE('',(#4421),#27351,.F.); #28922=ADVANCED_FACE('',(#4422),#27352,.F.); #28923=ADVANCED_FACE('',(#4423),#27353,.F.); #28924=ADVANCED_FACE('',(#4424),#27354,.F.); #28925=ADVANCED_FACE('',(#4425),#27355,.F.); #28926=ADVANCED_FACE('',(#4426),#27356,.F.); #28927=ADVANCED_FACE('',(#4427),#27357,.F.); #28928=ADVANCED_FACE('',(#4428),#27358,.F.); #28929=ADVANCED_FACE('',(#4429),#27359,.F.); #28930=ADVANCED_FACE('',(#4430),#27360,.F.); #28931=ADVANCED_FACE('',(#4431),#27361,.F.); #28932=ADVANCED_FACE('',(#4432),#27362,.F.); #28933=ADVANCED_FACE('',(#4433),#27363,.F.); #28934=ADVANCED_FACE('',(#4434),#27364,.F.); #28935=ADVANCED_FACE('',(#4435),#27365,.F.); #28936=ADVANCED_FACE('',(#4436),#27366,.F.); #28937=ADVANCED_FACE('',(#4437),#27367,.F.); #28938=ADVANCED_FACE('',(#4438),#27368,.F.); #28939=ADVANCED_FACE('',(#4439),#27369,.F.); #28940=ADVANCED_FACE('',(#4440),#27370,.F.); #28941=ADVANCED_FACE('',(#4441),#27371,.F.); #28942=ADVANCED_FACE('',(#4442),#2840,.T.); #28943=ADVANCED_FACE('',(#4443),#2841,.T.); #28944=ADVANCED_FACE('',(#4444,#2184,#2185,#2186,#2187,#2188,#2189,#2190, #2191,#2192,#2193,#2194,#2195,#2196,#2197,#2198,#2199,#2200,#2201,#2202, #2203,#2204,#2205,#2206,#2207,#2208,#2209,#2210,#2211,#2212,#2213,#2214, #2215),#2842,.T.); #28945=ADVANCED_FACE('',(#4445),#2843,.F.); #28946=ADVANCED_FACE('',(#4446,#2216,#2217,#2218,#2219,#2220,#2221,#2222, #2223,#2224,#2225,#2226,#2227,#2228,#2229,#2230,#2231,#2232,#2233,#2234, #2235,#2236,#2237,#2238,#2239,#2240,#2241,#2242,#2243,#2244,#2245,#2246, #2247),#2844,.T.); #28947=ADVANCED_FACE('',(#4447),#2845,.T.); #28948=CLOSED_SHELL('',(#27372,#27373,#27374,#27375,#27376,#27377,#27378, #27379,#27380,#27381,#27382,#27383,#27384,#27385,#27386,#27387,#27388,#27389, #27390,#27391,#27392,#27393,#27394,#27395,#27396,#27397,#27398,#27399,#27400, #27401,#27402,#27403,#27404,#27405,#27406,#27407,#27408,#27409,#27410,#27411, #27412,#27413,#27414,#27415,#27416,#27417,#27418,#27419,#27420,#27421,#27422, #27423,#27424,#27425,#27426,#27427,#27428,#27429,#27430,#27431,#27432,#27433, #27434,#27435,#27436,#27437,#27438,#27439,#27440,#27441,#27442,#27443,#27444, #27445,#27446,#27447,#27448,#27449,#27450,#27451,#27452,#27453,#27454,#27455, #27456,#27457,#27458,#27459,#27460,#27461,#27462,#27463,#27464,#27465,#27466, #27467,#27468,#27469,#27470,#27471,#27472,#27473,#27474,#27475,#27476,#27477, #27478,#27479,#27480,#27481,#27482,#27483,#27484,#27485,#27486,#27487,#27488, #27489,#27490,#27491,#27492,#27493,#27494,#27495,#27496,#27497)); #28949=CLOSED_SHELL('',(#27498,#27499,#27500,#27501,#27502,#27503,#27504, #27505,#27506,#27507,#27508,#27509,#27510,#27511,#27512,#27513,#27514,#27515, #27516,#27517,#27518,#27519,#27520,#27521,#27522,#27523,#27524,#27525,#27526, #27527,#27528,#27529,#27530,#27531,#27532,#27533,#27534,#27535,#27536,#27537, #27538,#27539,#27540,#27541,#27542,#27543,#27544,#27545,#27546,#27547,#27548, #27549,#27550,#27551,#27552,#27553,#27554,#27555,#27556,#27557,#27558,#27559, #27560,#27561,#27562,#27563,#27564,#27565,#27566,#27567,#27568,#27569,#27570, #27571,#27572,#27573,#27574,#27575,#27576,#27577,#27578,#27579,#27580,#27581, #27582,#27583,#27584,#27585,#27586,#27587,#27588,#27589,#27590,#27591,#27592, #27593,#27594,#27595,#27596,#27597,#27598,#27599,#27600,#27601,#27602,#27603, #27604,#27605,#27606,#27607,#27608,#27609,#27610,#27611,#27612,#27613,#27614, #27615,#27616,#27617,#27618,#27619,#27620,#27621,#27622,#27623,#27624,#27625, #27626,#27627,#27628,#27629,#27630,#27631,#27632,#27633,#27634,#27635,#27636, #27637,#27638,#27639,#27640,#27641,#27642,#27643,#27644,#27645,#27646,#27647, #27648,#27649,#27650,#27651,#27652,#27653,#27654,#27655,#27656,#27657,#27658, #27659,#27660,#27661,#27662,#27663,#27664,#27665,#27666,#27667,#27668,#27669, #27670,#27671)); #28950=CLOSED_SHELL('',(#27672,#27673,#27674,#27675,#27676,#27677,#27678, #27679,#27680,#27681,#27682,#27683,#27684,#27685,#27686,#27687,#27688,#27689, #27690,#27691,#27692,#27693,#27694,#27695,#27696,#27697,#27698,#27699,#27700, #27701,#27702,#27703,#27704,#27705,#27706,#27707,#27708,#27709,#27710,#27711, #27712,#27713,#27714,#27715,#27716,#27717,#27718,#27719,#27720,#27721,#27722, #27723,#27724,#27725,#27726,#27727,#27728,#27729,#27730,#27731,#27732,#27733, #27734,#27735,#27736,#27737,#27738,#27739,#27740,#27741,#27742,#27743,#27744, #27745,#27746,#27747,#27748,#27749,#27750,#27751,#27752,#27753,#27754,#27755, #27756,#27757,#27758,#27759,#27760,#27761,#27762,#27763,#27764,#27765,#27766, #27767,#27768,#27769,#27770,#27771,#27772,#27773,#27774,#27775,#27776,#27777, #27778,#27779,#27780,#27781,#27782,#27783,#27784,#27785,#27786,#27787,#27788, #27789,#27790,#27791,#27792,#27793,#27794,#27795,#27796,#27797,#27798,#27799, #27800,#27801,#27802,#27803,#27804,#27805,#27806,#27807,#27808,#27809,#27810, #27811,#27812,#27813,#27814,#27815,#27816,#27817,#27818,#27819,#27820,#27821, #27822,#27823,#27824,#27825,#27826,#27827,#27828,#27829,#27830,#27831,#27832, #27833,#27834,#27835,#27836,#27837,#27838,#27839,#27840,#27841,#27842,#27843, #27844,#27845,#27846,#27847,#27848,#27849,#27850,#27851,#27852,#27853,#27854, #27855,#27856,#27857,#27858,#27859,#27860,#27861,#27862,#27863,#27864,#27865, #27866,#27867,#27868,#27869,#27870,#27871,#27872,#27873,#27874,#27875,#27876, #27877,#27878,#27879,#27880,#27881,#27882,#27883,#27884,#27885,#27886,#27887, #27888,#27889,#27890,#27891,#27892,#27893,#27894,#27895,#27896,#27897,#27898, #27899,#27900,#27901,#27902,#27903,#27904,#27905,#27906,#27907,#27908,#27909, #27910,#27911,#27912,#27913,#27914,#27915,#27916,#27917,#27918,#27919,#27920, #27921,#27922)); #28951=CLOSED_SHELL('',(#27923,#27924,#27925,#27926,#27927,#27928,#27929, #27930,#27931,#27932,#27933,#27934,#27935,#27936,#27937,#27938,#27939,#27940, #27941,#27942,#27943,#27944,#27945,#27946,#27947,#27948,#27949,#27950,#27951, #27952,#27953,#27954,#27955,#27956,#27957,#27958,#27959,#27960,#27961,#27962, #27963,#27964,#27965,#27966,#27967,#27968,#27969,#27970,#27971,#27972,#27973, #27974,#27975,#27976,#27977,#27978,#27979,#27980,#27981,#27982,#27983,#27984, #27985,#27986,#27987,#27988,#27989,#27990,#27991,#27992,#27993,#27994,#27995, #27996,#27997,#27998,#27999,#28000,#28001,#28002,#28003,#28004,#28005,#28006, #28007,#28008,#28009,#28010,#28011,#28012,#28013,#28014,#28015,#28016,#28017, #28018,#28019,#28020,#28021,#28022,#28023,#28024)); #28952=CLOSED_SHELL('',(#28025,#28026,#28027,#28028,#28029,#28030,#28031, #28032,#28033,#28034,#28035,#28036,#28037,#28038,#28039,#28040,#28041,#28042, #28043,#28044,#28045,#28046,#28047,#28048,#28049,#28050,#28051,#28052,#28053, #28054,#28055,#28056,#28057,#28058,#28059,#28060,#28061,#28062,#28063,#28064, #28065,#28066,#28067,#28068,#28069,#28070,#28071,#28072,#28073,#28074,#28075, #28076,#28077,#28078,#28079,#28080,#28081,#28082,#28083,#28084,#28085,#28086, #28087,#28088,#28089,#28090,#28091,#28092,#28093,#28094,#28095,#28096,#28097, #28098,#28099,#28100,#28101,#28102,#28103,#28104,#28105,#28106,#28107,#28108, #28109,#28110,#28111,#28112,#28113,#28114,#28115,#28116,#28117,#28118,#28119, #28120,#28121,#28122,#28123,#28124,#28125,#28126,#28127,#28128,#28129,#28130, #28131,#28132,#28133,#28134,#28135,#28136,#28137,#28138,#28139,#28140,#28141, #28142,#28143,#28144,#28145,#28146,#28147,#28148,#28149,#28150,#28151,#28152, #28153,#28154,#28155,#28156,#28157,#28158,#28159,#28160,#28161,#28162,#28163, #28164,#28165,#28166,#28167,#28168,#28169,#28170,#28171,#28172,#28173,#28174, #28175,#28176,#28177,#28178,#28179,#28180,#28181,#28182,#28183,#28184,#28185, #28186,#28187,#28188,#28189,#28190,#28191,#28192,#28193,#28194,#28195,#28196, #28197,#28198,#28199,#28200,#28201,#28202,#28203,#28204,#28205,#28206,#28207, #28208,#28209,#28210,#28211,#28212,#28213,#28214,#28215,#28216,#28217,#28218, #28219,#28220,#28221,#28222,#28223,#28224,#28225,#28226,#28227,#28228,#28229, #28230,#28231,#28232,#28233,#28234,#28235,#28236,#28237,#28238,#28239,#28240, #28241,#28242,#28243,#28244,#28245,#28246,#28247,#28248,#28249,#28250,#28251, #28252,#28253,#28254,#28255,#28256,#28257,#28258,#28259,#28260,#28261,#28262, #28263,#28264,#28265,#28266,#28267,#28268,#28269,#28270,#28271,#28272,#28273, #28274,#28275,#28276,#28277,#28278,#28279,#28280,#28281,#28282,#28283,#28284, #28285,#28286,#28287,#28288,#28289,#28290,#28291,#28292,#28293,#28294,#28295, #28296,#28297,#28298,#28299,#28300,#28301,#28302,#28303,#28304,#28305,#28306, #28307,#28308,#28309,#28310,#28311,#28312,#28313,#28314,#28315,#28316,#28317, #28318,#28319,#28320,#28321,#28322,#28323,#28324,#28325,#28326,#28327,#28328, #28329,#28330,#28331,#28332,#28333,#28334,#28335,#28336,#28337,#28338,#28339)); #28953=CLOSED_SHELL('',(#28340,#28341,#28342,#28343,#28344,#28345,#28346, #28347,#28348,#28349,#28350,#28351,#28352,#28353,#28354,#28355,#28356,#28357, #28358,#28359,#28360,#28361,#28362,#28363,#28364,#28365,#28366)); #28954=CLOSED_SHELL('',(#28367,#28368,#28369,#28370,#28371,#28372,#28373, #28374,#28375,#28376,#28377,#28378,#28379,#28380,#28381,#28382,#28383,#28384, #28385,#28386,#28387,#28388,#28389,#28390,#28391,#28392,#28393,#28394,#28395, #28396,#28397,#28398,#28399,#28400,#28401,#28402)); #28955=CLOSED_SHELL('',(#28403,#28404,#28405,#28406,#28407,#28408,#28409, #28410,#28411,#28412,#28413,#28414,#28415,#28416,#28417,#28418,#28419,#28420, #28421,#28422,#28423,#28424,#28425,#28426,#28427,#28428,#28429,#28430,#28431, #28432,#28433,#28434,#28435,#28436,#28437,#28438,#28439,#28440,#28441,#28442, #28443,#28444,#28445,#28446,#28447,#28448,#28449,#28450,#28451,#28452,#28453, #28454,#28455,#28456,#28457,#28458,#28459,#28460,#28461,#28462,#28463,#28464, #28465,#28466,#28467,#28468,#28469,#28470,#28471,#28472,#28473,#28474,#28475, #28476,#28477,#28478,#28479,#28480,#28481,#28482,#28483,#28484,#28485,#28486)); #28956=CLOSED_SHELL('',(#28487,#28488,#28489,#28490,#28491,#28492,#28493, #28494,#28495,#28496,#28497,#28498,#28499,#28500,#28501,#28502,#28503,#28504, #28505,#28506,#28507,#28508,#28509,#28510,#28511,#28512,#28513,#28514,#28515, #28516,#28517,#28518,#28519,#28520,#28521)); #28957=CLOSED_SHELL('',(#28522,#28523,#28524,#28525,#28526,#28527,#28528, #28529,#28530,#28531,#28532,#28533,#28534,#28535,#28536,#28537,#28538,#28539, #28540,#28541,#28542,#28543,#28544,#28545,#28546,#28547,#28548,#28549,#28550, #28551,#28552,#28553,#28554,#28555,#28556,#28557,#28558,#28559,#28560,#28561, #28562,#28563)); #28958=CLOSED_SHELL('',(#28564,#28565,#28566,#28567,#28568,#28569,#28570, #28571,#28572,#28573,#28574,#28575,#28576,#28577,#28578,#28579,#28580,#28581, #28582,#28583,#28584,#28585,#28586,#28587,#28588,#28589,#28590,#28591,#28592, #28593,#28594,#28595,#28596,#28597,#28598)); #28959=CLOSED_SHELL('',(#28599,#28600,#28601,#28602,#28603,#28604,#28605, #28606,#28607,#28608)); #28960=CLOSED_SHELL('',(#28609,#28610,#28611,#28612,#28613,#28614,#28615, #28616,#28617,#28618,#28619,#28620,#28621,#28622,#28623,#28624,#28625,#28626, #28627,#28628,#28629,#28630,#28631,#28632,#28633,#28634,#28635,#28636,#28637, #28638,#28639,#28640,#28641,#28642,#28643,#28644,#28645,#28646,#28647,#28648, #28649,#28650,#28651,#28652,#28653,#28654,#28655,#28656,#28657,#28658,#28659, #28660,#28661,#28662,#28663,#28664,#28665,#28666,#28667,#28668,#28669,#28670, #28671,#28672,#28673,#28674,#28675,#28676,#28677,#28678,#28679,#28680,#28681, #28682,#28683,#28684,#28685,#28686,#28687,#28688,#28689,#28690,#28691,#28692, #28693,#28694,#28695,#28696,#28697,#28698,#28699,#28700,#28701,#28702,#28703, #28704,#28705,#28706,#28707,#28708,#28709,#28710,#28711,#28712,#28713,#28714, #28715,#28716,#28717,#28718,#28719)); #28961=CLOSED_SHELL('',(#28720,#28721,#28722,#28723,#28724,#28725,#28726, #28727,#28728,#28729,#28730,#28731,#28732,#28733,#28734,#28735,#28736,#28737, #28738,#28739,#28740,#28741,#28742,#28743,#28744,#28745,#28746,#28747,#28748, #28749,#28750,#28751,#28752,#28753,#28754,#28755,#28756,#28757,#28758,#28759, #28760,#28761,#28762,#28763,#28764,#28765,#28766,#28767,#28768,#28769,#28770, #28771,#28772,#28773,#28774,#28775,#28776,#28777,#28778,#28779,#28780,#28781, #28782,#28783,#28784,#28785,#28786,#28787,#28788,#28789,#28790,#28791,#28792, #28793,#28794,#28795,#28796,#28797,#28798,#28799,#28800,#28801,#28802,#28803, #28804,#28805,#28806,#28807,#28808,#28809,#28810,#28811,#28812,#28813,#28814, #28815,#28816,#28817,#28818,#28819,#28820,#28821,#28822,#28823,#28824,#28825, #28826,#28827,#28828,#28829,#28830,#28831,#28832,#28833,#28834,#28835,#28836, #28837)); #28962=CLOSED_SHELL('',(#28838,#28839,#28840,#28841,#28842,#28843,#28844, #28845,#28846,#28847,#28848,#28849,#28850,#28851,#28852,#28853,#28854,#28855, #28856,#28857,#28858,#28859,#28860,#28861,#28862,#28863,#28864,#28865,#28866, #28867,#28868,#28869,#28870,#28871,#28872,#28873,#28874,#28875,#28876,#28877, #28878,#28879,#28880,#28881,#28882,#28883,#28884,#28885,#28886,#28887,#28888, #28889,#28890,#28891,#28892,#28893,#28894,#28895,#28896,#28897,#28898,#28899, #28900,#28901,#28902,#28903,#28904,#28905,#28906,#28907,#28908,#28909)); #28963=CLOSED_SHELL('',(#28910,#28911,#28912,#28913,#28914,#28915,#28916, #28917,#28918,#28919,#28920,#28921,#28922,#28923,#28924,#28925,#28926,#28927, #28928,#28929,#28930,#28931,#28932,#28933,#28934,#28935,#28936,#28937,#28938, #28939,#28940,#28941,#28942,#28943,#28944,#28945,#28946,#28947)); #28964=DERIVED_UNIT_ELEMENT(#28996,1.); #28965=DERIVED_UNIT_ELEMENT(#50554,-3.); #28966=DERIVED_UNIT_ELEMENT(#28996,1.); #28967=DERIVED_UNIT_ELEMENT(#50554,-3.); #28968=DERIVED_UNIT_ELEMENT(#28996,1.); #28969=DERIVED_UNIT_ELEMENT(#50554,-3.); #28970=DERIVED_UNIT_ELEMENT(#28996,1.); #28971=DERIVED_UNIT_ELEMENT(#50554,-3.); #28972=DERIVED_UNIT_ELEMENT(#28996,1.); #28973=DERIVED_UNIT_ELEMENT(#50554,-3.); #28974=DERIVED_UNIT_ELEMENT(#28996,1.); #28975=DERIVED_UNIT_ELEMENT(#50554,-3.); #28976=DERIVED_UNIT_ELEMENT(#28996,1.); #28977=DERIVED_UNIT_ELEMENT(#50554,-3.); #28978=DERIVED_UNIT_ELEMENT(#28996,1.); #28979=DERIVED_UNIT_ELEMENT(#50554,-3.); #28980=DERIVED_UNIT_ELEMENT(#28996,1.); #28981=DERIVED_UNIT_ELEMENT(#50554,-3.); #28982=DERIVED_UNIT_ELEMENT(#28996,1.); #28983=DERIVED_UNIT_ELEMENT(#50554,-3.); #28984=DERIVED_UNIT_ELEMENT(#28996,1.); #28985=DERIVED_UNIT_ELEMENT(#50554,-3.); #28986=DERIVED_UNIT_ELEMENT(#28996,1.); #28987=DERIVED_UNIT_ELEMENT(#50554,-3.); #28988=DERIVED_UNIT_ELEMENT(#28996,1.); #28989=DERIVED_UNIT_ELEMENT(#50554,-3.); #28990=DERIVED_UNIT_ELEMENT(#28996,1.); #28991=DERIVED_UNIT_ELEMENT(#50554,-3.); #28992=DERIVED_UNIT_ELEMENT(#28996,1.); #28993=DERIVED_UNIT_ELEMENT(#50554,-3.); #28994=DERIVED_UNIT_ELEMENT(#28996,1.); #28995=DERIVED_UNIT_ELEMENT(#50554,-3.); #28996=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT($,.GRAM.) ); #28997=DERIVED_UNIT((#28964,#28965)); #28998=DERIVED_UNIT((#28966,#28967)); #28999=DERIVED_UNIT((#28968,#28969)); #29000=DERIVED_UNIT((#28970,#28971)); #29001=DERIVED_UNIT((#28972,#28973)); #29002=DERIVED_UNIT((#28974,#28975)); #29003=DERIVED_UNIT((#28976,#28977)); #29004=DERIVED_UNIT((#28978,#28979)); #29005=DERIVED_UNIT((#28980,#28981)); #29006=DERIVED_UNIT((#28982,#28983)); #29007=DERIVED_UNIT((#28984,#28985)); #29008=DERIVED_UNIT((#28986,#28987)); #29009=DERIVED_UNIT((#28988,#28989)); #29010=DERIVED_UNIT((#28990,#28991)); #29011=DERIVED_UNIT((#28992,#28993)); #29012=DERIVED_UNIT((#28994,#28995)); #29013=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#28997); #29014=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#28998); #29015=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#28999); #29016=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29000); #29017=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29001); #29018=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29002); #29019=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29003); #29020=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29004); #29021=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29005); #29022=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29006); #29023=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29007); #29024=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29008); #29025=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29009); #29026=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29010); #29027=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29011); #29028=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(2.7),#29012); #29029=PROPERTY_DEFINITION_REPRESENTATION(#29109,#29061); #29030=PROPERTY_DEFINITION_REPRESENTATION(#29110,#29062); #29031=PROPERTY_DEFINITION_REPRESENTATION(#29111,#29063); #29032=PROPERTY_DEFINITION_REPRESENTATION(#29112,#29064); #29033=PROPERTY_DEFINITION_REPRESENTATION(#29113,#29065); #29034=PROPERTY_DEFINITION_REPRESENTATION(#29114,#29066); #29035=PROPERTY_DEFINITION_REPRESENTATION(#29115,#29067); #29036=PROPERTY_DEFINITION_REPRESENTATION(#29116,#29068); #29037=PROPERTY_DEFINITION_REPRESENTATION(#29117,#29069); #29038=PROPERTY_DEFINITION_REPRESENTATION(#29118,#29070); #29039=PROPERTY_DEFINITION_REPRESENTATION(#29119,#29071); #29040=PROPERTY_DEFINITION_REPRESENTATION(#29120,#29072); #29041=PROPERTY_DEFINITION_REPRESENTATION(#29121,#29073); #29042=PROPERTY_DEFINITION_REPRESENTATION(#29122,#29074); #29043=PROPERTY_DEFINITION_REPRESENTATION(#29123,#29075); #29044=PROPERTY_DEFINITION_REPRESENTATION(#29124,#29076); #29045=PROPERTY_DEFINITION_REPRESENTATION(#29125,#29077); #29046=PROPERTY_DEFINITION_REPRESENTATION(#29126,#29078); #29047=PROPERTY_DEFINITION_REPRESENTATION(#29127,#29079); #29048=PROPERTY_DEFINITION_REPRESENTATION(#29128,#29080); #29049=PROPERTY_DEFINITION_REPRESENTATION(#29129,#29081); #29050=PROPERTY_DEFINITION_REPRESENTATION(#29130,#29082); #29051=PROPERTY_DEFINITION_REPRESENTATION(#29131,#29083); #29052=PROPERTY_DEFINITION_REPRESENTATION(#29132,#29084); #29053=PROPERTY_DEFINITION_REPRESENTATION(#29133,#29085); #29054=PROPERTY_DEFINITION_REPRESENTATION(#29134,#29086); #29055=PROPERTY_DEFINITION_REPRESENTATION(#29135,#29087); #29056=PROPERTY_DEFINITION_REPRESENTATION(#29136,#29088); #29057=PROPERTY_DEFINITION_REPRESENTATION(#29137,#29089); #29058=PROPERTY_DEFINITION_REPRESENTATION(#29138,#29090); #29059=PROPERTY_DEFINITION_REPRESENTATION(#29139,#29091); #29060=PROPERTY_DEFINITION_REPRESENTATION(#29140,#29092); #29061=REPRESENTATION('material name',(#29093),#50534); #29062=REPRESENTATION('density',(#29013),#50534); #29063=REPRESENTATION('material name',(#29094),#50535); #29064=REPRESENTATION('density',(#29014),#50535); #29065=REPRESENTATION('material name',(#29095),#50536); #29066=REPRESENTATION('density',(#29015),#50536); #29067=REPRESENTATION('material name',(#29096),#50537); #29068=REPRESENTATION('density',(#29016),#50537); #29069=REPRESENTATION('material name',(#29097),#50538); #29070=REPRESENTATION('density',(#29017),#50538); #29071=REPRESENTATION('material name',(#29098),#50539); #29072=REPRESENTATION('density',(#29018),#50539); #29073=REPRESENTATION('material name',(#29099),#50540); #29074=REPRESENTATION('density',(#29019),#50540); #29075=REPRESENTATION('material name',(#29100),#50541); #29076=REPRESENTATION('density',(#29020),#50541); #29077=REPRESENTATION('material name',(#29101),#50542); #29078=REPRESENTATION('density',(#29021),#50542); #29079=REPRESENTATION('material name',(#29102),#50543); #29080=REPRESENTATION('density',(#29022),#50543); #29081=REPRESENTATION('material name',(#29103),#50544); #29082=REPRESENTATION('density',(#29023),#50544); #29083=REPRESENTATION('material name',(#29104),#50545); #29084=REPRESENTATION('density',(#29024),#50545); #29085=REPRESENTATION('material name',(#29105),#50546); #29086=REPRESENTATION('density',(#29025),#50546); #29087=REPRESENTATION('material name',(#29106),#50547); #29088=REPRESENTATION('density',(#29026),#50547); #29089=REPRESENTATION('material name',(#29107),#50548); #29090=REPRESENTATION('density',(#29027),#50548); #29091=REPRESENTATION('material name',(#29108),#50549); #29092=REPRESENTATION('density',(#29028),#50549); #29093=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29094=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061 T6 0 Cold Formed', 'Aluminum 6061 T6 0 Cold Formed'); #29095=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29096=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29097=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29098=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29099=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29100=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29101=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29102=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29103=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29104=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29105=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29106=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29107=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29108=DESCRIPTIVE_REPRESENTATION_ITEM('Aluminum 6061','Aluminum 6061'); #29109=PROPERTY_DEFINITION('material property','material name',#50813); #29110=PROPERTY_DEFINITION('material property','density of part',#50813); #29111=PROPERTY_DEFINITION('material property','material name',#50814); #29112=PROPERTY_DEFINITION('material property','density of part',#50814); #29113=PROPERTY_DEFINITION('material property','material name',#50815); #29114=PROPERTY_DEFINITION('material property','density of part',#50815); #29115=PROPERTY_DEFINITION('material property','material name',#50816); #29116=PROPERTY_DEFINITION('material property','density of part',#50816); #29117=PROPERTY_DEFINITION('material property','material name',#50817); #29118=PROPERTY_DEFINITION('material property','density of part',#50817); #29119=PROPERTY_DEFINITION('material property','material name',#50818); #29120=PROPERTY_DEFINITION('material property','density of part',#50818); #29121=PROPERTY_DEFINITION('material property','material name',#50819); #29122=PROPERTY_DEFINITION('material property','density of part',#50819); #29123=PROPERTY_DEFINITION('material property','material name',#50820); #29124=PROPERTY_DEFINITION('material property','density of part',#50820); #29125=PROPERTY_DEFINITION('material property','material name',#50821); #29126=PROPERTY_DEFINITION('material property','density of part',#50821); #29127=PROPERTY_DEFINITION('material property','material name',#50822); #29128=PROPERTY_DEFINITION('material property','density of part',#50822); #29129=PROPERTY_DEFINITION('material property','material name',#50823); #29130=PROPERTY_DEFINITION('material property','density of part',#50823); #29131=PROPERTY_DEFINITION('material property','material name',#50824); #29132=PROPERTY_DEFINITION('material property','density of part',#50824); #29133=PROPERTY_DEFINITION('material property','material name',#50825); #29134=PROPERTY_DEFINITION('material property','density of part',#50825); #29135=PROPERTY_DEFINITION('material property','material name',#50826); #29136=PROPERTY_DEFINITION('material property','density of part',#50826); #29137=PROPERTY_DEFINITION('material property','material name',#50827); #29138=PROPERTY_DEFINITION('material property','density of part',#50827); #29139=PROPERTY_DEFINITION('material property','material name',#50828); #29140=PROPERTY_DEFINITION('material property','density of part',#50828); #29141=DATE_TIME_ROLE('creation_date'); #29142=DATE_TIME_ROLE('creation_date'); #29143=DATE_TIME_ROLE('creation_date'); #29144=DATE_TIME_ROLE('creation_date'); #29145=DATE_TIME_ROLE('creation_date'); #29146=DATE_TIME_ROLE('creation_date'); #29147=DATE_TIME_ROLE('creation_date'); #29148=DATE_TIME_ROLE('creation_date'); #29149=DATE_TIME_ROLE('creation_date'); #29150=DATE_TIME_ROLE('creation_date'); #29151=DATE_TIME_ROLE('creation_date'); #29152=DATE_TIME_ROLE('creation_date'); #29153=DATE_TIME_ROLE('creation_date'); #29154=DATE_TIME_ROLE('creation_date'); #29155=DATE_TIME_ROLE('creation_date'); #29156=DATE_TIME_ROLE('creation_date'); #29157=DATE_TIME_ROLE('creation_date'); #29158=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29175,#29141,(#50812)); #29159=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29176,#29142,(#50813)); #29160=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29177,#29143,(#50814)); #29161=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29178,#29144,(#50815)); #29162=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29179,#29145,(#50816)); #29163=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29180,#29146,(#50817)); #29164=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29181,#29147,(#50818)); #29165=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29182,#29148,(#50819)); #29166=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29183,#29149,(#50820)); #29167=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29184,#29150,(#50821)); #29168=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29185,#29151,(#50822)); #29169=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29186,#29152,(#50823)); #29170=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29187,#29153,(#50824)); #29171=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29188,#29154,(#50825)); #29172=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29189,#29155,(#50826)); #29173=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29190,#29156,(#50827)); #29174=APPLIED_DATE_AND_TIME_ASSIGNMENT(#29191,#29157,(#50828)); #29175=DATE_AND_TIME(#29192,#29209); #29176=DATE_AND_TIME(#29193,#29210); #29177=DATE_AND_TIME(#29194,#29211); #29178=DATE_AND_TIME(#29195,#29212); #29179=DATE_AND_TIME(#29196,#29213); #29180=DATE_AND_TIME(#29197,#29214); #29181=DATE_AND_TIME(#29198,#29215); #29182=DATE_AND_TIME(#29199,#29216); #29183=DATE_AND_TIME(#29200,#29217); #29184=DATE_AND_TIME(#29201,#29218); #29185=DATE_AND_TIME(#29202,#29219); #29186=DATE_AND_TIME(#29203,#29220); #29187=DATE_AND_TIME(#29204,#29221); #29188=DATE_AND_TIME(#29205,#29222); #29189=DATE_AND_TIME(#29206,#29223); #29190=DATE_AND_TIME(#29207,#29224); #29191=DATE_AND_TIME(#29208,#29225); #29192=CALENDAR_DATE(2021,19,7); #29193=CALENDAR_DATE(2018,17,10); #29194=CALENDAR_DATE(2018,17,10); #29195=CALENDAR_DATE(2018,17,10); #29196=CALENDAR_DATE(2021,18,5); #29197=CALENDAR_DATE(2018,24,3); #29198=CALENDAR_DATE(2018,2,4); #29199=CALENDAR_DATE(2018,27,4); #29200=CALENDAR_DATE(2018,27,3); #29201=CALENDAR_DATE(2018,19,4); #29202=CALENDAR_DATE(2018,19,4); #29203=CALENDAR_DATE(2018,19,4); #29204=CALENDAR_DATE(2019,24,9); #29205=CALENDAR_DATE(2018,28,2); #29206=CALENDAR_DATE(2018,24,10); #29207=CALENDAR_DATE(2018,7,3); #29208=CALENDAR_DATE(2018,6,3); #29209=LOCAL_TIME(0,0,0.,#29226); #29210=LOCAL_TIME(0,0,0.,#29227); #29211=LOCAL_TIME(0,0,0.,#29228); #29212=LOCAL_TIME(0,0,0.,#29229); #29213=LOCAL_TIME(0,0,0.,#29230); #29214=LOCAL_TIME(0,0,0.,#29231); #29215=LOCAL_TIME(0,0,0.,#29232); #29216=LOCAL_TIME(0,0,0.,#29233); #29217=LOCAL_TIME(0,0,0.,#29234); #29218=LOCAL_TIME(0,0,0.,#29235); #29219=LOCAL_TIME(0,0,0.,#29236); #29220=LOCAL_TIME(0,0,0.,#29237); #29221=LOCAL_TIME(0,0,0.,#29238); #29222=LOCAL_TIME(0,0,0.,#29239); #29223=LOCAL_TIME(0,0,0.,#29240); #29224=LOCAL_TIME(0,0,0.,#29241); #29225=LOCAL_TIME(0,0,0.,#29242); #29226=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29227=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29228=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29229=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29230=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29231=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29232=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29233=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29234=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29235=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29236=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29237=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29238=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29239=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29240=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29241=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29242=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #29243=AXIS2_PLACEMENT_3D('placement',#42284,#33025,#33026); #29244=AXIS2_PLACEMENT_3D('placement',#42285,#33027,#33028); #29245=AXIS2_PLACEMENT_3D('',#42286,#33029,#33030); #29246=AXIS2_PLACEMENT_3D('',#42291,#33032,#33033); #29247=AXIS2_PLACEMENT_3D('',#42294,#33035,#33036); #29248=AXIS2_PLACEMENT_3D('',#42295,#33037,#33038); #29249=AXIS2_PLACEMENT_3D('',#42298,#33039,#33040); #29250=AXIS2_PLACEMENT_3D('',#42299,#33041,#33042); #29251=AXIS2_PLACEMENT_3D('',#42300,#33043,#33044); #29252=AXIS2_PLACEMENT_3D('',#42301,#33045,#33046); #29253=AXIS2_PLACEMENT_3D('',#42304,#33047,#33048); #29254=AXIS2_PLACEMENT_3D('',#42305,#33049,#33050); #29255=AXIS2_PLACEMENT_3D('',#42306,#33051,#33052); #29256=AXIS2_PLACEMENT_3D('',#42307,#33053,#33054); #29257=AXIS2_PLACEMENT_3D('',#42310,#33055,#33056); #29258=AXIS2_PLACEMENT_3D('',#42311,#33057,#33058); #29259=AXIS2_PLACEMENT_3D('',#42312,#33059,#33060); #29260=AXIS2_PLACEMENT_3D('',#42313,#33061,#33062); #29261=AXIS2_PLACEMENT_3D('',#42316,#33063,#33064); #29262=AXIS2_PLACEMENT_3D('',#42319,#33067,#33068); #29263=AXIS2_PLACEMENT_3D('',#42322,#33069,#33070); #29264=AXIS2_PLACEMENT_3D('',#42323,#33071,#33072); #29265=AXIS2_PLACEMENT_3D('',#42324,#33073,#33074); #29266=AXIS2_PLACEMENT_3D('',#42325,#33075,#33076); #29267=AXIS2_PLACEMENT_3D('',#42328,#33077,#33078); #29268=AXIS2_PLACEMENT_3D('',#42331,#33081,#33082); #29269=AXIS2_PLACEMENT_3D('',#42334,#33083,#33084); #29270=AXIS2_PLACEMENT_3D('',#42335,#33085,#33086); #29271=AXIS2_PLACEMENT_3D('',#42336,#33087,#33088); #29272=AXIS2_PLACEMENT_3D('',#42337,#33089,#33090); #29273=AXIS2_PLACEMENT_3D('',#42340,#33091,#33092); #29274=AXIS2_PLACEMENT_3D('',#42343,#33095,#33096); #29275=AXIS2_PLACEMENT_3D('',#42346,#33097,#33098); #29276=AXIS2_PLACEMENT_3D('',#42347,#33099,#33100); #29277=AXIS2_PLACEMENT_3D('',#42348,#33101,#33102); #29278=AXIS2_PLACEMENT_3D('',#42349,#33103,#33104); #29279=AXIS2_PLACEMENT_3D('',#42352,#33105,#33106); #29280=AXIS2_PLACEMENT_3D('',#42355,#33109,#33110); #29281=AXIS2_PLACEMENT_3D('',#42358,#33111,#33112); #29282=AXIS2_PLACEMENT_3D('',#42359,#33113,#33114); #29283=AXIS2_PLACEMENT_3D('',#42360,#33115,#33116); #29284=AXIS2_PLACEMENT_3D('',#42361,#33117,#33118); #29285=AXIS2_PLACEMENT_3D('',#42364,#33121,#33122); #29286=AXIS2_PLACEMENT_3D('',#42370,#33126,#33127); #29287=AXIS2_PLACEMENT_3D('',#42373,#33129,#33130); #29288=AXIS2_PLACEMENT_3D('',#42374,#33131,#33132); #29289=AXIS2_PLACEMENT_3D('',#42378,#33135,#33136); #29290=AXIS2_PLACEMENT_3D('',#42381,#33138,#33139); #29291=AXIS2_PLACEMENT_3D('',#42382,#33140,#33141); #29292=AXIS2_PLACEMENT_3D('',#42385,#33143,#33144); #29293=AXIS2_PLACEMENT_3D('',#42386,#33145,#33146); #29294=AXIS2_PLACEMENT_3D('',#42389,#33148,#33149); #29295=AXIS2_PLACEMENT_3D('',#42390,#33150,#33151); #29296=AXIS2_PLACEMENT_3D('',#42394,#33154,#33155); #29297=AXIS2_PLACEMENT_3D('',#42395,#33156,#33157); #29298=AXIS2_PLACEMENT_3D('',#42397,#33158,#33159); #29299=AXIS2_PLACEMENT_3D('',#42400,#33161,#33162); #29300=AXIS2_PLACEMENT_3D('',#42402,#33163,#33164); #29301=AXIS2_PLACEMENT_3D('',#42404,#33166,#33167); #29302=AXIS2_PLACEMENT_3D('',#42406,#33168,#33169); #29303=AXIS2_PLACEMENT_3D('',#42409,#33171,#33172); #29304=AXIS2_PLACEMENT_3D('',#42411,#33173,#33174); #29305=AXIS2_PLACEMENT_3D('',#42413,#33176,#33177); #29306=AXIS2_PLACEMENT_3D('',#42415,#33178,#33179); #29307=AXIS2_PLACEMENT_3D('',#42418,#33181,#33182); #29308=AXIS2_PLACEMENT_3D('',#42420,#33183,#33184); #29309=AXIS2_PLACEMENT_3D('',#42422,#33186,#33187); #29310=AXIS2_PLACEMENT_3D('',#42424,#33188,#33189); #29311=AXIS2_PLACEMENT_3D('',#42427,#33191,#33192); #29312=AXIS2_PLACEMENT_3D('',#42429,#33193,#33194); #29313=AXIS2_PLACEMENT_3D('',#42431,#33196,#33197); #29314=AXIS2_PLACEMENT_3D('',#42433,#33198,#33199); #29315=AXIS2_PLACEMENT_3D('',#42436,#33201,#33202); #29316=AXIS2_PLACEMENT_3D('',#42438,#33203,#33204); #29317=AXIS2_PLACEMENT_3D('',#42440,#33206,#33207); #29318=AXIS2_PLACEMENT_3D('',#42442,#33208,#33209); #29319=AXIS2_PLACEMENT_3D('',#42445,#33211,#33212); #29320=AXIS2_PLACEMENT_3D('',#42447,#33213,#33214); #29321=AXIS2_PLACEMENT_3D('',#42449,#33216,#33217); #29322=AXIS2_PLACEMENT_3D('',#42451,#33218,#33219); #29323=AXIS2_PLACEMENT_3D('',#42454,#33221,#33222); #29324=AXIS2_PLACEMENT_3D('',#42456,#33223,#33224); #29325=AXIS2_PLACEMENT_3D('',#42458,#33226,#33227); #29326=AXIS2_PLACEMENT_3D('',#42460,#33228,#33229); #29327=AXIS2_PLACEMENT_3D('',#42463,#33231,#33232); #29328=AXIS2_PLACEMENT_3D('',#42465,#33233,#33234); #29329=AXIS2_PLACEMENT_3D('',#42467,#33236,#33237); #29330=AXIS2_PLACEMENT_3D('',#42469,#33238,#33239); #29331=AXIS2_PLACEMENT_3D('',#42472,#33241,#33242); #29332=AXIS2_PLACEMENT_3D('',#42474,#33243,#33244); #29333=AXIS2_PLACEMENT_3D('',#42476,#33246,#33247); #29334=AXIS2_PLACEMENT_3D('',#42478,#33248,#33249); #29335=AXIS2_PLACEMENT_3D('',#42481,#33251,#33252); #29336=AXIS2_PLACEMENT_3D('',#42483,#33253,#33254); #29337=AXIS2_PLACEMENT_3D('',#42485,#33256,#33257); #29338=AXIS2_PLACEMENT_3D('',#42487,#33258,#33259); #29339=AXIS2_PLACEMENT_3D('',#42490,#33261,#33262); #29340=AXIS2_PLACEMENT_3D('',#42492,#33263,#33264); #29341=AXIS2_PLACEMENT_3D('',#42494,#33266,#33267); #29342=AXIS2_PLACEMENT_3D('',#42496,#33268,#33269); #29343=AXIS2_PLACEMENT_3D('',#42499,#33271,#33272); #29344=AXIS2_PLACEMENT_3D('',#42501,#33273,#33274); #29345=AXIS2_PLACEMENT_3D('',#42503,#33276,#33277); #29346=AXIS2_PLACEMENT_3D('',#42505,#33278,#33279); #29347=AXIS2_PLACEMENT_3D('',#42508,#33281,#33282); #29348=AXIS2_PLACEMENT_3D('',#42560,#33283,#33284); #29349=AXIS2_PLACEMENT_3D('',#42563,#33286,#33287); #29350=AXIS2_PLACEMENT_3D('',#42564,#33288,#33289); #29351=AXIS2_PLACEMENT_3D('',#42565,#33290,#33291); #29352=AXIS2_PLACEMENT_3D('',#42567,#33292,#33293); #29353=AXIS2_PLACEMENT_3D('',#42570,#33295,#33296); #29354=AXIS2_PLACEMENT_3D('',#42572,#33297,#33298); #29355=AXIS2_PLACEMENT_3D('',#42574,#33300,#33301); #29356=AXIS2_PLACEMENT_3D('',#42576,#33302,#33303); #29357=AXIS2_PLACEMENT_3D('',#42579,#33305,#33306); #29358=AXIS2_PLACEMENT_3D('',#42581,#33307,#33308); #29359=AXIS2_PLACEMENT_3D('',#42583,#33310,#33311); #29360=AXIS2_PLACEMENT_3D('',#42585,#33312,#33313); #29361=AXIS2_PLACEMENT_3D('',#42588,#33315,#33316); #29362=AXIS2_PLACEMENT_3D('',#42590,#33317,#33318); #29363=AXIS2_PLACEMENT_3D('',#42592,#33320,#33321); #29364=AXIS2_PLACEMENT_3D('',#42594,#33322,#33323); #29365=AXIS2_PLACEMENT_3D('',#42597,#33325,#33326); #29366=AXIS2_PLACEMENT_3D('',#42599,#33327,#33328); #29367=AXIS2_PLACEMENT_3D('',#42601,#33330,#33331); #29368=AXIS2_PLACEMENT_3D('',#42603,#33332,#33333); #29369=AXIS2_PLACEMENT_3D('',#42606,#33335,#33336); #29370=AXIS2_PLACEMENT_3D('',#42608,#33337,#33338); #29371=AXIS2_PLACEMENT_3D('',#42610,#33340,#33341); #29372=AXIS2_PLACEMENT_3D('',#42612,#33342,#33343); #29373=AXIS2_PLACEMENT_3D('',#42615,#33345,#33346); #29374=AXIS2_PLACEMENT_3D('',#42617,#33347,#33348); #29375=AXIS2_PLACEMENT_3D('',#42619,#33350,#33351); #29376=AXIS2_PLACEMENT_3D('',#42621,#33352,#33353); #29377=AXIS2_PLACEMENT_3D('',#42624,#33355,#33356); #29378=AXIS2_PLACEMENT_3D('',#42626,#33357,#33358); #29379=AXIS2_PLACEMENT_3D('',#42628,#33360,#33361); #29380=AXIS2_PLACEMENT_3D('',#42630,#33362,#33363); #29381=AXIS2_PLACEMENT_3D('',#42633,#33365,#33366); #29382=AXIS2_PLACEMENT_3D('',#42635,#33367,#33368); #29383=AXIS2_PLACEMENT_3D('',#42637,#33370,#33371); #29384=AXIS2_PLACEMENT_3D('',#42639,#33372,#33373); #29385=AXIS2_PLACEMENT_3D('',#42642,#33375,#33376); #29386=AXIS2_PLACEMENT_3D('',#42644,#33377,#33378); #29387=AXIS2_PLACEMENT_3D('',#42646,#33380,#33381); #29388=AXIS2_PLACEMENT_3D('',#42648,#33382,#33383); #29389=AXIS2_PLACEMENT_3D('',#42651,#33385,#33386); #29390=AXIS2_PLACEMENT_3D('',#42653,#33387,#33388); #29391=AXIS2_PLACEMENT_3D('',#42655,#33390,#33391); #29392=AXIS2_PLACEMENT_3D('',#42657,#33392,#33393); #29393=AXIS2_PLACEMENT_3D('',#42660,#33395,#33396); #29394=AXIS2_PLACEMENT_3D('',#42662,#33397,#33398); #29395=AXIS2_PLACEMENT_3D('',#42664,#33400,#33401); #29396=AXIS2_PLACEMENT_3D('',#42666,#33402,#33403); #29397=AXIS2_PLACEMENT_3D('',#42669,#33405,#33406); #29398=AXIS2_PLACEMENT_3D('',#42671,#33407,#33408); #29399=AXIS2_PLACEMENT_3D('',#42673,#33410,#33411); #29400=AXIS2_PLACEMENT_3D('',#42675,#33412,#33413); #29401=AXIS2_PLACEMENT_3D('',#42678,#33415,#33416); #29402=AXIS2_PLACEMENT_3D('',#42680,#33417,#33418); #29403=AXIS2_PLACEMENT_3D('',#42682,#33420,#33421); #29404=AXIS2_PLACEMENT_3D('',#42684,#33422,#33423); #29405=AXIS2_PLACEMENT_3D('',#42687,#33425,#33426); #29406=AXIS2_PLACEMENT_3D('',#42689,#33427,#33428); #29407=AXIS2_PLACEMENT_3D('',#42691,#33430,#33431); #29408=AXIS2_PLACEMENT_3D('',#42693,#33432,#33433); #29409=AXIS2_PLACEMENT_3D('',#42696,#33435,#33436); #29410=AXIS2_PLACEMENT_3D('',#42698,#33437,#33438); #29411=AXIS2_PLACEMENT_3D('',#42700,#33440,#33441); #29412=AXIS2_PLACEMENT_3D('',#42702,#33442,#33443); #29413=AXIS2_PLACEMENT_3D('',#42705,#33445,#33446); #29414=AXIS2_PLACEMENT_3D('',#42707,#33447,#33448); #29415=AXIS2_PLACEMENT_3D('',#42709,#33450,#33451); #29416=AXIS2_PLACEMENT_3D('',#42711,#33452,#33453); #29417=AXIS2_PLACEMENT_3D('',#42714,#33455,#33456); #29418=AXIS2_PLACEMENT_3D('',#42716,#33457,#33458); #29419=AXIS2_PLACEMENT_3D('',#42718,#33460,#33461); #29420=AXIS2_PLACEMENT_3D('',#42720,#33462,#33463); #29421=AXIS2_PLACEMENT_3D('',#42723,#33465,#33466); #29422=AXIS2_PLACEMENT_3D('',#42725,#33467,#33468); #29423=AXIS2_PLACEMENT_3D('',#42727,#33470,#33471); #29424=AXIS2_PLACEMENT_3D('',#42729,#33472,#33473); #29425=AXIS2_PLACEMENT_3D('',#42732,#33475,#33476); #29426=AXIS2_PLACEMENT_3D('',#42734,#33477,#33478); #29427=AXIS2_PLACEMENT_3D('',#42736,#33480,#33481); #29428=AXIS2_PLACEMENT_3D('',#42738,#33482,#33483); #29429=AXIS2_PLACEMENT_3D('',#42741,#33485,#33486); #29430=AXIS2_PLACEMENT_3D('',#42743,#33487,#33488); #29431=AXIS2_PLACEMENT_3D('',#42745,#33490,#33491); #29432=AXIS2_PLACEMENT_3D('',#42747,#33492,#33493); #29433=AXIS2_PLACEMENT_3D('',#42750,#33495,#33496); #29434=AXIS2_PLACEMENT_3D('',#42752,#33497,#33498); #29435=AXIS2_PLACEMENT_3D('',#42754,#33500,#33501); #29436=AXIS2_PLACEMENT_3D('',#42756,#33502,#33503); #29437=AXIS2_PLACEMENT_3D('',#42759,#33505,#33506); #29438=AXIS2_PLACEMENT_3D('',#42761,#33507,#33508); #29439=AXIS2_PLACEMENT_3D('',#42763,#33510,#33511); #29440=AXIS2_PLACEMENT_3D('',#42765,#33512,#33513); #29441=AXIS2_PLACEMENT_3D('',#42768,#33515,#33516); #29442=AXIS2_PLACEMENT_3D('',#42770,#33517,#33518); #29443=AXIS2_PLACEMENT_3D('',#42772,#33520,#33521); #29444=AXIS2_PLACEMENT_3D('',#42774,#33522,#33523); #29445=AXIS2_PLACEMENT_3D('',#42777,#33525,#33526); #29446=AXIS2_PLACEMENT_3D('',#42779,#33527,#33528); #29447=AXIS2_PLACEMENT_3D('',#42781,#33530,#33531); #29448=AXIS2_PLACEMENT_3D('',#42783,#33532,#33533); #29449=AXIS2_PLACEMENT_3D('',#42786,#33535,#33536); #29450=AXIS2_PLACEMENT_3D('',#42788,#33537,#33538); #29451=AXIS2_PLACEMENT_3D('',#42790,#33540,#33541); #29452=AXIS2_PLACEMENT_3D('',#42792,#33542,#33543); #29453=AXIS2_PLACEMENT_3D('',#42795,#33545,#33546); #29454=AXIS2_PLACEMENT_3D('',#42797,#33547,#33548); #29455=AXIS2_PLACEMENT_3D('',#42799,#33550,#33551); #29456=AXIS2_PLACEMENT_3D('',#42801,#33552,#33553); #29457=AXIS2_PLACEMENT_3D('',#42804,#33555,#33556); #29458=AXIS2_PLACEMENT_3D('',#42806,#33557,#33558); #29459=AXIS2_PLACEMENT_3D('',#42808,#33560,#33561); #29460=AXIS2_PLACEMENT_3D('',#42810,#33562,#33563); #29461=AXIS2_PLACEMENT_3D('',#42813,#33565,#33566); #29462=AXIS2_PLACEMENT_3D('',#42815,#33567,#33568); #29463=AXIS2_PLACEMENT_3D('',#42817,#33570,#33571); #29464=AXIS2_PLACEMENT_3D('',#42819,#33572,#33573); #29465=AXIS2_PLACEMENT_3D('',#42822,#33575,#33576); #29466=AXIS2_PLACEMENT_3D('',#42824,#33577,#33578); #29467=AXIS2_PLACEMENT_3D('',#42826,#33580,#33581); #29468=AXIS2_PLACEMENT_3D('',#42828,#33582,#33583); #29469=AXIS2_PLACEMENT_3D('',#42831,#33585,#33586); #29470=AXIS2_PLACEMENT_3D('',#42833,#33587,#33588); #29471=AXIS2_PLACEMENT_3D('',#42835,#33590,#33591); #29472=AXIS2_PLACEMENT_3D('',#42837,#33592,#33593); #29473=AXIS2_PLACEMENT_3D('',#42839,#33595,#33596); #29474=AXIS2_PLACEMENT_3D('',#42848,#33601,#33602); #29475=AXIS2_PLACEMENT_3D('',#42856,#33607,#33608); #29476=AXIS2_PLACEMENT_3D('',#42860,#33611,#33612); #29477=AXIS2_PLACEMENT_3D('',#42866,#33616,#33617); #29478=AXIS2_PLACEMENT_3D('',#42872,#33621,#33622); #29479=AXIS2_PLACEMENT_3D('',#42878,#33625,#33626); #29480=AXIS2_PLACEMENT_3D('',#42880,#33628,#33629); #29481=AXIS2_PLACEMENT_3D('',#42886,#33633,#33634); #29482=AXIS2_PLACEMENT_3D('',#42888,#33636,#33637); #29483=AXIS2_PLACEMENT_3D('',#42891,#33639,#33640); #29484=AXIS2_PLACEMENT_3D('',#42895,#33642,#33643); #29485=AXIS2_PLACEMENT_3D('',#42899,#33645,#33646); #29486=AXIS2_PLACEMENT_3D('',#42902,#33648,#33649); #29487=AXIS2_PLACEMENT_3D('',#42903,#33650,#33651); #29488=AXIS2_PLACEMENT_3D('',#42905,#33653,#33654); #29489=AXIS2_PLACEMENT_3D('',#42906,#33655,#33656); #29490=AXIS2_PLACEMENT_3D('',#42908,#33658,#33659); #29491=AXIS2_PLACEMENT_3D('',#42911,#33662,#33663); #29492=AXIS2_PLACEMENT_3D('',#42913,#33665,#33666); #29493=AXIS2_PLACEMENT_3D('',#42915,#33668,#33669); #29494=AXIS2_PLACEMENT_3D('',#42916,#33670,#33671); #29495=AXIS2_PLACEMENT_3D('placement',#42917,#33672,#33673); #29496=AXIS2_PLACEMENT_3D('',#42918,#33674,#33675); #29497=AXIS2_PLACEMENT_3D('',#42920,#33676,#33677); #29498=AXIS2_PLACEMENT_3D('',#42923,#33679,#33680); #29499=AXIS2_PLACEMENT_3D('',#42925,#33681,#33682); #29500=AXIS2_PLACEMENT_3D('',#42927,#33684,#33685); #29501=AXIS2_PLACEMENT_3D('',#42929,#33686,#33687); #29502=AXIS2_PLACEMENT_3D('',#42932,#33689,#33690); #29503=AXIS2_PLACEMENT_3D('',#42934,#33691,#33692); #29504=AXIS2_PLACEMENT_3D('',#42936,#33694,#33695); #29505=AXIS2_PLACEMENT_3D('',#42938,#33696,#33697); #29506=AXIS2_PLACEMENT_3D('',#42941,#33699,#33700); #29507=AXIS2_PLACEMENT_3D('',#42943,#33701,#33702); #29508=AXIS2_PLACEMENT_3D('',#42945,#33704,#33705); #29509=AXIS2_PLACEMENT_3D('',#42947,#33706,#33707); #29510=AXIS2_PLACEMENT_3D('',#42950,#33709,#33710); #29511=AXIS2_PLACEMENT_3D('',#42952,#33711,#33712); #29512=AXIS2_PLACEMENT_3D('',#42954,#33714,#33715); #29513=AXIS2_PLACEMENT_3D('',#42956,#33716,#33717); #29514=AXIS2_PLACEMENT_3D('',#42959,#33719,#33720); #29515=AXIS2_PLACEMENT_3D('',#42961,#33721,#33722); #29516=AXIS2_PLACEMENT_3D('',#42963,#33724,#33725); #29517=AXIS2_PLACEMENT_3D('',#42965,#33726,#33727); #29518=AXIS2_PLACEMENT_3D('',#42968,#33729,#33730); #29519=AXIS2_PLACEMENT_3D('',#42970,#33731,#33732); #29520=AXIS2_PLACEMENT_3D('',#42972,#33734,#33735); #29521=AXIS2_PLACEMENT_3D('',#42974,#33736,#33737); #29522=AXIS2_PLACEMENT_3D('',#42977,#33739,#33740); #29523=AXIS2_PLACEMENT_3D('',#42979,#33741,#33742); #29524=AXIS2_PLACEMENT_3D('',#42981,#33744,#33745); #29525=AXIS2_PLACEMENT_3D('',#42983,#33746,#33747); #29526=AXIS2_PLACEMENT_3D('',#42986,#33749,#33750); #29527=AXIS2_PLACEMENT_3D('',#42988,#33751,#33752); #29528=AXIS2_PLACEMENT_3D('',#42990,#33754,#33755); #29529=AXIS2_PLACEMENT_3D('',#42992,#33756,#33757); #29530=AXIS2_PLACEMENT_3D('',#42995,#33759,#33760); #29531=AXIS2_PLACEMENT_3D('',#42997,#33761,#33762); #29532=AXIS2_PLACEMENT_3D('',#42999,#33764,#33765); #29533=AXIS2_PLACEMENT_3D('',#43001,#33766,#33767); #29534=AXIS2_PLACEMENT_3D('',#43004,#33769,#33770); #29535=AXIS2_PLACEMENT_3D('',#43006,#33771,#33772); #29536=AXIS2_PLACEMENT_3D('',#43008,#33774,#33775); #29537=AXIS2_PLACEMENT_3D('',#43010,#33776,#33777); #29538=AXIS2_PLACEMENT_3D('',#43013,#33779,#33780); #29539=AXIS2_PLACEMENT_3D('',#43015,#33781,#33782); #29540=AXIS2_PLACEMENT_3D('',#43017,#33784,#33785); #29541=AXIS2_PLACEMENT_3D('',#43019,#33786,#33787); #29542=AXIS2_PLACEMENT_3D('',#43065,#33789,#33790); #29543=AXIS2_PLACEMENT_3D('',#43067,#33791,#33792); #29544=AXIS2_PLACEMENT_3D('',#43069,#33794,#33795); #29545=AXIS2_PLACEMENT_3D('',#43071,#33796,#33797); #29546=AXIS2_PLACEMENT_3D('',#43074,#33799,#33800); #29547=AXIS2_PLACEMENT_3D('',#43075,#33801,#33802); #29548=AXIS2_PLACEMENT_3D('',#43076,#33803,#33804); #29549=AXIS2_PLACEMENT_3D('',#43078,#33805,#33806); #29550=AXIS2_PLACEMENT_3D('',#43081,#33808,#33809); #29551=AXIS2_PLACEMENT_3D('',#43083,#33810,#33811); #29552=AXIS2_PLACEMENT_3D('',#43085,#33813,#33814); #29553=AXIS2_PLACEMENT_3D('',#43087,#33815,#33816); #29554=AXIS2_PLACEMENT_3D('',#43090,#33818,#33819); #29555=AXIS2_PLACEMENT_3D('',#43092,#33820,#33821); #29556=AXIS2_PLACEMENT_3D('',#43094,#33823,#33824); #29557=AXIS2_PLACEMENT_3D('',#43096,#33825,#33826); #29558=AXIS2_PLACEMENT_3D('',#43099,#33828,#33829); #29559=AXIS2_PLACEMENT_3D('',#43101,#33830,#33831); #29560=AXIS2_PLACEMENT_3D('',#43103,#33833,#33834); #29561=AXIS2_PLACEMENT_3D('',#43105,#33835,#33836); #29562=AXIS2_PLACEMENT_3D('',#43108,#33838,#33839); #29563=AXIS2_PLACEMENT_3D('',#43110,#33840,#33841); #29564=AXIS2_PLACEMENT_3D('',#43112,#33843,#33844); #29565=AXIS2_PLACEMENT_3D('',#43117,#33846,#33847); #29566=AXIS2_PLACEMENT_3D('',#43120,#33849,#33850); #29567=AXIS2_PLACEMENT_3D('',#43121,#33851,#33852); #29568=AXIS2_PLACEMENT_3D('',#43124,#33853,#33854); #29569=AXIS2_PLACEMENT_3D('',#43125,#33855,#33856); #29570=AXIS2_PLACEMENT_3D('',#43126,#33857,#33858); #29571=AXIS2_PLACEMENT_3D('',#43127,#33859,#33860); #29572=AXIS2_PLACEMENT_3D('',#43130,#33861,#33862); #29573=AXIS2_PLACEMENT_3D('',#43131,#33863,#33864); #29574=AXIS2_PLACEMENT_3D('',#43132,#33865,#33866); #29575=AXIS2_PLACEMENT_3D('',#43133,#33867,#33868); #29576=AXIS2_PLACEMENT_3D('',#43136,#33869,#33870); #29577=AXIS2_PLACEMENT_3D('',#43137,#33871,#33872); #29578=AXIS2_PLACEMENT_3D('',#43138,#33873,#33874); #29579=AXIS2_PLACEMENT_3D('',#43139,#33875,#33876); #29580=AXIS2_PLACEMENT_3D('',#43142,#33877,#33878); #29581=AXIS2_PLACEMENT_3D('',#43145,#33881,#33882); #29582=AXIS2_PLACEMENT_3D('',#43148,#33883,#33884); #29583=AXIS2_PLACEMENT_3D('',#43149,#33885,#33886); #29584=AXIS2_PLACEMENT_3D('',#43150,#33887,#33888); #29585=AXIS2_PLACEMENT_3D('',#43151,#33889,#33890); #29586=AXIS2_PLACEMENT_3D('',#43154,#33891,#33892); #29587=AXIS2_PLACEMENT_3D('',#43157,#33895,#33896); #29588=AXIS2_PLACEMENT_3D('',#43160,#33897,#33898); #29589=AXIS2_PLACEMENT_3D('',#43161,#33899,#33900); #29590=AXIS2_PLACEMENT_3D('',#43162,#33901,#33902); #29591=AXIS2_PLACEMENT_3D('',#43163,#33903,#33904); #29592=AXIS2_PLACEMENT_3D('',#43166,#33905,#33906); #29593=AXIS2_PLACEMENT_3D('',#43169,#33909,#33910); #29594=AXIS2_PLACEMENT_3D('',#43172,#33911,#33912); #29595=AXIS2_PLACEMENT_3D('',#43173,#33913,#33914); #29596=AXIS2_PLACEMENT_3D('',#43174,#33915,#33916); #29597=AXIS2_PLACEMENT_3D('',#43175,#33917,#33918); #29598=AXIS2_PLACEMENT_3D('',#43178,#33919,#33920); #29599=AXIS2_PLACEMENT_3D('',#43181,#33923,#33924); #29600=AXIS2_PLACEMENT_3D('',#43184,#33925,#33926); #29601=AXIS2_PLACEMENT_3D('',#43185,#33927,#33928); #29602=AXIS2_PLACEMENT_3D('',#43186,#33929,#33930); #29603=AXIS2_PLACEMENT_3D('',#43187,#33931,#33932); #29604=AXIS2_PLACEMENT_3D('',#43190,#33935,#33936); #29605=AXIS2_PLACEMENT_3D('',#43194,#33938,#33939); #29606=AXIS2_PLACEMENT_3D('',#43196,#33941,#33942); #29607=AXIS2_PLACEMENT_3D('',#43200,#33945,#33946); #29608=AXIS2_PLACEMENT_3D('',#43203,#33948,#33949); #29609=AXIS2_PLACEMENT_3D('',#43204,#33950,#33951); #29610=AXIS2_PLACEMENT_3D('',#43208,#33954,#33955); #29611=AXIS2_PLACEMENT_3D('',#43211,#33957,#33958); #29612=AXIS2_PLACEMENT_3D('',#43212,#33959,#33960); #29613=AXIS2_PLACEMENT_3D('',#43216,#33963,#33964); #29614=AXIS2_PLACEMENT_3D('',#43220,#33967,#33968); #29615=AXIS2_PLACEMENT_3D('',#43221,#33969,#33970); #29616=AXIS2_PLACEMENT_3D('',#43223,#33971,#33972); #29617=AXIS2_PLACEMENT_3D('',#43226,#33974,#33975); #29618=AXIS2_PLACEMENT_3D('',#43228,#33976,#33977); #29619=AXIS2_PLACEMENT_3D('',#43230,#33979,#33980); #29620=AXIS2_PLACEMENT_3D('',#43232,#33981,#33982); #29621=AXIS2_PLACEMENT_3D('',#43235,#33984,#33985); #29622=AXIS2_PLACEMENT_3D('',#43237,#33986,#33987); #29623=AXIS2_PLACEMENT_3D('',#43239,#33989,#33990); #29624=AXIS2_PLACEMENT_3D('',#43241,#33991,#33992); #29625=AXIS2_PLACEMENT_3D('',#43244,#33994,#33995); #29626=AXIS2_PLACEMENT_3D('',#43246,#33996,#33997); #29627=AXIS2_PLACEMENT_3D('',#43248,#33999,#34000); #29628=AXIS2_PLACEMENT_3D('',#43250,#34001,#34002); #29629=AXIS2_PLACEMENT_3D('',#43253,#34004,#34005); #29630=AXIS2_PLACEMENT_3D('',#43255,#34006,#34007); #29631=AXIS2_PLACEMENT_3D('',#43257,#34009,#34010); #29632=AXIS2_PLACEMENT_3D('',#43260,#34012,#34013); #29633=AXIS2_PLACEMENT_3D('',#43262,#34014,#34015); #29634=AXIS2_PLACEMENT_3D('',#43265,#34017,#34018); #29635=AXIS2_PLACEMENT_3D('',#43267,#34019,#34020); #29636=AXIS2_PLACEMENT_3D('',#43269,#34022,#34023); #29637=AXIS2_PLACEMENT_3D('',#43271,#34024,#34025); #29638=AXIS2_PLACEMENT_3D('',#43274,#34027,#34028); #29639=AXIS2_PLACEMENT_3D('',#43276,#34029,#34030); #29640=AXIS2_PLACEMENT_3D('',#43278,#34032,#34033); #29641=AXIS2_PLACEMENT_3D('',#43280,#34034,#34035); #29642=AXIS2_PLACEMENT_3D('',#43283,#34037,#34038); #29643=AXIS2_PLACEMENT_3D('',#43285,#34039,#34040); #29644=AXIS2_PLACEMENT_3D('',#43287,#34042,#34043); #29645=AXIS2_PLACEMENT_3D('',#43289,#34044,#34045); #29646=AXIS2_PLACEMENT_3D('',#43292,#34047,#34048); #29647=AXIS2_PLACEMENT_3D('',#43294,#34049,#34050); #29648=AXIS2_PLACEMENT_3D('',#43296,#34052,#34053); #29649=AXIS2_PLACEMENT_3D('',#43298,#34054,#34055); #29650=AXIS2_PLACEMENT_3D('',#43301,#34057,#34058); #29651=AXIS2_PLACEMENT_3D('',#43303,#34059,#34060); #29652=AXIS2_PLACEMENT_3D('',#43305,#34062,#34063); #29653=AXIS2_PLACEMENT_3D('',#43307,#34064,#34065); #29654=AXIS2_PLACEMENT_3D('',#43310,#34067,#34068); #29655=AXIS2_PLACEMENT_3D('',#43312,#34069,#34070); #29656=AXIS2_PLACEMENT_3D('',#43314,#34072,#34073); #29657=AXIS2_PLACEMENT_3D('',#43316,#34074,#34075); #29658=AXIS2_PLACEMENT_3D('',#43319,#34077,#34078); #29659=AXIS2_PLACEMENT_3D('',#43321,#34079,#34080); #29660=AXIS2_PLACEMENT_3D('',#43323,#34082,#34083); #29661=AXIS2_PLACEMENT_3D('',#43325,#34084,#34085); #29662=AXIS2_PLACEMENT_3D('',#43328,#34087,#34088); #29663=AXIS2_PLACEMENT_3D('',#43330,#34089,#34090); #29664=AXIS2_PLACEMENT_3D('',#43332,#34092,#34093); #29665=AXIS2_PLACEMENT_3D('',#43334,#34094,#34095); #29666=AXIS2_PLACEMENT_3D('',#43337,#34097,#34098); #29667=AXIS2_PLACEMENT_3D('',#43339,#34099,#34100); #29668=AXIS2_PLACEMENT_3D('',#43341,#34102,#34103); #29669=AXIS2_PLACEMENT_3D('',#43343,#34104,#34105); #29670=AXIS2_PLACEMENT_3D('',#43346,#34107,#34108); #29671=AXIS2_PLACEMENT_3D('',#43348,#34109,#34110); #29672=AXIS2_PLACEMENT_3D('',#43350,#34112,#34113); #29673=AXIS2_PLACEMENT_3D('',#43352,#34114,#34115); #29674=AXIS2_PLACEMENT_3D('',#43355,#34117,#34118); #29675=AXIS2_PLACEMENT_3D('',#43357,#34119,#34120); #29676=AXIS2_PLACEMENT_3D('',#43359,#34122,#34123); #29677=AXIS2_PLACEMENT_3D('',#43361,#34124,#34125); #29678=AXIS2_PLACEMENT_3D('',#43364,#34127,#34128); #29679=AXIS2_PLACEMENT_3D('',#43366,#34129,#34130); #29680=AXIS2_PLACEMENT_3D('',#43368,#34132,#34133); #29681=AXIS2_PLACEMENT_3D('',#43370,#34134,#34135); #29682=AXIS2_PLACEMENT_3D('',#43373,#34137,#34138); #29683=AXIS2_PLACEMENT_3D('',#43375,#34139,#34140); #29684=AXIS2_PLACEMENT_3D('',#43377,#34142,#34143); #29685=AXIS2_PLACEMENT_3D('',#43379,#34144,#34145); #29686=AXIS2_PLACEMENT_3D('',#43382,#34147,#34148); #29687=AXIS2_PLACEMENT_3D('',#43384,#34149,#34150); #29688=AXIS2_PLACEMENT_3D('',#43386,#34152,#34153); #29689=AXIS2_PLACEMENT_3D('',#43388,#34154,#34155); #29690=AXIS2_PLACEMENT_3D('',#43391,#34157,#34158); #29691=AXIS2_PLACEMENT_3D('',#43393,#34159,#34160); #29692=AXIS2_PLACEMENT_3D('',#43395,#34162,#34163); #29693=AXIS2_PLACEMENT_3D('',#43397,#34164,#34165); #29694=AXIS2_PLACEMENT_3D('',#43400,#34167,#34168); #29695=AXIS2_PLACEMENT_3D('',#43402,#34169,#34170); #29696=AXIS2_PLACEMENT_3D('',#43404,#34172,#34173); #29697=AXIS2_PLACEMENT_3D('',#43406,#34174,#34175); #29698=AXIS2_PLACEMENT_3D('',#43409,#34177,#34178); #29699=AXIS2_PLACEMENT_3D('',#43411,#34179,#34180); #29700=AXIS2_PLACEMENT_3D('',#43413,#34182,#34183); #29701=AXIS2_PLACEMENT_3D('',#43415,#34184,#34185); #29702=AXIS2_PLACEMENT_3D('',#43418,#34187,#34188); #29703=AXIS2_PLACEMENT_3D('',#43420,#34189,#34190); #29704=AXIS2_PLACEMENT_3D('',#43422,#34192,#34193); #29705=AXIS2_PLACEMENT_3D('',#43424,#34194,#34195); #29706=AXIS2_PLACEMENT_3D('',#43427,#34197,#34198); #29707=AXIS2_PLACEMENT_3D('',#43429,#34199,#34200); #29708=AXIS2_PLACEMENT_3D('',#43431,#34202,#34203); #29709=AXIS2_PLACEMENT_3D('',#43433,#34204,#34205); #29710=AXIS2_PLACEMENT_3D('',#43436,#34207,#34208); #29711=AXIS2_PLACEMENT_3D('',#43438,#34209,#34210); #29712=AXIS2_PLACEMENT_3D('',#43440,#34212,#34213); #29713=AXIS2_PLACEMENT_3D('',#43442,#34214,#34215); #29714=AXIS2_PLACEMENT_3D('',#43445,#34217,#34218); #29715=AXIS2_PLACEMENT_3D('',#43447,#34219,#34220); #29716=AXIS2_PLACEMENT_3D('',#43449,#34222,#34223); #29717=AXIS2_PLACEMENT_3D('',#43451,#34224,#34225); #29718=AXIS2_PLACEMENT_3D('',#43454,#34227,#34228); #29719=AXIS2_PLACEMENT_3D('',#43456,#34229,#34230); #29720=AXIS2_PLACEMENT_3D('',#43458,#34232,#34233); #29721=AXIS2_PLACEMENT_3D('',#43460,#34234,#34235); #29722=AXIS2_PLACEMENT_3D('',#43463,#34237,#34238); #29723=AXIS2_PLACEMENT_3D('',#43465,#34239,#34240); #29724=AXIS2_PLACEMENT_3D('',#43467,#34242,#34243); #29725=AXIS2_PLACEMENT_3D('',#43469,#34244,#34245); #29726=AXIS2_PLACEMENT_3D('',#43472,#34247,#34248); #29727=AXIS2_PLACEMENT_3D('',#43474,#34249,#34250); #29728=AXIS2_PLACEMENT_3D('',#43476,#34252,#34253); #29729=AXIS2_PLACEMENT_3D('',#43478,#34254,#34255); #29730=AXIS2_PLACEMENT_3D('',#43481,#34257,#34258); #29731=AXIS2_PLACEMENT_3D('',#43483,#34259,#34260); #29732=AXIS2_PLACEMENT_3D('',#43485,#34262,#34263); #29733=AXIS2_PLACEMENT_3D('',#43487,#34264,#34265); #29734=AXIS2_PLACEMENT_3D('',#43490,#34267,#34268); #29735=AXIS2_PLACEMENT_3D('',#43492,#34269,#34270); #29736=AXIS2_PLACEMENT_3D('',#43494,#34272,#34273); #29737=AXIS2_PLACEMENT_3D('',#43496,#34274,#34275); #29738=AXIS2_PLACEMENT_3D('',#43499,#34277,#34278); #29739=AXIS2_PLACEMENT_3D('',#43501,#34279,#34280); #29740=AXIS2_PLACEMENT_3D('',#43503,#34282,#34283); #29741=AXIS2_PLACEMENT_3D('',#43505,#34284,#34285); #29742=AXIS2_PLACEMENT_3D('',#43508,#34287,#34288); #29743=AXIS2_PLACEMENT_3D('',#43510,#34289,#34290); #29744=AXIS2_PLACEMENT_3D('',#43512,#34292,#34293); #29745=AXIS2_PLACEMENT_3D('',#43514,#34294,#34295); #29746=AXIS2_PLACEMENT_3D('',#43517,#34297,#34298); #29747=AXIS2_PLACEMENT_3D('',#43519,#34299,#34300); #29748=AXIS2_PLACEMENT_3D('',#43521,#34302,#34303); #29749=AXIS2_PLACEMENT_3D('',#43523,#34304,#34305); #29750=AXIS2_PLACEMENT_3D('',#43526,#34307,#34308); #29751=AXIS2_PLACEMENT_3D('',#43528,#34309,#34310); #29752=AXIS2_PLACEMENT_3D('',#43530,#34312,#34313); #29753=AXIS2_PLACEMENT_3D('',#43532,#34314,#34315); #29754=AXIS2_PLACEMENT_3D('',#43535,#34317,#34318); #29755=AXIS2_PLACEMENT_3D('',#43537,#34319,#34320); #29756=AXIS2_PLACEMENT_3D('',#43539,#34322,#34323); #29757=AXIS2_PLACEMENT_3D('',#43541,#34324,#34325); #29758=AXIS2_PLACEMENT_3D('',#43544,#34327,#34328); #29759=AXIS2_PLACEMENT_3D('',#43546,#34329,#34330); #29760=AXIS2_PLACEMENT_3D('',#43548,#34332,#34333); #29761=AXIS2_PLACEMENT_3D('',#43550,#34334,#34335); #29762=AXIS2_PLACEMENT_3D('',#43553,#34337,#34338); #29763=AXIS2_PLACEMENT_3D('',#43555,#34339,#34340); #29764=AXIS2_PLACEMENT_3D('',#43557,#34342,#34343); #29765=AXIS2_PLACEMENT_3D('',#43559,#34344,#34345); #29766=AXIS2_PLACEMENT_3D('',#43562,#34347,#34348); #29767=AXIS2_PLACEMENT_3D('',#43564,#34349,#34350); #29768=AXIS2_PLACEMENT_3D('',#43566,#34352,#34353); #29769=AXIS2_PLACEMENT_3D('',#43568,#34354,#34355); #29770=AXIS2_PLACEMENT_3D('',#43571,#34357,#34358); #29771=AXIS2_PLACEMENT_3D('',#43573,#34359,#34360); #29772=AXIS2_PLACEMENT_3D('',#43575,#34362,#34363); #29773=AXIS2_PLACEMENT_3D('',#43577,#34364,#34365); #29774=AXIS2_PLACEMENT_3D('',#43580,#34367,#34368); #29775=AXIS2_PLACEMENT_3D('',#43582,#34369,#34370); #29776=AXIS2_PLACEMENT_3D('',#43584,#34372,#34373); #29777=AXIS2_PLACEMENT_3D('',#43586,#34374,#34375); #29778=AXIS2_PLACEMENT_3D('',#43589,#34377,#34378); #29779=AXIS2_PLACEMENT_3D('',#43591,#34379,#34380); #29780=AXIS2_PLACEMENT_3D('',#43593,#34382,#34383); #29781=AXIS2_PLACEMENT_3D('',#43595,#34384,#34385); #29782=AXIS2_PLACEMENT_3D('',#43598,#34387,#34388); #29783=AXIS2_PLACEMENT_3D('',#43600,#34389,#34390); #29784=AXIS2_PLACEMENT_3D('',#43602,#34392,#34393); #29785=AXIS2_PLACEMENT_3D('',#43604,#34394,#34395); #29786=AXIS2_PLACEMENT_3D('',#43607,#34397,#34398); #29787=AXIS2_PLACEMENT_3D('',#43609,#34399,#34400); #29788=AXIS2_PLACEMENT_3D('',#43611,#34402,#34403); #29789=AXIS2_PLACEMENT_3D('',#43613,#34404,#34405); #29790=AXIS2_PLACEMENT_3D('',#43616,#34407,#34408); #29791=AXIS2_PLACEMENT_3D('',#43618,#34409,#34410); #29792=AXIS2_PLACEMENT_3D('',#43620,#34412,#34413); #29793=AXIS2_PLACEMENT_3D('',#43622,#34414,#34415); #29794=AXIS2_PLACEMENT_3D('',#43625,#34417,#34418); #29795=AXIS2_PLACEMENT_3D('',#43627,#34419,#34420); #29796=AXIS2_PLACEMENT_3D('',#43629,#34422,#34423); #29797=AXIS2_PLACEMENT_3D('',#43631,#34424,#34425); #29798=AXIS2_PLACEMENT_3D('',#43634,#34427,#34428); #29799=AXIS2_PLACEMENT_3D('',#43636,#34429,#34430); #29800=AXIS2_PLACEMENT_3D('',#43638,#34432,#34433); #29801=AXIS2_PLACEMENT_3D('',#43640,#34434,#34435); #29802=AXIS2_PLACEMENT_3D('',#43643,#34437,#34438); #29803=AXIS2_PLACEMENT_3D('',#43645,#34439,#34440); #29804=AXIS2_PLACEMENT_3D('',#43647,#34442,#34443); #29805=AXIS2_PLACEMENT_3D('',#43649,#34444,#34445); #29806=AXIS2_PLACEMENT_3D('',#43652,#34447,#34448); #29807=AXIS2_PLACEMENT_3D('',#43654,#34449,#34450); #29808=AXIS2_PLACEMENT_3D('',#43656,#34452,#34453); #29809=AXIS2_PLACEMENT_3D('',#43658,#34454,#34455); #29810=AXIS2_PLACEMENT_3D('',#43661,#34457,#34458); #29811=AXIS2_PLACEMENT_3D('',#43663,#34459,#34460); #29812=AXIS2_PLACEMENT_3D('',#43665,#34462,#34463); #29813=AXIS2_PLACEMENT_3D('',#43667,#34464,#34465); #29814=AXIS2_PLACEMENT_3D('',#43670,#34467,#34468); #29815=AXIS2_PLACEMENT_3D('',#43672,#34469,#34470); #29816=AXIS2_PLACEMENT_3D('',#43674,#34472,#34473); #29817=AXIS2_PLACEMENT_3D('',#43676,#34474,#34475); #29818=AXIS2_PLACEMENT_3D('',#43679,#34477,#34478); #29819=AXIS2_PLACEMENT_3D('',#43681,#34479,#34480); #29820=AXIS2_PLACEMENT_3D('',#43683,#34482,#34483); #29821=AXIS2_PLACEMENT_3D('',#43692,#34488,#34489); #29822=AXIS2_PLACEMENT_3D('',#43700,#34494,#34495); #29823=AXIS2_PLACEMENT_3D('',#43704,#34497,#34498); #29824=AXIS2_PLACEMENT_3D('',#43706,#34500,#34501); #29825=AXIS2_PLACEMENT_3D('',#43708,#34502,#34503); #29826=AXIS2_PLACEMENT_3D('',#43710,#34505,#34506); #29827=AXIS2_PLACEMENT_3D('',#43712,#34507,#34508); #29828=AXIS2_PLACEMENT_3D('',#43715,#34510,#34511); #29829=AXIS2_PLACEMENT_3D('',#43716,#34512,#34513); #29830=AXIS2_PLACEMENT_3D('',#43722,#34517,#34518); #29831=AXIS2_PLACEMENT_3D('',#43728,#34522,#34523); #29832=AXIS2_PLACEMENT_3D('',#43734,#34527,#34528); #29833=AXIS2_PLACEMENT_3D('',#43736,#34530,#34531); #29834=AXIS2_PLACEMENT_3D('',#43741,#34534,#34535); #29835=AXIS2_PLACEMENT_3D('',#43743,#34536,#34537); #29836=AXIS2_PLACEMENT_3D('',#43745,#34538,#34539); #29837=AXIS2_PLACEMENT_3D('',#43748,#34541,#34542); #29838=AXIS2_PLACEMENT_3D('',#43749,#34543,#34544); #29839=AXIS2_PLACEMENT_3D('',#43750,#34545,#34546); #29840=AXIS2_PLACEMENT_3D('',#43753,#34549,#34550); #29841=AXIS2_PLACEMENT_3D('',#43755,#34552,#34553); #29842=AXIS2_PLACEMENT_3D('',#43757,#34555,#34556); #29843=AXIS2_PLACEMENT_3D('',#43759,#34558,#34559); #29844=AXIS2_PLACEMENT_3D('',#43760,#34560,#34561); #29845=AXIS2_PLACEMENT_3D('placement',#43761,#34562,#34563); #29846=AXIS2_PLACEMENT_3D('',#43762,#34564,#34565); #29847=AXIS2_PLACEMENT_3D('',#43771,#34570,#34571); #29848=AXIS2_PLACEMENT_3D('',#43780,#34576,#34577); #29849=AXIS2_PLACEMENT_3D('',#43782,#34578,#34579); #29850=AXIS2_PLACEMENT_3D('',#43784,#34580,#34581); #29851=AXIS2_PLACEMENT_3D('',#43786,#34582,#34583); #29852=AXIS2_PLACEMENT_3D('',#43788,#34584,#34585); #29853=AXIS2_PLACEMENT_3D('',#43790,#34586,#34587); #29854=AXIS2_PLACEMENT_3D('',#43792,#34588,#34589); #29855=AXIS2_PLACEMENT_3D('',#43794,#34590,#34591); #29856=AXIS2_PLACEMENT_3D('',#43795,#34592,#34593); #29857=AXIS2_PLACEMENT_3D('',#43797,#34594,#34595); #29858=AXIS2_PLACEMENT_3D('',#43800,#34597,#34598); #29859=AXIS2_PLACEMENT_3D('',#43802,#34599,#34600); #29860=AXIS2_PLACEMENT_3D('',#43804,#34602,#34603); #29861=AXIS2_PLACEMENT_3D('',#43806,#34604,#34605); #29862=AXIS2_PLACEMENT_3D('',#43809,#34607,#34608); #29863=AXIS2_PLACEMENT_3D('',#43811,#34609,#34610); #29864=AXIS2_PLACEMENT_3D('',#43813,#34612,#34613); #29865=AXIS2_PLACEMENT_3D('',#43815,#34614,#34615); #29866=AXIS2_PLACEMENT_3D('',#43818,#34617,#34618); #29867=AXIS2_PLACEMENT_3D('',#43820,#34619,#34620); #29868=AXIS2_PLACEMENT_3D('',#43822,#34622,#34623); #29869=AXIS2_PLACEMENT_3D('',#43824,#34624,#34625); #29870=AXIS2_PLACEMENT_3D('',#43827,#34627,#34628); #29871=AXIS2_PLACEMENT_3D('',#43829,#34629,#34630); #29872=AXIS2_PLACEMENT_3D('',#43831,#34632,#34633); #29873=AXIS2_PLACEMENT_3D('',#43833,#34634,#34635); #29874=AXIS2_PLACEMENT_3D('',#43836,#34637,#34638); #29875=AXIS2_PLACEMENT_3D('',#43838,#34639,#34640); #29876=AXIS2_PLACEMENT_3D('',#43840,#34642,#34643); #29877=AXIS2_PLACEMENT_3D('',#43842,#34644,#34645); #29878=AXIS2_PLACEMENT_3D('',#43845,#34647,#34648); #29879=AXIS2_PLACEMENT_3D('',#43847,#34649,#34650); #29880=AXIS2_PLACEMENT_3D('',#43849,#34652,#34653); #29881=AXIS2_PLACEMENT_3D('',#43851,#34654,#34655); #29882=AXIS2_PLACEMENT_3D('',#43854,#34657,#34658); #29883=AXIS2_PLACEMENT_3D('',#43856,#34659,#34660); #29884=AXIS2_PLACEMENT_3D('',#43858,#34662,#34663); #29885=AXIS2_PLACEMENT_3D('',#43860,#34664,#34665); #29886=AXIS2_PLACEMENT_3D('',#43863,#34667,#34668); #29887=AXIS2_PLACEMENT_3D('',#43865,#34669,#34670); #29888=AXIS2_PLACEMENT_3D('',#43867,#34672,#34673); #29889=AXIS2_PLACEMENT_3D('',#43869,#34674,#34675); #29890=AXIS2_PLACEMENT_3D('',#43872,#34677,#34678); #29891=AXIS2_PLACEMENT_3D('',#43922,#34679,#34680); #29892=AXIS2_PLACEMENT_3D('',#43925,#34682,#34683); #29893=AXIS2_PLACEMENT_3D('',#43926,#34684,#34685); #29894=AXIS2_PLACEMENT_3D('',#43927,#34686,#34687); #29895=AXIS2_PLACEMENT_3D('',#43929,#34688,#34689); #29896=AXIS2_PLACEMENT_3D('',#43932,#34691,#34692); #29897=AXIS2_PLACEMENT_3D('',#43934,#34693,#34694); #29898=AXIS2_PLACEMENT_3D('',#43936,#34696,#34697); #29899=AXIS2_PLACEMENT_3D('',#43938,#34698,#34699); #29900=AXIS2_PLACEMENT_3D('',#43941,#34701,#34702); #29901=AXIS2_PLACEMENT_3D('',#43943,#34703,#34704); #29902=AXIS2_PLACEMENT_3D('',#43945,#34706,#34707); #29903=AXIS2_PLACEMENT_3D('',#43947,#34708,#34709); #29904=AXIS2_PLACEMENT_3D('',#43950,#34711,#34712); #29905=AXIS2_PLACEMENT_3D('',#43952,#34713,#34714); #29906=AXIS2_PLACEMENT_3D('',#43954,#34716,#34717); #29907=AXIS2_PLACEMENT_3D('',#43956,#34718,#34719); #29908=AXIS2_PLACEMENT_3D('',#43959,#34721,#34722); #29909=AXIS2_PLACEMENT_3D('',#43961,#34723,#34724); #29910=AXIS2_PLACEMENT_3D('',#43963,#34726,#34727); #29911=AXIS2_PLACEMENT_3D('',#43980,#34728,#34729); #29912=AXIS2_PLACEMENT_3D('',#43983,#34731,#34732); #29913=AXIS2_PLACEMENT_3D('',#43984,#34733,#34734); #29914=AXIS2_PLACEMENT_3D('',#43986,#34735,#34736); #29915=AXIS2_PLACEMENT_3D('',#44017,#34738,#34739); #29916=AXIS2_PLACEMENT_3D('',#44019,#34740,#34741); #29917=AXIS2_PLACEMENT_3D('',#44022,#34743,#34744); #29918=AXIS2_PLACEMENT_3D('',#44024,#34745,#34746); #29919=AXIS2_PLACEMENT_3D('',#44026,#34748,#34749); #29920=AXIS2_PLACEMENT_3D('',#44028,#34750,#34751); #29921=AXIS2_PLACEMENT_3D('',#44031,#34753,#34754); #29922=AXIS2_PLACEMENT_3D('',#44033,#34755,#34756); #29923=AXIS2_PLACEMENT_3D('',#44035,#34758,#34759); #29924=AXIS2_PLACEMENT_3D('',#44037,#34760,#34761); #29925=AXIS2_PLACEMENT_3D('',#44040,#34763,#34764); #29926=AXIS2_PLACEMENT_3D('',#44042,#34765,#34766); #29927=AXIS2_PLACEMENT_3D('',#44044,#34768,#34769); #29928=AXIS2_PLACEMENT_3D('',#44046,#34770,#34771); #29929=AXIS2_PLACEMENT_3D('',#44049,#34773,#34774); #29930=AXIS2_PLACEMENT_3D('',#44051,#34775,#34776); #29931=AXIS2_PLACEMENT_3D('',#44053,#34778,#34779); #29932=AXIS2_PLACEMENT_3D('',#44055,#34780,#34781); #29933=AXIS2_PLACEMENT_3D('',#44057,#34783,#34784); #29934=AXIS2_PLACEMENT_3D('',#44059,#34785,#34786); #29935=AXIS2_PLACEMENT_3D('',#44062,#34788,#34789); #29936=AXIS2_PLACEMENT_3D('',#44064,#34790,#34791); #29937=AXIS2_PLACEMENT_3D('',#44066,#34793,#34794); #29938=AXIS2_PLACEMENT_3D('',#44068,#34795,#34796); #29939=AXIS2_PLACEMENT_3D('',#44071,#34798,#34799); #29940=AXIS2_PLACEMENT_3D('',#44073,#34800,#34801); #29941=AXIS2_PLACEMENT_3D('',#44075,#34803,#34804); #29942=AXIS2_PLACEMENT_3D('',#44077,#34805,#34806); #29943=AXIS2_PLACEMENT_3D('',#44080,#34808,#34809); #29944=AXIS2_PLACEMENT_3D('',#44082,#34810,#34811); #29945=AXIS2_PLACEMENT_3D('',#44084,#34813,#34814); #29946=AXIS2_PLACEMENT_3D('',#44086,#34815,#34816); #29947=AXIS2_PLACEMENT_3D('',#44089,#34818,#34819); #29948=AXIS2_PLACEMENT_3D('',#44091,#34820,#34821); #29949=AXIS2_PLACEMENT_3D('',#44093,#34823,#34824); #29950=AXIS2_PLACEMENT_3D('',#44095,#34825,#34826); #29951=AXIS2_PLACEMENT_3D('',#44098,#34828,#34829); #29952=AXIS2_PLACEMENT_3D('',#44100,#34830,#34831); #29953=AXIS2_PLACEMENT_3D('',#44102,#34833,#34834); #29954=AXIS2_PLACEMENT_3D('',#44104,#34835,#34836); #29955=AXIS2_PLACEMENT_3D('',#44107,#34838,#34839); #29956=AXIS2_PLACEMENT_3D('',#44109,#34840,#34841); #29957=AXIS2_PLACEMENT_3D('',#44111,#34843,#34844); #29958=AXIS2_PLACEMENT_3D('',#44113,#34845,#34846); #29959=AXIS2_PLACEMENT_3D('',#44116,#34848,#34849); #29960=AXIS2_PLACEMENT_3D('',#44118,#34850,#34851); #29961=AXIS2_PLACEMENT_3D('',#44120,#34853,#34854); #29962=AXIS2_PLACEMENT_3D('',#44122,#34855,#34856); #29963=AXIS2_PLACEMENT_3D('',#44125,#34858,#34859); #29964=AXIS2_PLACEMENT_3D('',#44127,#34860,#34861); #29965=AXIS2_PLACEMENT_3D('',#44129,#34863,#34864); #29966=AXIS2_PLACEMENT_3D('',#44131,#34865,#34866); #29967=AXIS2_PLACEMENT_3D('',#44134,#34868,#34869); #29968=AXIS2_PLACEMENT_3D('',#44136,#34870,#34871); #29969=AXIS2_PLACEMENT_3D('',#44138,#34873,#34874); #29970=AXIS2_PLACEMENT_3D('',#44140,#34875,#34876); #29971=AXIS2_PLACEMENT_3D('',#44143,#34878,#34879); #29972=AXIS2_PLACEMENT_3D('',#44145,#34880,#34881); #29973=AXIS2_PLACEMENT_3D('',#44147,#34883,#34884); #29974=AXIS2_PLACEMENT_3D('',#44149,#34885,#34886); #29975=AXIS2_PLACEMENT_3D('',#44152,#34888,#34889); #29976=AXIS2_PLACEMENT_3D('',#44154,#34890,#34891); #29977=AXIS2_PLACEMENT_3D('',#44156,#34893,#34894); #29978=AXIS2_PLACEMENT_3D('',#44158,#34895,#34896); #29979=AXIS2_PLACEMENT_3D('',#44160,#34898,#34899); #29980=AXIS2_PLACEMENT_3D('',#44162,#34900,#34901); #29981=AXIS2_PLACEMENT_3D('',#44165,#34903,#34904); #29982=AXIS2_PLACEMENT_3D('',#44167,#34905,#34906); #29983=AXIS2_PLACEMENT_3D('',#44169,#34908,#34909); #29984=AXIS2_PLACEMENT_3D('',#44171,#34910,#34911); #29985=AXIS2_PLACEMENT_3D('',#44174,#34913,#34914); #29986=AXIS2_PLACEMENT_3D('',#44176,#34915,#34916); #29987=AXIS2_PLACEMENT_3D('',#44178,#34918,#34919); #29988=AXIS2_PLACEMENT_3D('',#44180,#34920,#34921); #29989=AXIS2_PLACEMENT_3D('',#44183,#34923,#34924); #29990=AXIS2_PLACEMENT_3D('',#44185,#34925,#34926); #29991=AXIS2_PLACEMENT_3D('',#44187,#34928,#34929); #29992=AXIS2_PLACEMENT_3D('',#44189,#34930,#34931); #29993=AXIS2_PLACEMENT_3D('',#44192,#34933,#34934); #29994=AXIS2_PLACEMENT_3D('',#44194,#34935,#34936); #29995=AXIS2_PLACEMENT_3D('',#44196,#34938,#34939); #29996=AXIS2_PLACEMENT_3D('',#44198,#34940,#34941); #29997=AXIS2_PLACEMENT_3D('',#44201,#34943,#34944); #29998=AXIS2_PLACEMENT_3D('',#44203,#34945,#34946); #29999=AXIS2_PLACEMENT_3D('',#44205,#34948,#34949); #30000=AXIS2_PLACEMENT_3D('',#44207,#34950,#34951); #30001=AXIS2_PLACEMENT_3D('',#44210,#34953,#34954); #30002=AXIS2_PLACEMENT_3D('',#44212,#34955,#34956); #30003=AXIS2_PLACEMENT_3D('',#44214,#34958,#34959); #30004=AXIS2_PLACEMENT_3D('',#44216,#34960,#34961); #30005=AXIS2_PLACEMENT_3D('',#44219,#34963,#34964); #30006=AXIS2_PLACEMENT_3D('',#44221,#34965,#34966); #30007=AXIS2_PLACEMENT_3D('',#44223,#34968,#34969); #30008=AXIS2_PLACEMENT_3D('',#44225,#34970,#34971); #30009=AXIS2_PLACEMENT_3D('',#44228,#34973,#34974); #30010=AXIS2_PLACEMENT_3D('',#44230,#34975,#34976); #30011=AXIS2_PLACEMENT_3D('',#44232,#34978,#34979); #30012=AXIS2_PLACEMENT_3D('',#44234,#34980,#34981); #30013=AXIS2_PLACEMENT_3D('',#44237,#34983,#34984); #30014=AXIS2_PLACEMENT_3D('',#44239,#34985,#34986); #30015=AXIS2_PLACEMENT_3D('',#44241,#34988,#34989); #30016=AXIS2_PLACEMENT_3D('',#44243,#34990,#34991); #30017=AXIS2_PLACEMENT_3D('',#44246,#34993,#34994); #30018=AXIS2_PLACEMENT_3D('',#44248,#34995,#34996); #30019=AXIS2_PLACEMENT_3D('',#44250,#34998,#34999); #30020=AXIS2_PLACEMENT_3D('',#44252,#35000,#35001); #30021=AXIS2_PLACEMENT_3D('',#44255,#35003,#35004); #30022=AXIS2_PLACEMENT_3D('',#44257,#35005,#35006); #30023=AXIS2_PLACEMENT_3D('',#44259,#35008,#35009); #30024=AXIS2_PLACEMENT_3D('',#44261,#35010,#35011); #30025=AXIS2_PLACEMENT_3D('',#44264,#35013,#35014); #30026=AXIS2_PLACEMENT_3D('',#44266,#35015,#35016); #30027=AXIS2_PLACEMENT_3D('',#44268,#35018,#35019); #30028=AXIS2_PLACEMENT_3D('',#44270,#35020,#35021); #30029=AXIS2_PLACEMENT_3D('',#44273,#35023,#35024); #30030=AXIS2_PLACEMENT_3D('',#44275,#35025,#35026); #30031=AXIS2_PLACEMENT_3D('',#44277,#35028,#35029); #30032=AXIS2_PLACEMENT_3D('',#44279,#35030,#35031); #30033=AXIS2_PLACEMENT_3D('',#44282,#35033,#35034); #30034=AXIS2_PLACEMENT_3D('',#44284,#35035,#35036); #30035=AXIS2_PLACEMENT_3D('',#44286,#35038,#35039); #30036=AXIS2_PLACEMENT_3D('',#44288,#35040,#35041); #30037=AXIS2_PLACEMENT_3D('',#44291,#35043,#35044); #30038=AXIS2_PLACEMENT_3D('',#44293,#35045,#35046); #30039=AXIS2_PLACEMENT_3D('',#44295,#35048,#35049); #30040=AXIS2_PLACEMENT_3D('',#44297,#35050,#35051); #30041=AXIS2_PLACEMENT_3D('',#44300,#35053,#35054); #30042=AXIS2_PLACEMENT_3D('',#44302,#35055,#35056); #30043=AXIS2_PLACEMENT_3D('',#44304,#35058,#35059); #30044=AXIS2_PLACEMENT_3D('',#44306,#35060,#35061); #30045=AXIS2_PLACEMENT_3D('',#44309,#35063,#35064); #30046=AXIS2_PLACEMENT_3D('',#44311,#35065,#35066); #30047=AXIS2_PLACEMENT_3D('',#44313,#35068,#35069); #30048=AXIS2_PLACEMENT_3D('',#44315,#35070,#35071); #30049=AXIS2_PLACEMENT_3D('',#44318,#35073,#35074); #30050=AXIS2_PLACEMENT_3D('',#44320,#35075,#35076); #30051=AXIS2_PLACEMENT_3D('',#44322,#35078,#35079); #30052=AXIS2_PLACEMENT_3D('',#44324,#35080,#35081); #30053=AXIS2_PLACEMENT_3D('',#44327,#35083,#35084); #30054=AXIS2_PLACEMENT_3D('',#44329,#35085,#35086); #30055=AXIS2_PLACEMENT_3D('',#44331,#35088,#35089); #30056=AXIS2_PLACEMENT_3D('',#44333,#35090,#35091); #30057=AXIS2_PLACEMENT_3D('',#44336,#35093,#35094); #30058=AXIS2_PLACEMENT_3D('',#44338,#35095,#35096); #30059=AXIS2_PLACEMENT_3D('',#44340,#35098,#35099); #30060=AXIS2_PLACEMENT_3D('',#44342,#35100,#35101); #30061=AXIS2_PLACEMENT_3D('',#44345,#35103,#35104); #30062=AXIS2_PLACEMENT_3D('',#44347,#35105,#35106); #30063=AXIS2_PLACEMENT_3D('',#44349,#35108,#35109); #30064=AXIS2_PLACEMENT_3D('',#44351,#35110,#35111); #30065=AXIS2_PLACEMENT_3D('',#44354,#35113,#35114); #30066=AXIS2_PLACEMENT_3D('',#44356,#35115,#35116); #30067=AXIS2_PLACEMENT_3D('',#44358,#35118,#35119); #30068=AXIS2_PLACEMENT_3D('',#44360,#35120,#35121); #30069=AXIS2_PLACEMENT_3D('',#44363,#35123,#35124); #30070=AXIS2_PLACEMENT_3D('',#44365,#35125,#35126); #30071=AXIS2_PLACEMENT_3D('',#44367,#35128,#35129); #30072=AXIS2_PLACEMENT_3D('',#44369,#35130,#35131); #30073=AXIS2_PLACEMENT_3D('',#44372,#35133,#35134); #30074=AXIS2_PLACEMENT_3D('',#44374,#35135,#35136); #30075=AXIS2_PLACEMENT_3D('',#44376,#35138,#35139); #30076=AXIS2_PLACEMENT_3D('',#44378,#35140,#35141); #30077=AXIS2_PLACEMENT_3D('',#44381,#35143,#35144); #30078=AXIS2_PLACEMENT_3D('',#44383,#35145,#35146); #30079=AXIS2_PLACEMENT_3D('',#44385,#35148,#35149); #30080=AXIS2_PLACEMENT_3D('',#44387,#35150,#35151); #30081=AXIS2_PLACEMENT_3D('',#44390,#35153,#35154); #30082=AXIS2_PLACEMENT_3D('',#44392,#35155,#35156); #30083=AXIS2_PLACEMENT_3D('',#44394,#35158,#35159); #30084=AXIS2_PLACEMENT_3D('',#44396,#35160,#35161); #30085=AXIS2_PLACEMENT_3D('',#44399,#35163,#35164); #30086=AXIS2_PLACEMENT_3D('',#44401,#35165,#35166); #30087=AXIS2_PLACEMENT_3D('',#44403,#35168,#35169); #30088=AXIS2_PLACEMENT_3D('',#44405,#35170,#35171); #30089=AXIS2_PLACEMENT_3D('',#44408,#35173,#35174); #30090=AXIS2_PLACEMENT_3D('',#44410,#35175,#35176); #30091=AXIS2_PLACEMENT_3D('',#44412,#35178,#35179); #30092=AXIS2_PLACEMENT_3D('',#44414,#35180,#35181); #30093=AXIS2_PLACEMENT_3D('',#44417,#35183,#35184); #30094=AXIS2_PLACEMENT_3D('',#44419,#35185,#35186); #30095=AXIS2_PLACEMENT_3D('',#44421,#35188,#35189); #30096=AXIS2_PLACEMENT_3D('',#44423,#35190,#35191); #30097=AXIS2_PLACEMENT_3D('',#44426,#35193,#35194); #30098=AXIS2_PLACEMENT_3D('',#44428,#35195,#35196); #30099=AXIS2_PLACEMENT_3D('',#44430,#35198,#35199); #30100=AXIS2_PLACEMENT_3D('',#44432,#35200,#35201); #30101=AXIS2_PLACEMENT_3D('',#44435,#35203,#35204); #30102=AXIS2_PLACEMENT_3D('',#44437,#35205,#35206); #30103=AXIS2_PLACEMENT_3D('',#44439,#35208,#35209); #30104=AXIS2_PLACEMENT_3D('',#44441,#35210,#35211); #30105=AXIS2_PLACEMENT_3D('',#44444,#35213,#35214); #30106=AXIS2_PLACEMENT_3D('',#44446,#35215,#35216); #30107=AXIS2_PLACEMENT_3D('',#44448,#35218,#35219); #30108=AXIS2_PLACEMENT_3D('',#44450,#35220,#35221); #30109=AXIS2_PLACEMENT_3D('',#44453,#35223,#35224); #30110=AXIS2_PLACEMENT_3D('',#44455,#35225,#35226); #30111=AXIS2_PLACEMENT_3D('',#44457,#35228,#35229); #30112=AXIS2_PLACEMENT_3D('',#44459,#35230,#35231); #30113=AXIS2_PLACEMENT_3D('',#44462,#35233,#35234); #30114=AXIS2_PLACEMENT_3D('',#44464,#35235,#35236); #30115=AXIS2_PLACEMENT_3D('',#44466,#35238,#35239); #30116=AXIS2_PLACEMENT_3D('',#44468,#35240,#35241); #30117=AXIS2_PLACEMENT_3D('',#44471,#35243,#35244); #30118=AXIS2_PLACEMENT_3D('',#44473,#35245,#35246); #30119=AXIS2_PLACEMENT_3D('',#44475,#35248,#35249); #30120=AXIS2_PLACEMENT_3D('',#44477,#35250,#35251); #30121=AXIS2_PLACEMENT_3D('',#44480,#35253,#35254); #30122=AXIS2_PLACEMENT_3D('',#44482,#35255,#35256); #30123=AXIS2_PLACEMENT_3D('',#44484,#35258,#35259); #30124=AXIS2_PLACEMENT_3D('',#44486,#35260,#35261); #30125=AXIS2_PLACEMENT_3D('',#44489,#35263,#35264); #30126=AXIS2_PLACEMENT_3D('',#44491,#35265,#35266); #30127=AXIS2_PLACEMENT_3D('',#44493,#35268,#35269); #30128=AXIS2_PLACEMENT_3D('',#44495,#35270,#35271); #30129=AXIS2_PLACEMENT_3D('',#44498,#35273,#35274); #30130=AXIS2_PLACEMENT_3D('',#44500,#35275,#35276); #30131=AXIS2_PLACEMENT_3D('',#44502,#35278,#35279); #30132=AXIS2_PLACEMENT_3D('',#44504,#35280,#35281); #30133=AXIS2_PLACEMENT_3D('',#44507,#35283,#35284); #30134=AXIS2_PLACEMENT_3D('',#44509,#35285,#35286); #30135=AXIS2_PLACEMENT_3D('',#44511,#35288,#35289); #30136=AXIS2_PLACEMENT_3D('',#44513,#35290,#35291); #30137=AXIS2_PLACEMENT_3D('',#44516,#35293,#35294); #30138=AXIS2_PLACEMENT_3D('',#44518,#35295,#35296); #30139=AXIS2_PLACEMENT_3D('',#44520,#35298,#35299); #30140=AXIS2_PLACEMENT_3D('',#44522,#35300,#35301); #30141=AXIS2_PLACEMENT_3D('',#44525,#35303,#35304); #30142=AXIS2_PLACEMENT_3D('',#44527,#35305,#35306); #30143=AXIS2_PLACEMENT_3D('',#44529,#35308,#35309); #30144=AXIS2_PLACEMENT_3D('',#44531,#35310,#35311); #30145=AXIS2_PLACEMENT_3D('',#44534,#35313,#35314); #30146=AXIS2_PLACEMENT_3D('',#44536,#35315,#35316); #30147=AXIS2_PLACEMENT_3D('',#44538,#35318,#35319); #30148=AXIS2_PLACEMENT_3D('',#44540,#35320,#35321); #30149=AXIS2_PLACEMENT_3D('',#44543,#35323,#35324); #30150=AXIS2_PLACEMENT_3D('',#44545,#35325,#35326); #30151=AXIS2_PLACEMENT_3D('',#44547,#35328,#35329); #30152=AXIS2_PLACEMENT_3D('',#44549,#35330,#35331); #30153=AXIS2_PLACEMENT_3D('',#44552,#35333,#35334); #30154=AXIS2_PLACEMENT_3D('',#44554,#35335,#35336); #30155=AXIS2_PLACEMENT_3D('',#44556,#35338,#35339); #30156=AXIS2_PLACEMENT_3D('',#44558,#35340,#35341); #30157=AXIS2_PLACEMENT_3D('',#44561,#35343,#35344); #30158=AXIS2_PLACEMENT_3D('',#44563,#35345,#35346); #30159=AXIS2_PLACEMENT_3D('',#44565,#35348,#35349); #30160=AXIS2_PLACEMENT_3D('',#44567,#35350,#35351); #30161=AXIS2_PLACEMENT_3D('',#44570,#35353,#35354); #30162=AXIS2_PLACEMENT_3D('',#44572,#35355,#35356); #30163=AXIS2_PLACEMENT_3D('',#44574,#35358,#35359); #30164=AXIS2_PLACEMENT_3D('',#44576,#35360,#35361); #30165=AXIS2_PLACEMENT_3D('',#44579,#35363,#35364); #30166=AXIS2_PLACEMENT_3D('',#44581,#35365,#35366); #30167=AXIS2_PLACEMENT_3D('',#44583,#35368,#35369); #30168=AXIS2_PLACEMENT_3D('',#44585,#35370,#35371); #30169=AXIS2_PLACEMENT_3D('',#44588,#35373,#35374); #30170=AXIS2_PLACEMENT_3D('',#44590,#35375,#35376); #30171=AXIS2_PLACEMENT_3D('',#44592,#35378,#35379); #30172=AXIS2_PLACEMENT_3D('',#44594,#35380,#35381); #30173=AXIS2_PLACEMENT_3D('',#44597,#35383,#35384); #30174=AXIS2_PLACEMENT_3D('',#44599,#35385,#35386); #30175=AXIS2_PLACEMENT_3D('',#44601,#35388,#35389); #30176=AXIS2_PLACEMENT_3D('',#44603,#35390,#35391); #30177=AXIS2_PLACEMENT_3D('',#44606,#35393,#35394); #30178=AXIS2_PLACEMENT_3D('',#44608,#35395,#35396); #30179=AXIS2_PLACEMENT_3D('',#44610,#35398,#35399); #30180=AXIS2_PLACEMENT_3D('',#44612,#35400,#35401); #30181=AXIS2_PLACEMENT_3D('',#44615,#35403,#35404); #30182=AXIS2_PLACEMENT_3D('',#44617,#35405,#35406); #30183=AXIS2_PLACEMENT_3D('',#44619,#35408,#35409); #30184=AXIS2_PLACEMENT_3D('',#44621,#35410,#35411); #30185=AXIS2_PLACEMENT_3D('',#44624,#35413,#35414); #30186=AXIS2_PLACEMENT_3D('',#44626,#35415,#35416); #30187=AXIS2_PLACEMENT_3D('',#44628,#35418,#35419); #30188=AXIS2_PLACEMENT_3D('',#44630,#35420,#35421); #30189=AXIS2_PLACEMENT_3D('',#44633,#35423,#35424); #30190=AXIS2_PLACEMENT_3D('',#44635,#35425,#35426); #30191=AXIS2_PLACEMENT_3D('',#44637,#35428,#35429); #30192=AXIS2_PLACEMENT_3D('',#44639,#35430,#35431); #30193=AXIS2_PLACEMENT_3D('',#44642,#35433,#35434); #30194=AXIS2_PLACEMENT_3D('',#44644,#35435,#35436); #30195=AXIS2_PLACEMENT_3D('',#44646,#35438,#35439); #30196=AXIS2_PLACEMENT_3D('',#44648,#35440,#35441); #30197=AXIS2_PLACEMENT_3D('',#44651,#35443,#35444); #30198=AXIS2_PLACEMENT_3D('',#44653,#35445,#35446); #30199=AXIS2_PLACEMENT_3D('',#44655,#35448,#35449); #30200=AXIS2_PLACEMENT_3D('',#44657,#35450,#35451); #30201=AXIS2_PLACEMENT_3D('',#44660,#35453,#35454); #30202=AXIS2_PLACEMENT_3D('',#44662,#35455,#35456); #30203=AXIS2_PLACEMENT_3D('',#44664,#35458,#35459); #30204=AXIS2_PLACEMENT_3D('',#44666,#35460,#35461); #30205=AXIS2_PLACEMENT_3D('',#44669,#35463,#35464); #30206=AXIS2_PLACEMENT_3D('',#44671,#35465,#35466); #30207=AXIS2_PLACEMENT_3D('',#44673,#35468,#35469); #30208=AXIS2_PLACEMENT_3D('',#44675,#35470,#35471); #30209=AXIS2_PLACEMENT_3D('',#44678,#35473,#35474); #30210=AXIS2_PLACEMENT_3D('',#44680,#35475,#35476); #30211=AXIS2_PLACEMENT_3D('',#44682,#35478,#35479); #30212=AXIS2_PLACEMENT_3D('',#44684,#35480,#35481); #30213=AXIS2_PLACEMENT_3D('',#44687,#35483,#35484); #30214=AXIS2_PLACEMENT_3D('',#44689,#35486,#35487); #30215=AXIS2_PLACEMENT_3D('',#44691,#35488,#35489); #30216=AXIS2_PLACEMENT_3D('',#44694,#35491,#35492); #30217=AXIS2_PLACEMENT_3D('',#44696,#35494,#35495); #30218=AXIS2_PLACEMENT_3D('',#44698,#35496,#35497); #30219=AXIS2_PLACEMENT_3D('',#44701,#35499,#35500); #30220=AXIS2_PLACEMENT_3D('',#44703,#35502,#35503); #30221=AXIS2_PLACEMENT_3D('',#44705,#35504,#35505); #30222=AXIS2_PLACEMENT_3D('',#44708,#35507,#35508); #30223=AXIS2_PLACEMENT_3D('',#44710,#35510,#35511); #30224=AXIS2_PLACEMENT_3D('',#44712,#35512,#35513); #30225=AXIS2_PLACEMENT_3D('',#44715,#35515,#35516); #30226=AXIS2_PLACEMENT_3D('',#44717,#35518,#35519); #30227=AXIS2_PLACEMENT_3D('',#44719,#35520,#35521); #30228=AXIS2_PLACEMENT_3D('',#44722,#35523,#35524); #30229=AXIS2_PLACEMENT_3D('',#44724,#35526,#35527); #30230=AXIS2_PLACEMENT_3D('',#44726,#35528,#35529); #30231=AXIS2_PLACEMENT_3D('',#44729,#35531,#35532); #30232=AXIS2_PLACEMENT_3D('',#44731,#35534,#35535); #30233=AXIS2_PLACEMENT_3D('',#44733,#35536,#35537); #30234=AXIS2_PLACEMENT_3D('',#44736,#35539,#35540); #30235=AXIS2_PLACEMENT_3D('',#44738,#35542,#35543); #30236=AXIS2_PLACEMENT_3D('',#44740,#35544,#35545); #30237=AXIS2_PLACEMENT_3D('',#44743,#35547,#35548); #30238=AXIS2_PLACEMENT_3D('',#44745,#35549,#35550); #30239=AXIS2_PLACEMENT_3D('',#44747,#35552,#35553); #30240=AXIS2_PLACEMENT_3D('',#44749,#35554,#35555); #30241=AXIS2_PLACEMENT_3D('',#44752,#35557,#35558); #30242=AXIS2_PLACEMENT_3D('',#44754,#35559,#35560); #30243=AXIS2_PLACEMENT_3D('',#44756,#35562,#35563); #30244=AXIS2_PLACEMENT_3D('',#44758,#35564,#35565); #30245=AXIS2_PLACEMENT_3D('',#44761,#35567,#35568); #30246=AXIS2_PLACEMENT_3D('',#44763,#35569,#35570); #30247=AXIS2_PLACEMENT_3D('',#44765,#35572,#35573); #30248=AXIS2_PLACEMENT_3D('',#44767,#35574,#35575); #30249=AXIS2_PLACEMENT_3D('',#44770,#35577,#35578); #30250=AXIS2_PLACEMENT_3D('',#44772,#35579,#35580); #30251=AXIS2_PLACEMENT_3D('',#44774,#35582,#35583); #30252=AXIS2_PLACEMENT_3D('',#44776,#35584,#35585); #30253=AXIS2_PLACEMENT_3D('',#44779,#35587,#35588); #30254=AXIS2_PLACEMENT_3D('',#44781,#35589,#35590); #30255=AXIS2_PLACEMENT_3D('',#44783,#35592,#35593); #30256=AXIS2_PLACEMENT_3D('',#44785,#35594,#35595); #30257=AXIS2_PLACEMENT_3D('',#44788,#35597,#35598); #30258=AXIS2_PLACEMENT_3D('',#44790,#35599,#35600); #30259=AXIS2_PLACEMENT_3D('',#44792,#35602,#35603); #30260=AXIS2_PLACEMENT_3D('',#44794,#35604,#35605); #30261=AXIS2_PLACEMENT_3D('',#44797,#35607,#35608); #30262=AXIS2_PLACEMENT_3D('',#44799,#35609,#35610); #30263=AXIS2_PLACEMENT_3D('',#44801,#35612,#35613); #30264=AXIS2_PLACEMENT_3D('',#44803,#35614,#35615); #30265=AXIS2_PLACEMENT_3D('',#44806,#35617,#35618); #30266=AXIS2_PLACEMENT_3D('',#44808,#35619,#35620); #30267=AXIS2_PLACEMENT_3D('',#44810,#35622,#35623); #30268=AXIS2_PLACEMENT_3D('',#44812,#35624,#35625); #30269=AXIS2_PLACEMENT_3D('',#44815,#35627,#35628); #30270=AXIS2_PLACEMENT_3D('',#44817,#35629,#35630); #30271=AXIS2_PLACEMENT_3D('',#44819,#35632,#35633); #30272=AXIS2_PLACEMENT_3D('',#44821,#35634,#35635); #30273=AXIS2_PLACEMENT_3D('',#44824,#35637,#35638); #30274=AXIS2_PLACEMENT_3D('',#44826,#35639,#35640); #30275=AXIS2_PLACEMENT_3D('',#44828,#35642,#35643); #30276=AXIS2_PLACEMENT_3D('',#44830,#35644,#35645); #30277=AXIS2_PLACEMENT_3D('',#44833,#35647,#35648); #30278=AXIS2_PLACEMENT_3D('',#44835,#35649,#35650); #30279=AXIS2_PLACEMENT_3D('',#44837,#35652,#35653); #30280=AXIS2_PLACEMENT_3D('',#44839,#35654,#35655); #30281=AXIS2_PLACEMENT_3D('',#44842,#35657,#35658); #30282=AXIS2_PLACEMENT_3D('',#44844,#35659,#35660); #30283=AXIS2_PLACEMENT_3D('',#44846,#35662,#35663); #30284=AXIS2_PLACEMENT_3D('',#44848,#35664,#35665); #30285=AXIS2_PLACEMENT_3D('',#44851,#35667,#35668); #30286=AXIS2_PLACEMENT_3D('',#44853,#35669,#35670); #30287=AXIS2_PLACEMENT_3D('',#44855,#35672,#35673); #30288=AXIS2_PLACEMENT_3D('',#44857,#35674,#35675); #30289=AXIS2_PLACEMENT_3D('',#44860,#35677,#35678); #30290=AXIS2_PLACEMENT_3D('',#44862,#35679,#35680); #30291=AXIS2_PLACEMENT_3D('',#44864,#35682,#35683); #30292=AXIS2_PLACEMENT_3D('',#44866,#35684,#35685); #30293=AXIS2_PLACEMENT_3D('',#44869,#35687,#35688); #30294=AXIS2_PLACEMENT_3D('',#44871,#35689,#35690); #30295=AXIS2_PLACEMENT_3D('',#44873,#35692,#35693); #30296=AXIS2_PLACEMENT_3D('',#44875,#35694,#35695); #30297=AXIS2_PLACEMENT_3D('',#44877,#35697,#35698); #30298=AXIS2_PLACEMENT_3D('',#44883,#35702,#35703); #30299=AXIS2_PLACEMENT_3D('',#44891,#35707,#35708); #30300=AXIS2_PLACEMENT_3D('',#44895,#35710,#35711); #30301=AXIS2_PLACEMENT_3D('',#44899,#35713,#35714); #30302=AXIS2_PLACEMENT_3D('',#44901,#35715,#35716); #30303=AXIS2_PLACEMENT_3D('',#44903,#35717,#35718); #30304=AXIS2_PLACEMENT_3D('',#44907,#35720,#35721); #30305=AXIS2_PLACEMENT_3D('',#44911,#35723,#35724); #30306=AXIS2_PLACEMENT_3D('',#44915,#35726,#35727); #30307=AXIS2_PLACEMENT_3D('',#44917,#35729,#35730); #30308=AXIS2_PLACEMENT_3D('',#44921,#35733,#35734); #30309=AXIS2_PLACEMENT_3D('',#44929,#35739,#35740); #30310=AXIS2_PLACEMENT_3D('',#44933,#35743,#35744); #30311=AXIS2_PLACEMENT_3D('',#44935,#35746,#35747); #30312=AXIS2_PLACEMENT_3D('',#44939,#35749,#35750); #30313=AXIS2_PLACEMENT_3D('',#44943,#35752,#35753); #30314=AXIS2_PLACEMENT_3D('',#44947,#35755,#35756); #30315=AXIS2_PLACEMENT_3D('',#44951,#35758,#35759); #30316=AXIS2_PLACEMENT_3D('',#44955,#35761,#35762); #30317=AXIS2_PLACEMENT_3D('',#44959,#35764,#35765); #30318=AXIS2_PLACEMENT_3D('',#44961,#35766,#35767); #30319=AXIS2_PLACEMENT_3D('',#44963,#35768,#35769); #30320=AXIS2_PLACEMENT_3D('',#44965,#35771,#35772); #30321=AXIS2_PLACEMENT_3D('',#44968,#35775,#35776); #30322=AXIS2_PLACEMENT_3D('',#44970,#35778,#35779); #30323=AXIS2_PLACEMENT_3D('',#44973,#35782,#35783); #30324=AXIS2_PLACEMENT_3D('',#44974,#35784,#35785); #30325=AXIS2_PLACEMENT_3D('',#44976,#35787,#35788); #30326=AXIS2_PLACEMENT_3D('',#44979,#35791,#35792); #30327=AXIS2_PLACEMENT_3D('',#44982,#35795,#35796); #30328=AXIS2_PLACEMENT_3D('',#44985,#35799,#35800); #30329=AXIS2_PLACEMENT_3D('',#44986,#35801,#35802); #30330=AXIS2_PLACEMENT_3D('',#44990,#35805,#35806); #30331=AXIS2_PLACEMENT_3D('',#44992,#35807,#35808); #30332=AXIS2_PLACEMENT_3D('',#44995,#35810,#35811); #30333=AXIS2_PLACEMENT_3D('',#44996,#35812,#35813); #30334=AXIS2_PLACEMENT_3D('',#44998,#35814,#35815); #30335=AXIS2_PLACEMENT_3D('',#45000,#35817,#35818); #30336=AXIS2_PLACEMENT_3D('',#45001,#35819,#35820); #30337=AXIS2_PLACEMENT_3D('',#45002,#35821,#35822); #30338=AXIS2_PLACEMENT_3D('',#45004,#35824,#35825); #30339=AXIS2_PLACEMENT_3D('',#45005,#35826,#35827); #30340=AXIS2_PLACEMENT_3D('',#45006,#35828,#35829); #30341=AXIS2_PLACEMENT_3D('',#45007,#35830,#35831); #30342=AXIS2_PLACEMENT_3D('placement',#45008,#35832,#35833); #30343=AXIS2_PLACEMENT_3D('',#45009,#35834,#35835); #30344=AXIS2_PLACEMENT_3D('',#45011,#35836,#35837); #30345=AXIS2_PLACEMENT_3D('',#45014,#35839,#35840); #30346=AXIS2_PLACEMENT_3D('',#45015,#35841,#35842); #30347=AXIS2_PLACEMENT_3D('',#45017,#35843,#35844); #30348=AXIS2_PLACEMENT_3D('',#45020,#35846,#35847); #30349=AXIS2_PLACEMENT_3D('',#45021,#35848,#35849); #30350=AXIS2_PLACEMENT_3D('',#45023,#35850,#35851); #30351=AXIS2_PLACEMENT_3D('',#45026,#35853,#35854); #30352=AXIS2_PLACEMENT_3D('',#45027,#35855,#35856); #30353=AXIS2_PLACEMENT_3D('',#45029,#35857,#35858); #30354=AXIS2_PLACEMENT_3D('',#45032,#35860,#35861); #30355=AXIS2_PLACEMENT_3D('',#45033,#35862,#35863); #30356=AXIS2_PLACEMENT_3D('',#45035,#35864,#35865); #30357=AXIS2_PLACEMENT_3D('',#45038,#35867,#35868); #30358=AXIS2_PLACEMENT_3D('',#45039,#35869,#35870); #30359=AXIS2_PLACEMENT_3D('',#45041,#35871,#35872); #30360=AXIS2_PLACEMENT_3D('',#45044,#35874,#35875); #30361=AXIS2_PLACEMENT_3D('',#45045,#35876,#35877); #30362=AXIS2_PLACEMENT_3D('',#45047,#35878,#35879); #30363=AXIS2_PLACEMENT_3D('',#45050,#35881,#35882); #30364=AXIS2_PLACEMENT_3D('',#45051,#35883,#35884); #30365=AXIS2_PLACEMENT_3D('',#45053,#35885,#35886); #30366=AXIS2_PLACEMENT_3D('',#45056,#35888,#35889); #30367=AXIS2_PLACEMENT_3D('',#45057,#35890,#35891); #30368=AXIS2_PLACEMENT_3D('',#45059,#35892,#35893); #30369=AXIS2_PLACEMENT_3D('',#45062,#35895,#35896); #30370=AXIS2_PLACEMENT_3D('',#45063,#35897,#35898); #30371=AXIS2_PLACEMENT_3D('',#45065,#35899,#35900); #30372=AXIS2_PLACEMENT_3D('',#45068,#35902,#35903); #30373=AXIS2_PLACEMENT_3D('',#45069,#35904,#35905); #30374=AXIS2_PLACEMENT_3D('',#45071,#35906,#35907); #30375=AXIS2_PLACEMENT_3D('',#45074,#35909,#35910); #30376=AXIS2_PLACEMENT_3D('',#45075,#35911,#35912); #30377=AXIS2_PLACEMENT_3D('',#45077,#35913,#35914); #30378=AXIS2_PLACEMENT_3D('',#45080,#35916,#35917); #30379=AXIS2_PLACEMENT_3D('',#45081,#35918,#35919); #30380=AXIS2_PLACEMENT_3D('',#45083,#35920,#35921); #30381=AXIS2_PLACEMENT_3D('',#45086,#35923,#35924); #30382=AXIS2_PLACEMENT_3D('',#45087,#35925,#35926); #30383=AXIS2_PLACEMENT_3D('',#45089,#35927,#35928); #30384=AXIS2_PLACEMENT_3D('',#45092,#35930,#35931); #30385=AXIS2_PLACEMENT_3D('',#45093,#35932,#35933); #30386=AXIS2_PLACEMENT_3D('',#45095,#35934,#35935); #30387=AXIS2_PLACEMENT_3D('',#45098,#35937,#35938); #30388=AXIS2_PLACEMENT_3D('',#45099,#35939,#35940); #30389=AXIS2_PLACEMENT_3D('',#45101,#35941,#35942); #30390=AXIS2_PLACEMENT_3D('',#45104,#35944,#35945); #30391=AXIS2_PLACEMENT_3D('',#45105,#35946,#35947); #30392=AXIS2_PLACEMENT_3D('',#45107,#35948,#35949); #30393=AXIS2_PLACEMENT_3D('',#45110,#35951,#35952); #30394=AXIS2_PLACEMENT_3D('',#45111,#35953,#35954); #30395=AXIS2_PLACEMENT_3D('',#45113,#35955,#35956); #30396=AXIS2_PLACEMENT_3D('',#45116,#35958,#35959); #30397=AXIS2_PLACEMENT_3D('',#45117,#35960,#35961); #30398=AXIS2_PLACEMENT_3D('',#45119,#35962,#35963); #30399=AXIS2_PLACEMENT_3D('',#45122,#35965,#35966); #30400=AXIS2_PLACEMENT_3D('',#45123,#35967,#35968); #30401=AXIS2_PLACEMENT_3D('',#45125,#35969,#35970); #30402=AXIS2_PLACEMENT_3D('',#45128,#35972,#35973); #30403=AXIS2_PLACEMENT_3D('',#45129,#35974,#35975); #30404=AXIS2_PLACEMENT_3D('',#45131,#35976,#35977); #30405=AXIS2_PLACEMENT_3D('',#45134,#35979,#35980); #30406=AXIS2_PLACEMENT_3D('',#45135,#35981,#35982); #30407=AXIS2_PLACEMENT_3D('',#45137,#35983,#35984); #30408=AXIS2_PLACEMENT_3D('',#45140,#35986,#35987); #30409=AXIS2_PLACEMENT_3D('',#45141,#35988,#35989); #30410=AXIS2_PLACEMENT_3D('',#45143,#35990,#35991); #30411=AXIS2_PLACEMENT_3D('',#45146,#35993,#35994); #30412=AXIS2_PLACEMENT_3D('',#45147,#35995,#35996); #30413=AXIS2_PLACEMENT_3D('',#45149,#35997,#35998); #30414=AXIS2_PLACEMENT_3D('',#45152,#36000,#36001); #30415=AXIS2_PLACEMENT_3D('',#45153,#36002,#36003); #30416=AXIS2_PLACEMENT_3D('',#45155,#36004,#36005); #30417=AXIS2_PLACEMENT_3D('',#45158,#36007,#36008); #30418=AXIS2_PLACEMENT_3D('',#45159,#36009,#36010); #30419=AXIS2_PLACEMENT_3D('',#45161,#36011,#36012); #30420=AXIS2_PLACEMENT_3D('',#45164,#36014,#36015); #30421=AXIS2_PLACEMENT_3D('',#45165,#36016,#36017); #30422=AXIS2_PLACEMENT_3D('',#45167,#36018,#36019); #30423=AXIS2_PLACEMENT_3D('',#45170,#36021,#36022); #30424=AXIS2_PLACEMENT_3D('',#45171,#36023,#36024); #30425=AXIS2_PLACEMENT_3D('',#45173,#36025,#36026); #30426=AXIS2_PLACEMENT_3D('',#45176,#36028,#36029); #30427=AXIS2_PLACEMENT_3D('',#45177,#36030,#36031); #30428=AXIS2_PLACEMENT_3D('',#45179,#36032,#36033); #30429=AXIS2_PLACEMENT_3D('',#45182,#36035,#36036); #30430=AXIS2_PLACEMENT_3D('',#45183,#36037,#36038); #30431=AXIS2_PLACEMENT_3D('',#45185,#36039,#36040); #30432=AXIS2_PLACEMENT_3D('',#45188,#36042,#36043); #30433=AXIS2_PLACEMENT_3D('',#45189,#36044,#36045); #30434=AXIS2_PLACEMENT_3D('',#45191,#36046,#36047); #30435=AXIS2_PLACEMENT_3D('',#45194,#36049,#36050); #30436=AXIS2_PLACEMENT_3D('',#45195,#36051,#36052); #30437=AXIS2_PLACEMENT_3D('',#45197,#36053,#36054); #30438=AXIS2_PLACEMENT_3D('',#45200,#36056,#36057); #30439=AXIS2_PLACEMENT_3D('',#45201,#36058,#36059); #30440=AXIS2_PLACEMENT_3D('',#45203,#36060,#36061); #30441=AXIS2_PLACEMENT_3D('',#45206,#36063,#36064); #30442=AXIS2_PLACEMENT_3D('',#45207,#36065,#36066); #30443=AXIS2_PLACEMENT_3D('',#45209,#36067,#36068); #30444=AXIS2_PLACEMENT_3D('',#45212,#36070,#36071); #30445=AXIS2_PLACEMENT_3D('',#45213,#36072,#36073); #30446=AXIS2_PLACEMENT_3D('',#45215,#36074,#36075); #30447=AXIS2_PLACEMENT_3D('',#45218,#36077,#36078); #30448=AXIS2_PLACEMENT_3D('',#45219,#36079,#36080); #30449=AXIS2_PLACEMENT_3D('',#45221,#36081,#36082); #30450=AXIS2_PLACEMENT_3D('',#45224,#36084,#36085); #30451=AXIS2_PLACEMENT_3D('',#45225,#36086,#36087); #30452=AXIS2_PLACEMENT_3D('',#45227,#36088,#36089); #30453=AXIS2_PLACEMENT_3D('',#45230,#36091,#36092); #30454=AXIS2_PLACEMENT_3D('',#45231,#36093,#36094); #30455=AXIS2_PLACEMENT_3D('',#45233,#36095,#36096); #30456=AXIS2_PLACEMENT_3D('',#45236,#36098,#36099); #30457=AXIS2_PLACEMENT_3D('',#45237,#36100,#36101); #30458=AXIS2_PLACEMENT_3D('',#45239,#36102,#36103); #30459=AXIS2_PLACEMENT_3D('',#45242,#36105,#36106); #30460=AXIS2_PLACEMENT_3D('',#45243,#36107,#36108); #30461=AXIS2_PLACEMENT_3D('',#45245,#36109,#36110); #30462=AXIS2_PLACEMENT_3D('',#45248,#36112,#36113); #30463=AXIS2_PLACEMENT_3D('',#45249,#36114,#36115); #30464=AXIS2_PLACEMENT_3D('',#45251,#36116,#36117); #30465=AXIS2_PLACEMENT_3D('',#45254,#36119,#36120); #30466=AXIS2_PLACEMENT_3D('',#45255,#36121,#36122); #30467=AXIS2_PLACEMENT_3D('',#45257,#36123,#36124); #30468=AXIS2_PLACEMENT_3D('',#45260,#36126,#36127); #30469=AXIS2_PLACEMENT_3D('',#45261,#36128,#36129); #30470=AXIS2_PLACEMENT_3D('',#45263,#36130,#36131); #30471=AXIS2_PLACEMENT_3D('',#45266,#36133,#36134); #30472=AXIS2_PLACEMENT_3D('',#45267,#36135,#36136); #30473=AXIS2_PLACEMENT_3D('',#45269,#36137,#36138); #30474=AXIS2_PLACEMENT_3D('',#45272,#36140,#36141); #30475=AXIS2_PLACEMENT_3D('',#45273,#36142,#36143); #30476=AXIS2_PLACEMENT_3D('',#45275,#36144,#36145); #30477=AXIS2_PLACEMENT_3D('',#45278,#36147,#36148); #30478=AXIS2_PLACEMENT_3D('',#45279,#36149,#36150); #30479=AXIS2_PLACEMENT_3D('',#45281,#36151,#36152); #30480=AXIS2_PLACEMENT_3D('',#45284,#36154,#36155); #30481=AXIS2_PLACEMENT_3D('',#45285,#36156,#36157); #30482=AXIS2_PLACEMENT_3D('',#45287,#36158,#36159); #30483=AXIS2_PLACEMENT_3D('',#45290,#36161,#36162); #30484=AXIS2_PLACEMENT_3D('',#45291,#36163,#36164); #30485=AXIS2_PLACEMENT_3D('',#45293,#36165,#36166); #30486=AXIS2_PLACEMENT_3D('',#45296,#36168,#36169); #30487=AXIS2_PLACEMENT_3D('',#45297,#36170,#36171); #30488=AXIS2_PLACEMENT_3D('',#45299,#36172,#36173); #30489=AXIS2_PLACEMENT_3D('',#45302,#36175,#36176); #30490=AXIS2_PLACEMENT_3D('',#45303,#36177,#36178); #30491=AXIS2_PLACEMENT_3D('',#45305,#36179,#36180); #30492=AXIS2_PLACEMENT_3D('',#45308,#36182,#36183); #30493=AXIS2_PLACEMENT_3D('',#45309,#36184,#36185); #30494=AXIS2_PLACEMENT_3D('',#45311,#36186,#36187); #30495=AXIS2_PLACEMENT_3D('',#45314,#36189,#36190); #30496=AXIS2_PLACEMENT_3D('',#45315,#36191,#36192); #30497=AXIS2_PLACEMENT_3D('',#45317,#36193,#36194); #30498=AXIS2_PLACEMENT_3D('',#45320,#36196,#36197); #30499=AXIS2_PLACEMENT_3D('',#45321,#36198,#36199); #30500=AXIS2_PLACEMENT_3D('',#45323,#36200,#36201); #30501=AXIS2_PLACEMENT_3D('',#45326,#36203,#36204); #30502=AXIS2_PLACEMENT_3D('',#45327,#36205,#36206); #30503=AXIS2_PLACEMENT_3D('',#45329,#36207,#36208); #30504=AXIS2_PLACEMENT_3D('',#45332,#36210,#36211); #30505=AXIS2_PLACEMENT_3D('',#45333,#36212,#36213); #30506=AXIS2_PLACEMENT_3D('',#45335,#36214,#36215); #30507=AXIS2_PLACEMENT_3D('',#45338,#36217,#36218); #30508=AXIS2_PLACEMENT_3D('',#45339,#36219,#36220); #30509=AXIS2_PLACEMENT_3D('',#45341,#36221,#36222); #30510=AXIS2_PLACEMENT_3D('',#45344,#36224,#36225); #30511=AXIS2_PLACEMENT_3D('',#45345,#36226,#36227); #30512=AXIS2_PLACEMENT_3D('',#45347,#36228,#36229); #30513=AXIS2_PLACEMENT_3D('',#45350,#36231,#36232); #30514=AXIS2_PLACEMENT_3D('',#45351,#36233,#36234); #30515=AXIS2_PLACEMENT_3D('',#45353,#36235,#36236); #30516=AXIS2_PLACEMENT_3D('',#45356,#36238,#36239); #30517=AXIS2_PLACEMENT_3D('',#45357,#36240,#36241); #30518=AXIS2_PLACEMENT_3D('',#45359,#36242,#36243); #30519=AXIS2_PLACEMENT_3D('',#45362,#36245,#36246); #30520=AXIS2_PLACEMENT_3D('',#45363,#36247,#36248); #30521=AXIS2_PLACEMENT_3D('',#45365,#36249,#36250); #30522=AXIS2_PLACEMENT_3D('',#45368,#36252,#36253); #30523=AXIS2_PLACEMENT_3D('',#45369,#36254,#36255); #30524=AXIS2_PLACEMENT_3D('',#45371,#36256,#36257); #30525=AXIS2_PLACEMENT_3D('',#45374,#36259,#36260); #30526=AXIS2_PLACEMENT_3D('',#45375,#36261,#36262); #30527=AXIS2_PLACEMENT_3D('',#45377,#36263,#36264); #30528=AXIS2_PLACEMENT_3D('',#45380,#36266,#36267); #30529=AXIS2_PLACEMENT_3D('',#45381,#36268,#36269); #30530=AXIS2_PLACEMENT_3D('',#45383,#36270,#36271); #30531=AXIS2_PLACEMENT_3D('',#45386,#36273,#36274); #30532=AXIS2_PLACEMENT_3D('',#45387,#36275,#36276); #30533=AXIS2_PLACEMENT_3D('',#45389,#36277,#36278); #30534=AXIS2_PLACEMENT_3D('',#45392,#36280,#36281); #30535=AXIS2_PLACEMENT_3D('',#45393,#36282,#36283); #30536=AXIS2_PLACEMENT_3D('',#45395,#36284,#36285); #30537=AXIS2_PLACEMENT_3D('',#45398,#36287,#36288); #30538=AXIS2_PLACEMENT_3D('',#45399,#36289,#36290); #30539=AXIS2_PLACEMENT_3D('',#45401,#36291,#36292); #30540=AXIS2_PLACEMENT_3D('',#45404,#36294,#36295); #30541=AXIS2_PLACEMENT_3D('',#45405,#36296,#36297); #30542=AXIS2_PLACEMENT_3D('',#45407,#36298,#36299); #30543=AXIS2_PLACEMENT_3D('',#45410,#36301,#36302); #30544=AXIS2_PLACEMENT_3D('',#45411,#36303,#36304); #30545=AXIS2_PLACEMENT_3D('',#45413,#36305,#36306); #30546=AXIS2_PLACEMENT_3D('',#45416,#36308,#36309); #30547=AXIS2_PLACEMENT_3D('',#45417,#36310,#36311); #30548=AXIS2_PLACEMENT_3D('',#45419,#36312,#36313); #30549=AXIS2_PLACEMENT_3D('',#45422,#36315,#36316); #30550=AXIS2_PLACEMENT_3D('',#45423,#36317,#36318); #30551=AXIS2_PLACEMENT_3D('',#45425,#36319,#36320); #30552=AXIS2_PLACEMENT_3D('',#45428,#36322,#36323); #30553=AXIS2_PLACEMENT_3D('',#45429,#36324,#36325); #30554=AXIS2_PLACEMENT_3D('',#45431,#36326,#36327); #30555=AXIS2_PLACEMENT_3D('',#45434,#36329,#36330); #30556=AXIS2_PLACEMENT_3D('',#45435,#36331,#36332); #30557=AXIS2_PLACEMENT_3D('',#45437,#36333,#36334); #30558=AXIS2_PLACEMENT_3D('',#45440,#36336,#36337); #30559=AXIS2_PLACEMENT_3D('',#45441,#36338,#36339); #30560=AXIS2_PLACEMENT_3D('',#45443,#36340,#36341); #30561=AXIS2_PLACEMENT_3D('',#45446,#36343,#36344); #30562=AXIS2_PLACEMENT_3D('',#45447,#36345,#36346); #30563=AXIS2_PLACEMENT_3D('',#45449,#36347,#36348); #30564=AXIS2_PLACEMENT_3D('',#45452,#36350,#36351); #30565=AXIS2_PLACEMENT_3D('',#45453,#36352,#36353); #30566=AXIS2_PLACEMENT_3D('',#45455,#36354,#36355); #30567=AXIS2_PLACEMENT_3D('',#45458,#36357,#36358); #30568=AXIS2_PLACEMENT_3D('',#45459,#36359,#36360); #30569=AXIS2_PLACEMENT_3D('',#45461,#36361,#36362); #30570=AXIS2_PLACEMENT_3D('',#45464,#36364,#36365); #30571=AXIS2_PLACEMENT_3D('',#45465,#36366,#36367); #30572=AXIS2_PLACEMENT_3D('',#45467,#36368,#36369); #30573=AXIS2_PLACEMENT_3D('',#45470,#36371,#36372); #30574=AXIS2_PLACEMENT_3D('',#45471,#36373,#36374); #30575=AXIS2_PLACEMENT_3D('',#45473,#36375,#36376); #30576=AXIS2_PLACEMENT_3D('',#45476,#36378,#36379); #30577=AXIS2_PLACEMENT_3D('',#45477,#36380,#36381); #30578=AXIS2_PLACEMENT_3D('',#45479,#36382,#36383); #30579=AXIS2_PLACEMENT_3D('',#45482,#36385,#36386); #30580=AXIS2_PLACEMENT_3D('',#45483,#36387,#36388); #30581=AXIS2_PLACEMENT_3D('',#45485,#36389,#36390); #30582=AXIS2_PLACEMENT_3D('',#45488,#36392,#36393); #30583=AXIS2_PLACEMENT_3D('',#45489,#36394,#36395); #30584=AXIS2_PLACEMENT_3D('',#45491,#36396,#36397); #30585=AXIS2_PLACEMENT_3D('',#45494,#36399,#36400); #30586=AXIS2_PLACEMENT_3D('',#45495,#36401,#36402); #30587=AXIS2_PLACEMENT_3D('',#45497,#36403,#36404); #30588=AXIS2_PLACEMENT_3D('',#45500,#36406,#36407); #30589=AXIS2_PLACEMENT_3D('',#45501,#36408,#36409); #30590=AXIS2_PLACEMENT_3D('',#45503,#36410,#36411); #30591=AXIS2_PLACEMENT_3D('',#45506,#36413,#36414); #30592=AXIS2_PLACEMENT_3D('',#45507,#36415,#36416); #30593=AXIS2_PLACEMENT_3D('',#45509,#36417,#36418); #30594=AXIS2_PLACEMENT_3D('',#45512,#36420,#36421); #30595=AXIS2_PLACEMENT_3D('',#45513,#36422,#36423); #30596=AXIS2_PLACEMENT_3D('',#45515,#36424,#36425); #30597=AXIS2_PLACEMENT_3D('',#45518,#36427,#36428); #30598=AXIS2_PLACEMENT_3D('',#45519,#36429,#36430); #30599=AXIS2_PLACEMENT_3D('',#45521,#36431,#36432); #30600=AXIS2_PLACEMENT_3D('',#45524,#36434,#36435); #30601=AXIS2_PLACEMENT_3D('',#45525,#36436,#36437); #30602=AXIS2_PLACEMENT_3D('',#45527,#36438,#36439); #30603=AXIS2_PLACEMENT_3D('',#45530,#36441,#36442); #30604=AXIS2_PLACEMENT_3D('',#45531,#36443,#36444); #30605=AXIS2_PLACEMENT_3D('',#45533,#36445,#36446); #30606=AXIS2_PLACEMENT_3D('',#45536,#36448,#36449); #30607=AXIS2_PLACEMENT_3D('',#45537,#36450,#36451); #30608=AXIS2_PLACEMENT_3D('',#45539,#36452,#36453); #30609=AXIS2_PLACEMENT_3D('',#45542,#36455,#36456); #30610=AXIS2_PLACEMENT_3D('',#45543,#36457,#36458); #30611=AXIS2_PLACEMENT_3D('',#45545,#36459,#36460); #30612=AXIS2_PLACEMENT_3D('',#45548,#36462,#36463); #30613=AXIS2_PLACEMENT_3D('',#45549,#36464,#36465); #30614=AXIS2_PLACEMENT_3D('',#45551,#36466,#36467); #30615=AXIS2_PLACEMENT_3D('',#45554,#36469,#36470); #30616=AXIS2_PLACEMENT_3D('',#45555,#36471,#36472); #30617=AXIS2_PLACEMENT_3D('',#45557,#36473,#36474); #30618=AXIS2_PLACEMENT_3D('',#45560,#36476,#36477); #30619=AXIS2_PLACEMENT_3D('',#45561,#36478,#36479); #30620=AXIS2_PLACEMENT_3D('',#45563,#36480,#36481); #30621=AXIS2_PLACEMENT_3D('',#45566,#36483,#36484); #30622=AXIS2_PLACEMENT_3D('',#45567,#36485,#36486); #30623=AXIS2_PLACEMENT_3D('',#45569,#36487,#36488); #30624=AXIS2_PLACEMENT_3D('',#45572,#36490,#36491); #30625=AXIS2_PLACEMENT_3D('',#45573,#36492,#36493); #30626=AXIS2_PLACEMENT_3D('',#45575,#36494,#36495); #30627=AXIS2_PLACEMENT_3D('',#45578,#36497,#36498); #30628=AXIS2_PLACEMENT_3D('',#45579,#36499,#36500); #30629=AXIS2_PLACEMENT_3D('',#45581,#36501,#36502); #30630=AXIS2_PLACEMENT_3D('',#45584,#36504,#36505); #30631=AXIS2_PLACEMENT_3D('',#45585,#36506,#36507); #30632=AXIS2_PLACEMENT_3D('',#45588,#36508,#36509); #30633=AXIS2_PLACEMENT_3D('',#45592,#36511,#36512); #30634=AXIS2_PLACEMENT_3D('',#45594,#36514,#36515); #30635=AXIS2_PLACEMENT_3D('',#45600,#36519,#36520); #30636=AXIS2_PLACEMENT_3D('',#45602,#36521,#36522); #30637=AXIS2_PLACEMENT_3D('',#45604,#36523,#36524); #30638=AXIS2_PLACEMENT_3D('',#45606,#36526,#36527); #30639=AXIS2_PLACEMENT_3D('',#45609,#36530,#36531); #30640=AXIS2_PLACEMENT_3D('',#45610,#36532,#36533); #30641=AXIS2_PLACEMENT_3D('placement',#45611,#36534,#36535); #30642=AXIS2_PLACEMENT_3D('',#45612,#36536,#36537); #30643=AXIS2_PLACEMENT_3D('',#45614,#36538,#36539); #30644=AXIS2_PLACEMENT_3D('',#45617,#36541,#36542); #30645=AXIS2_PLACEMENT_3D('',#45618,#36543,#36544); #30646=AXIS2_PLACEMENT_3D('',#45620,#36545,#36546); #30647=AXIS2_PLACEMENT_3D('',#45623,#36548,#36549); #30648=AXIS2_PLACEMENT_3D('',#45624,#36550,#36551); #30649=AXIS2_PLACEMENT_3D('',#45626,#36552,#36553); #30650=AXIS2_PLACEMENT_3D('',#45629,#36555,#36556); #30651=AXIS2_PLACEMENT_3D('',#45630,#36557,#36558); #30652=AXIS2_PLACEMENT_3D('',#45632,#36559,#36560); #30653=AXIS2_PLACEMENT_3D('',#45635,#36562,#36563); #30654=AXIS2_PLACEMENT_3D('',#45636,#36564,#36565); #30655=AXIS2_PLACEMENT_3D('',#45638,#36566,#36567); #30656=AXIS2_PLACEMENT_3D('',#45640,#36568,#36569); #30657=AXIS2_PLACEMENT_3D('',#45641,#36570,#36571); #30658=AXIS2_PLACEMENT_3D('',#45643,#36572,#36573); #30659=AXIS2_PLACEMENT_3D('',#45645,#36575,#36576); #30660=AXIS2_PLACEMENT_3D('',#45648,#36578,#36579); #30661=AXIS2_PLACEMENT_3D('',#45649,#36580,#36581); #30662=AXIS2_PLACEMENT_3D('',#45651,#36582,#36583); #30663=AXIS2_PLACEMENT_3D('',#45653,#36584,#36585); #30664=AXIS2_PLACEMENT_3D('',#45654,#36586,#36587); #30665=AXIS2_PLACEMENT_3D('',#45656,#36588,#36589); #30666=AXIS2_PLACEMENT_3D('',#45658,#36591,#36592); #30667=AXIS2_PLACEMENT_3D('',#45661,#36594,#36595); #30668=AXIS2_PLACEMENT_3D('',#45662,#36596,#36597); #30669=AXIS2_PLACEMENT_3D('',#45664,#36598,#36599); #30670=AXIS2_PLACEMENT_3D('',#45667,#36601,#36602); #30671=AXIS2_PLACEMENT_3D('',#45668,#36603,#36604); #30672=AXIS2_PLACEMENT_3D('',#45670,#36605,#36606); #30673=AXIS2_PLACEMENT_3D('',#45673,#36608,#36609); #30674=AXIS2_PLACEMENT_3D('',#45674,#36610,#36611); #30675=AXIS2_PLACEMENT_3D('',#45675,#36612,#36613); #30676=AXIS2_PLACEMENT_3D('',#45677,#36614,#36615); #30677=AXIS2_PLACEMENT_3D('',#45679,#36616,#36617); #30678=AXIS2_PLACEMENT_3D('',#45680,#36618,#36619); #30679=AXIS2_PLACEMENT_3D('',#45682,#36620,#36621); #30680=AXIS2_PLACEMENT_3D('',#45684,#36623,#36624); #30681=AXIS2_PLACEMENT_3D('',#45687,#36626,#36627); #30682=AXIS2_PLACEMENT_3D('',#45688,#36628,#36629); #30683=AXIS2_PLACEMENT_3D('',#45690,#36630,#36631); #30684=AXIS2_PLACEMENT_3D('',#45693,#36633,#36634); #30685=AXIS2_PLACEMENT_3D('',#45694,#36635,#36636); #30686=AXIS2_PLACEMENT_3D('',#45696,#36637,#36638); #30687=AXIS2_PLACEMENT_3D('',#45699,#36640,#36641); #30688=AXIS2_PLACEMENT_3D('',#45700,#36642,#36643); #30689=AXIS2_PLACEMENT_3D('',#45701,#36644,#36645); #30690=AXIS2_PLACEMENT_3D('',#45703,#36646,#36647); #30691=AXIS2_PLACEMENT_3D('',#45705,#36648,#36649); #30692=AXIS2_PLACEMENT_3D('',#45706,#36650,#36651); #30693=AXIS2_PLACEMENT_3D('',#45708,#36652,#36653); #30694=AXIS2_PLACEMENT_3D('',#45710,#36655,#36656); #30695=AXIS2_PLACEMENT_3D('',#45713,#36658,#36659); #30696=AXIS2_PLACEMENT_3D('',#45714,#36660,#36661); #30697=AXIS2_PLACEMENT_3D('',#45716,#36662,#36663); #30698=AXIS2_PLACEMENT_3D('',#45719,#36665,#36666); #30699=AXIS2_PLACEMENT_3D('',#45720,#36667,#36668); #30700=AXIS2_PLACEMENT_3D('',#45722,#36669,#36670); #30701=AXIS2_PLACEMENT_3D('',#45725,#36672,#36673); #30702=AXIS2_PLACEMENT_3D('',#45726,#36674,#36675); #30703=AXIS2_PLACEMENT_3D('',#45727,#36676,#36677); #30704=AXIS2_PLACEMENT_3D('',#45729,#36678,#36679); #30705=AXIS2_PLACEMENT_3D('',#45731,#36680,#36681); #30706=AXIS2_PLACEMENT_3D('',#45732,#36682,#36683); #30707=AXIS2_PLACEMENT_3D('',#45734,#36684,#36685); #30708=AXIS2_PLACEMENT_3D('',#45736,#36687,#36688); #30709=AXIS2_PLACEMENT_3D('',#45739,#36690,#36691); #30710=AXIS2_PLACEMENT_3D('',#45740,#36692,#36693); #30711=AXIS2_PLACEMENT_3D('',#45742,#36694,#36695); #30712=AXIS2_PLACEMENT_3D('',#45745,#36697,#36698); #30713=AXIS2_PLACEMENT_3D('',#45746,#36699,#36700); #30714=AXIS2_PLACEMENT_3D('',#45748,#36701,#36702); #30715=AXIS2_PLACEMENT_3D('',#45751,#36704,#36705); #30716=AXIS2_PLACEMENT_3D('',#45752,#36706,#36707); #30717=AXIS2_PLACEMENT_3D('',#45753,#36708,#36709); #30718=AXIS2_PLACEMENT_3D('',#45755,#36710,#36711); #30719=AXIS2_PLACEMENT_3D('',#45757,#36712,#36713); #30720=AXIS2_PLACEMENT_3D('',#45758,#36714,#36715); #30721=AXIS2_PLACEMENT_3D('',#45760,#36716,#36717); #30722=AXIS2_PLACEMENT_3D('',#45762,#36719,#36720); #30723=AXIS2_PLACEMENT_3D('',#45765,#36722,#36723); #30724=AXIS2_PLACEMENT_3D('',#45766,#36724,#36725); #30725=AXIS2_PLACEMENT_3D('',#45768,#36726,#36727); #30726=AXIS2_PLACEMENT_3D('',#45771,#36729,#36730); #30727=AXIS2_PLACEMENT_3D('',#45772,#36731,#36732); #30728=AXIS2_PLACEMENT_3D('',#45774,#36733,#36734); #30729=AXIS2_PLACEMENT_3D('',#45777,#36736,#36737); #30730=AXIS2_PLACEMENT_3D('',#45778,#36738,#36739); #30731=AXIS2_PLACEMENT_3D('',#45779,#36740,#36741); #30732=AXIS2_PLACEMENT_3D('',#45781,#36742,#36743); #30733=AXIS2_PLACEMENT_3D('',#45783,#36744,#36745); #30734=AXIS2_PLACEMENT_3D('',#45784,#36746,#36747); #30735=AXIS2_PLACEMENT_3D('',#45786,#36748,#36749); #30736=AXIS2_PLACEMENT_3D('',#45788,#36751,#36752); #30737=AXIS2_PLACEMENT_3D('',#45791,#36754,#36755); #30738=AXIS2_PLACEMENT_3D('',#45792,#36756,#36757); #30739=AXIS2_PLACEMENT_3D('',#45794,#36758,#36759); #30740=AXIS2_PLACEMENT_3D('',#45797,#36761,#36762); #30741=AXIS2_PLACEMENT_3D('',#45798,#36763,#36764); #30742=AXIS2_PLACEMENT_3D('',#45800,#36765,#36766); #30743=AXIS2_PLACEMENT_3D('',#45803,#36768,#36769); #30744=AXIS2_PLACEMENT_3D('',#45804,#36770,#36771); #30745=AXIS2_PLACEMENT_3D('',#45805,#36772,#36773); #30746=AXIS2_PLACEMENT_3D('',#45807,#36774,#36775); #30747=AXIS2_PLACEMENT_3D('',#45809,#36776,#36777); #30748=AXIS2_PLACEMENT_3D('',#45810,#36778,#36779); #30749=AXIS2_PLACEMENT_3D('',#45812,#36780,#36781); #30750=AXIS2_PLACEMENT_3D('',#45814,#36783,#36784); #30751=AXIS2_PLACEMENT_3D('',#45817,#36786,#36787); #30752=AXIS2_PLACEMENT_3D('',#45818,#36788,#36789); #30753=AXIS2_PLACEMENT_3D('',#45820,#36790,#36791); #30754=AXIS2_PLACEMENT_3D('',#45823,#36793,#36794); #30755=AXIS2_PLACEMENT_3D('',#45824,#36795,#36796); #30756=AXIS2_PLACEMENT_3D('',#45826,#36797,#36798); #30757=AXIS2_PLACEMENT_3D('',#45829,#36800,#36801); #30758=AXIS2_PLACEMENT_3D('',#45830,#36802,#36803); #30759=AXIS2_PLACEMENT_3D('',#45831,#36804,#36805); #30760=AXIS2_PLACEMENT_3D('',#45833,#36806,#36807); #30761=AXIS2_PLACEMENT_3D('',#45835,#36808,#36809); #30762=AXIS2_PLACEMENT_3D('',#45836,#36810,#36811); #30763=AXIS2_PLACEMENT_3D('',#45838,#36812,#36813); #30764=AXIS2_PLACEMENT_3D('',#45840,#36815,#36816); #30765=AXIS2_PLACEMENT_3D('',#45843,#36818,#36819); #30766=AXIS2_PLACEMENT_3D('',#45844,#36820,#36821); #30767=AXIS2_PLACEMENT_3D('',#45846,#36822,#36823); #30768=AXIS2_PLACEMENT_3D('',#45848,#36824,#36825); #30769=AXIS2_PLACEMENT_3D('',#45849,#36826,#36827); #30770=AXIS2_PLACEMENT_3D('',#45851,#36828,#36829); #30771=AXIS2_PLACEMENT_3D('',#45853,#36831,#36832); #30772=AXIS2_PLACEMENT_3D('',#45856,#36834,#36835); #30773=AXIS2_PLACEMENT_3D('',#45857,#36836,#36837); #30774=AXIS2_PLACEMENT_3D('',#45859,#36838,#36839); #30775=AXIS2_PLACEMENT_3D('',#45861,#36840,#36841); #30776=AXIS2_PLACEMENT_3D('',#45862,#36842,#36843); #30777=AXIS2_PLACEMENT_3D('',#45864,#36844,#36845); #30778=AXIS2_PLACEMENT_3D('',#45866,#36847,#36848); #30779=AXIS2_PLACEMENT_3D('',#45869,#36850,#36851); #30780=AXIS2_PLACEMENT_3D('',#45870,#36852,#36853); #30781=AXIS2_PLACEMENT_3D('',#45872,#36854,#36855); #30782=AXIS2_PLACEMENT_3D('',#45874,#36856,#36857); #30783=AXIS2_PLACEMENT_3D('',#45875,#36858,#36859); #30784=AXIS2_PLACEMENT_3D('',#45877,#36860,#36861); #30785=AXIS2_PLACEMENT_3D('',#45879,#36863,#36864); #30786=AXIS2_PLACEMENT_3D('',#45882,#36866,#36867); #30787=AXIS2_PLACEMENT_3D('',#45883,#36868,#36869); #30788=AXIS2_PLACEMENT_3D('',#45885,#36870,#36871); #30789=AXIS2_PLACEMENT_3D('',#45887,#36872,#36873); #30790=AXIS2_PLACEMENT_3D('',#45888,#36874,#36875); #30791=AXIS2_PLACEMENT_3D('',#45890,#36876,#36877); #30792=AXIS2_PLACEMENT_3D('',#45892,#36879,#36880); #30793=AXIS2_PLACEMENT_3D('',#45895,#36882,#36883); #30794=AXIS2_PLACEMENT_3D('',#45896,#36884,#36885); #30795=AXIS2_PLACEMENT_3D('',#45898,#36886,#36887); #30796=AXIS2_PLACEMENT_3D('',#45900,#36888,#36889); #30797=AXIS2_PLACEMENT_3D('',#45901,#36890,#36891); #30798=AXIS2_PLACEMENT_3D('',#45903,#36892,#36893); #30799=AXIS2_PLACEMENT_3D('',#45905,#36895,#36896); #30800=AXIS2_PLACEMENT_3D('',#45908,#36898,#36899); #30801=AXIS2_PLACEMENT_3D('',#45909,#36900,#36901); #30802=AXIS2_PLACEMENT_3D('',#45911,#36902,#36903); #30803=AXIS2_PLACEMENT_3D('',#45913,#36904,#36905); #30804=AXIS2_PLACEMENT_3D('',#45914,#36906,#36907); #30805=AXIS2_PLACEMENT_3D('',#45916,#36908,#36909); #30806=AXIS2_PLACEMENT_3D('',#45918,#36911,#36912); #30807=AXIS2_PLACEMENT_3D('',#45921,#36914,#36915); #30808=AXIS2_PLACEMENT_3D('',#45922,#36916,#36917); #30809=AXIS2_PLACEMENT_3D('',#45924,#36918,#36919); #30810=AXIS2_PLACEMENT_3D('',#45926,#36920,#36921); #30811=AXIS2_PLACEMENT_3D('',#45927,#36922,#36923); #30812=AXIS2_PLACEMENT_3D('',#45929,#36924,#36925); #30813=AXIS2_PLACEMENT_3D('',#45931,#36927,#36928); #30814=AXIS2_PLACEMENT_3D('',#45934,#36930,#36931); #30815=AXIS2_PLACEMENT_3D('',#45935,#36932,#36933); #30816=AXIS2_PLACEMENT_3D('',#45937,#36934,#36935); #30817=AXIS2_PLACEMENT_3D('',#45939,#36936,#36937); #30818=AXIS2_PLACEMENT_3D('',#45940,#36938,#36939); #30819=AXIS2_PLACEMENT_3D('',#45942,#36940,#36941); #30820=AXIS2_PLACEMENT_3D('',#45944,#36943,#36944); #30821=AXIS2_PLACEMENT_3D('',#45947,#36946,#36947); #30822=AXIS2_PLACEMENT_3D('',#45948,#36948,#36949); #30823=AXIS2_PLACEMENT_3D('',#45950,#36950,#36951); #30824=AXIS2_PLACEMENT_3D('',#45952,#36952,#36953); #30825=AXIS2_PLACEMENT_3D('',#45953,#36954,#36955); #30826=AXIS2_PLACEMENT_3D('',#45955,#36956,#36957); #30827=AXIS2_PLACEMENT_3D('',#45957,#36959,#36960); #30828=AXIS2_PLACEMENT_3D('',#45960,#36962,#36963); #30829=AXIS2_PLACEMENT_3D('',#45961,#36964,#36965); #30830=AXIS2_PLACEMENT_3D('',#45963,#36966,#36967); #30831=AXIS2_PLACEMENT_3D('',#45965,#36968,#36969); #30832=AXIS2_PLACEMENT_3D('',#45966,#36970,#36971); #30833=AXIS2_PLACEMENT_3D('',#45968,#36972,#36973); #30834=AXIS2_PLACEMENT_3D('',#45970,#36975,#36976); #30835=AXIS2_PLACEMENT_3D('',#45973,#36978,#36979); #30836=AXIS2_PLACEMENT_3D('',#45974,#36980,#36981); #30837=AXIS2_PLACEMENT_3D('',#45976,#36982,#36983); #30838=AXIS2_PLACEMENT_3D('',#45978,#36984,#36985); #30839=AXIS2_PLACEMENT_3D('',#45979,#36986,#36987); #30840=AXIS2_PLACEMENT_3D('',#45981,#36988,#36989); #30841=AXIS2_PLACEMENT_3D('',#45983,#36991,#36992); #30842=AXIS2_PLACEMENT_3D('',#45986,#36994,#36995); #30843=AXIS2_PLACEMENT_3D('',#45987,#36996,#36997); #30844=AXIS2_PLACEMENT_3D('',#45989,#36998,#36999); #30845=AXIS2_PLACEMENT_3D('',#45991,#37000,#37001); #30846=AXIS2_PLACEMENT_3D('',#45992,#37002,#37003); #30847=AXIS2_PLACEMENT_3D('',#45994,#37004,#37005); #30848=AXIS2_PLACEMENT_3D('',#45996,#37007,#37008); #30849=AXIS2_PLACEMENT_3D('',#45999,#37010,#37011); #30850=AXIS2_PLACEMENT_3D('',#46000,#37012,#37013); #30851=AXIS2_PLACEMENT_3D('',#46002,#37014,#37015); #30852=AXIS2_PLACEMENT_3D('',#46004,#37016,#37017); #30853=AXIS2_PLACEMENT_3D('',#46005,#37018,#37019); #30854=AXIS2_PLACEMENT_3D('',#46007,#37020,#37021); #30855=AXIS2_PLACEMENT_3D('',#46009,#37023,#37024); #30856=AXIS2_PLACEMENT_3D('',#46012,#37026,#37027); #30857=AXIS2_PLACEMENT_3D('',#46013,#37028,#37029); #30858=AXIS2_PLACEMENT_3D('',#46015,#37030,#37031); #30859=AXIS2_PLACEMENT_3D('',#46017,#37032,#37033); #30860=AXIS2_PLACEMENT_3D('',#46018,#37034,#37035); #30861=AXIS2_PLACEMENT_3D('',#46020,#37036,#37037); #30862=AXIS2_PLACEMENT_3D('',#46022,#37039,#37040); #30863=AXIS2_PLACEMENT_3D('',#46025,#37042,#37043); #30864=AXIS2_PLACEMENT_3D('',#46026,#37044,#37045); #30865=AXIS2_PLACEMENT_3D('',#46028,#37046,#37047); #30866=AXIS2_PLACEMENT_3D('',#46031,#37049,#37050); #30867=AXIS2_PLACEMENT_3D('',#46032,#37051,#37052); #30868=AXIS2_PLACEMENT_3D('',#46034,#37053,#37054); #30869=AXIS2_PLACEMENT_3D('',#46037,#37056,#37057); #30870=AXIS2_PLACEMENT_3D('',#46038,#37058,#37059); #30871=AXIS2_PLACEMENT_3D('',#46040,#37060,#37061); #30872=AXIS2_PLACEMENT_3D('',#46043,#37063,#37064); #30873=AXIS2_PLACEMENT_3D('',#46045,#37065,#37066); #30874=AXIS2_PLACEMENT_3D('',#46047,#37068,#37069); #30875=AXIS2_PLACEMENT_3D('',#46049,#37070,#37071); #30876=AXIS2_PLACEMENT_3D('',#46052,#37073,#37074); #30877=AXIS2_PLACEMENT_3D('',#46054,#37075,#37076); #30878=AXIS2_PLACEMENT_3D('',#46056,#37078,#37079); #30879=AXIS2_PLACEMENT_3D('',#46058,#37080,#37081); #30880=AXIS2_PLACEMENT_3D('',#46061,#37083,#37084); #30881=AXIS2_PLACEMENT_3D('',#46063,#37085,#37086); #30882=AXIS2_PLACEMENT_3D('',#46065,#37088,#37089); #30883=AXIS2_PLACEMENT_3D('',#46067,#37090,#37091); #30884=AXIS2_PLACEMENT_3D('',#46070,#37093,#37094); #30885=AXIS2_PLACEMENT_3D('',#46072,#37095,#37096); #30886=AXIS2_PLACEMENT_3D('',#46074,#37098,#37099); #30887=AXIS2_PLACEMENT_3D('',#46076,#37100,#37101); #30888=AXIS2_PLACEMENT_3D('',#46079,#37103,#37104); #30889=AXIS2_PLACEMENT_3D('',#46081,#37105,#37106); #30890=AXIS2_PLACEMENT_3D('',#46083,#37108,#37109); #30891=AXIS2_PLACEMENT_3D('',#46085,#37110,#37111); #30892=AXIS2_PLACEMENT_3D('',#46088,#37113,#37114); #30893=AXIS2_PLACEMENT_3D('',#46090,#37115,#37116); #30894=AXIS2_PLACEMENT_3D('',#46092,#37118,#37119); #30895=AXIS2_PLACEMENT_3D('',#46094,#37120,#37121); #30896=AXIS2_PLACEMENT_3D('',#46097,#37123,#37124); #30897=AXIS2_PLACEMENT_3D('',#46099,#37125,#37126); #30898=AXIS2_PLACEMENT_3D('',#46101,#37128,#37129); #30899=AXIS2_PLACEMENT_3D('',#46103,#37130,#37131); #30900=AXIS2_PLACEMENT_3D('',#46106,#37133,#37134); #30901=AXIS2_PLACEMENT_3D('',#46108,#37135,#37136); #30902=AXIS2_PLACEMENT_3D('',#46110,#37138,#37139); #30903=AXIS2_PLACEMENT_3D('',#46112,#37140,#37141); #30904=AXIS2_PLACEMENT_3D('',#46115,#37143,#37144); #30905=AXIS2_PLACEMENT_3D('',#46117,#37145,#37146); #30906=AXIS2_PLACEMENT_3D('',#46119,#37148,#37149); #30907=AXIS2_PLACEMENT_3D('',#46121,#37150,#37151); #30908=AXIS2_PLACEMENT_3D('',#46124,#37153,#37154); #30909=AXIS2_PLACEMENT_3D('',#46126,#37155,#37156); #30910=AXIS2_PLACEMENT_3D('',#46128,#37158,#37159); #30911=AXIS2_PLACEMENT_3D('',#46130,#37160,#37161); #30912=AXIS2_PLACEMENT_3D('',#46133,#37163,#37164); #30913=AXIS2_PLACEMENT_3D('',#46135,#37165,#37166); #30914=AXIS2_PLACEMENT_3D('',#46137,#37168,#37169); #30915=AXIS2_PLACEMENT_3D('',#46139,#37170,#37171); #30916=AXIS2_PLACEMENT_3D('',#46142,#37173,#37174); #30917=AXIS2_PLACEMENT_3D('',#46144,#37175,#37176); #30918=AXIS2_PLACEMENT_3D('',#46146,#37178,#37179); #30919=AXIS2_PLACEMENT_3D('',#46148,#37180,#37181); #30920=AXIS2_PLACEMENT_3D('',#46151,#37183,#37184); #30921=AXIS2_PLACEMENT_3D('',#46153,#37185,#37186); #30922=AXIS2_PLACEMENT_3D('',#46155,#37188,#37189); #30923=AXIS2_PLACEMENT_3D('',#46157,#37190,#37191); #30924=AXIS2_PLACEMENT_3D('',#46160,#37193,#37194); #30925=AXIS2_PLACEMENT_3D('',#46162,#37195,#37196); #30926=AXIS2_PLACEMENT_3D('',#46164,#37198,#37199); #30927=AXIS2_PLACEMENT_3D('',#46166,#37200,#37201); #30928=AXIS2_PLACEMENT_3D('',#46169,#37203,#37204); #30929=AXIS2_PLACEMENT_3D('',#46171,#37205,#37206); #30930=AXIS2_PLACEMENT_3D('',#46173,#37208,#37209); #30931=AXIS2_PLACEMENT_3D('',#46175,#37210,#37211); #30932=AXIS2_PLACEMENT_3D('',#46178,#37213,#37214); #30933=AXIS2_PLACEMENT_3D('',#46180,#37215,#37216); #30934=AXIS2_PLACEMENT_3D('',#46182,#37218,#37219); #30935=AXIS2_PLACEMENT_3D('',#46184,#37220,#37221); #30936=AXIS2_PLACEMENT_3D('',#46187,#37223,#37224); #30937=AXIS2_PLACEMENT_3D('',#46189,#37225,#37226); #30938=AXIS2_PLACEMENT_3D('',#46191,#37228,#37229); #30939=AXIS2_PLACEMENT_3D('',#46193,#37230,#37231); #30940=AXIS2_PLACEMENT_3D('',#46196,#37233,#37234); #30941=AXIS2_PLACEMENT_3D('',#46198,#37235,#37236); #30942=AXIS2_PLACEMENT_3D('',#46200,#37238,#37239); #30943=AXIS2_PLACEMENT_3D('',#46202,#37240,#37241); #30944=AXIS2_PLACEMENT_3D('',#46205,#37243,#37244); #30945=AXIS2_PLACEMENT_3D('',#46207,#37245,#37246); #30946=AXIS2_PLACEMENT_3D('',#46209,#37248,#37249); #30947=AXIS2_PLACEMENT_3D('',#46211,#37250,#37251); #30948=AXIS2_PLACEMENT_3D('',#46214,#37253,#37254); #30949=AXIS2_PLACEMENT_3D('',#46216,#37255,#37256); #30950=AXIS2_PLACEMENT_3D('',#46218,#37258,#37259); #30951=AXIS2_PLACEMENT_3D('',#46220,#37260,#37261); #30952=AXIS2_PLACEMENT_3D('',#46223,#37263,#37264); #30953=AXIS2_PLACEMENT_3D('',#46225,#37265,#37266); #30954=AXIS2_PLACEMENT_3D('',#46227,#37268,#37269); #30955=AXIS2_PLACEMENT_3D('',#46229,#37270,#37271); #30956=AXIS2_PLACEMENT_3D('',#46232,#37273,#37274); #30957=AXIS2_PLACEMENT_3D('',#46233,#37275,#37276); #30958=AXIS2_PLACEMENT_3D('',#46235,#37277,#37278); #30959=AXIS2_PLACEMENT_3D('',#46238,#37280,#37281); #30960=AXIS2_PLACEMENT_3D('',#46239,#37282,#37283); #30961=AXIS2_PLACEMENT_3D('',#46241,#37284,#37285); #30962=AXIS2_PLACEMENT_3D('',#46244,#37287,#37288); #30963=AXIS2_PLACEMENT_3D('',#46245,#37289,#37290); #30964=AXIS2_PLACEMENT_3D('',#46247,#37291,#37292); #30965=AXIS2_PLACEMENT_3D('',#46250,#37294,#37295); #30966=AXIS2_PLACEMENT_3D('',#46251,#37296,#37297); #30967=AXIS2_PLACEMENT_3D('',#46253,#37298,#37299); #30968=AXIS2_PLACEMENT_3D('',#46256,#37301,#37302); #30969=AXIS2_PLACEMENT_3D('',#46257,#37303,#37304); #30970=AXIS2_PLACEMENT_3D('',#46259,#37305,#37306); #30971=AXIS2_PLACEMENT_3D('',#46262,#37308,#37309); #30972=AXIS2_PLACEMENT_3D('',#46263,#37310,#37311); #30973=AXIS2_PLACEMENT_3D('',#46265,#37312,#37313); #30974=AXIS2_PLACEMENT_3D('',#46268,#37315,#37316); #30975=AXIS2_PLACEMENT_3D('',#46269,#37317,#37318); #30976=AXIS2_PLACEMENT_3D('',#46271,#37319,#37320); #30977=AXIS2_PLACEMENT_3D('',#46274,#37322,#37323); #30978=AXIS2_PLACEMENT_3D('',#46275,#37324,#37325); #30979=AXIS2_PLACEMENT_3D('',#46277,#37326,#37327); #30980=AXIS2_PLACEMENT_3D('',#46280,#37329,#37330); #30981=AXIS2_PLACEMENT_3D('',#46281,#37331,#37332); #30982=AXIS2_PLACEMENT_3D('',#46283,#37333,#37334); #30983=AXIS2_PLACEMENT_3D('',#46286,#37336,#37337); #30984=AXIS2_PLACEMENT_3D('',#46287,#37338,#37339); #30985=AXIS2_PLACEMENT_3D('',#46290,#37340,#37341); #30986=AXIS2_PLACEMENT_3D('',#46292,#37342,#37343); #30987=AXIS2_PLACEMENT_3D('',#46294,#37344,#37345); #30988=AXIS2_PLACEMENT_3D('',#46295,#37346,#37347); #30989=AXIS2_PLACEMENT_3D('',#46296,#37348,#37349); #30990=AXIS2_PLACEMENT_3D('',#46299,#37350,#37351); #30991=AXIS2_PLACEMENT_3D('',#46302,#37354,#37355); #30992=AXIS2_PLACEMENT_3D('',#46305,#37356,#37357); #30993=AXIS2_PLACEMENT_3D('',#46306,#37358,#37359); #30994=AXIS2_PLACEMENT_3D('',#46307,#37360,#37361); #30995=AXIS2_PLACEMENT_3D('',#46308,#37362,#37363); #30996=AXIS2_PLACEMENT_3D('',#46311,#37364,#37365); #30997=AXIS2_PLACEMENT_3D('',#46312,#37366,#37367); #30998=AXIS2_PLACEMENT_3D('',#46313,#37368,#37369); #30999=AXIS2_PLACEMENT_3D('',#46314,#37370,#37371); #31000=AXIS2_PLACEMENT_3D('',#46317,#37372,#37373); #31001=AXIS2_PLACEMENT_3D('',#46318,#37374,#37375); #31002=AXIS2_PLACEMENT_3D('',#46319,#37376,#37377); #31003=AXIS2_PLACEMENT_3D('',#46320,#37378,#37379); #31004=AXIS2_PLACEMENT_3D('',#46323,#37380,#37381); #31005=AXIS2_PLACEMENT_3D('',#46326,#37384,#37385); #31006=AXIS2_PLACEMENT_3D('',#46329,#37386,#37387); #31007=AXIS2_PLACEMENT_3D('',#46330,#37388,#37389); #31008=AXIS2_PLACEMENT_3D('',#46331,#37390,#37391); #31009=AXIS2_PLACEMENT_3D('',#46332,#37392,#37393); #31010=AXIS2_PLACEMENT_3D('',#46333,#37394,#37395); #31011=AXIS2_PLACEMENT_3D('',#46334,#37396,#37397); #31012=AXIS2_PLACEMENT_3D('',#46335,#37398,#37399); #31013=AXIS2_PLACEMENT_3D('',#46339,#37401,#37402); #31014=AXIS2_PLACEMENT_3D('',#46341,#37404,#37405); #31015=AXIS2_PLACEMENT_3D('',#46344,#37407,#37408); #31016=AXIS2_PLACEMENT_3D('',#46345,#37409,#37410); #31017=AXIS2_PLACEMENT_3D('',#46349,#37413,#37414); #31018=AXIS2_PLACEMENT_3D('',#46352,#37416,#37417); #31019=AXIS2_PLACEMENT_3D('',#46353,#37418,#37419); #31020=AXIS2_PLACEMENT_3D('',#46356,#37420,#37421); #31021=AXIS2_PLACEMENT_3D('',#46360,#37423,#37424); #31022=AXIS2_PLACEMENT_3D('',#46362,#37425,#37426); #31023=AXIS2_PLACEMENT_3D('',#46364,#37427,#37428); #31024=AXIS2_PLACEMENT_3D('',#46368,#37430,#37431); #31025=AXIS2_PLACEMENT_3D('',#46369,#37432,#37433); #31026=AXIS2_PLACEMENT_3D('',#46370,#37434,#37435); #31027=AXIS2_PLACEMENT_3D('',#46372,#37436,#37437); #31028=AXIS2_PLACEMENT_3D('',#46374,#37439,#37440); #31029=AXIS2_PLACEMENT_3D('',#46378,#37443,#37444); #31030=AXIS2_PLACEMENT_3D('',#46380,#37445,#37446); #31031=AXIS2_PLACEMENT_3D('',#46382,#37448,#37449); #31032=AXIS2_PLACEMENT_3D('',#46383,#37450,#37451); #31033=AXIS2_PLACEMENT_3D('',#46384,#37452,#37453); #31034=AXIS2_PLACEMENT_3D('',#46386,#37454,#37455); #31035=AXIS2_PLACEMENT_3D('',#46389,#37457,#37458); #31036=AXIS2_PLACEMENT_3D('',#46390,#37459,#37460); #31037=AXIS2_PLACEMENT_3D('',#46392,#37461,#37462); #31038=AXIS2_PLACEMENT_3D('',#46395,#37464,#37465); #31039=AXIS2_PLACEMENT_3D('',#46396,#37466,#37467); #31040=AXIS2_PLACEMENT_3D('',#46398,#37468,#37469); #31041=AXIS2_PLACEMENT_3D('',#46401,#37471,#37472); #31042=AXIS2_PLACEMENT_3D('',#46402,#37473,#37474); #31043=AXIS2_PLACEMENT_3D('',#46404,#37475,#37476); #31044=AXIS2_PLACEMENT_3D('',#46407,#37478,#37479); #31045=AXIS2_PLACEMENT_3D('',#46408,#37480,#37481); #31046=AXIS2_PLACEMENT_3D('',#46410,#37482,#37483); #31047=AXIS2_PLACEMENT_3D('',#46413,#37485,#37486); #31048=AXIS2_PLACEMENT_3D('',#46414,#37487,#37488); #31049=AXIS2_PLACEMENT_3D('',#46416,#37489,#37490); #31050=AXIS2_PLACEMENT_3D('',#46419,#37492,#37493); #31051=AXIS2_PLACEMENT_3D('',#46420,#37494,#37495); #31052=AXIS2_PLACEMENT_3D('',#46422,#37496,#37497); #31053=AXIS2_PLACEMENT_3D('',#46425,#37499,#37500); #31054=AXIS2_PLACEMENT_3D('',#46426,#37501,#37502); #31055=AXIS2_PLACEMENT_3D('',#46428,#37503,#37504); #31056=AXIS2_PLACEMENT_3D('',#46431,#37506,#37507); #31057=AXIS2_PLACEMENT_3D('',#46432,#37508,#37509); #31058=AXIS2_PLACEMENT_3D('',#46434,#37510,#37511); #31059=AXIS2_PLACEMENT_3D('',#46437,#37513,#37514); #31060=AXIS2_PLACEMENT_3D('',#46438,#37515,#37516); #31061=AXIS2_PLACEMENT_3D('',#46440,#37517,#37518); #31062=AXIS2_PLACEMENT_3D('',#46443,#37520,#37521); #31063=AXIS2_PLACEMENT_3D('',#46444,#37522,#37523); #31064=AXIS2_PLACEMENT_3D('',#46446,#37524,#37525); #31065=AXIS2_PLACEMENT_3D('',#46449,#37527,#37528); #31066=AXIS2_PLACEMENT_3D('',#46450,#37529,#37530); #31067=AXIS2_PLACEMENT_3D('',#46452,#37531,#37532); #31068=AXIS2_PLACEMENT_3D('',#46455,#37534,#37535); #31069=AXIS2_PLACEMENT_3D('',#46456,#37536,#37537); #31070=AXIS2_PLACEMENT_3D('',#46458,#37538,#37539); #31071=AXIS2_PLACEMENT_3D('',#46461,#37541,#37542); #31072=AXIS2_PLACEMENT_3D('',#46462,#37543,#37544); #31073=AXIS2_PLACEMENT_3D('',#46464,#37545,#37546); #31074=AXIS2_PLACEMENT_3D('',#46467,#37548,#37549); #31075=AXIS2_PLACEMENT_3D('',#46468,#37550,#37551); #31076=AXIS2_PLACEMENT_3D('',#46470,#37552,#37553); #31077=AXIS2_PLACEMENT_3D('',#46473,#37555,#37556); #31078=AXIS2_PLACEMENT_3D('',#46474,#37557,#37558); #31079=AXIS2_PLACEMENT_3D('',#46476,#37559,#37560); #31080=AXIS2_PLACEMENT_3D('',#46479,#37562,#37563); #31081=AXIS2_PLACEMENT_3D('',#46480,#37564,#37565); #31082=AXIS2_PLACEMENT_3D('',#46482,#37566,#37567); #31083=AXIS2_PLACEMENT_3D('',#46485,#37569,#37570); #31084=AXIS2_PLACEMENT_3D('',#46486,#37571,#37572); #31085=AXIS2_PLACEMENT_3D('',#46488,#37573,#37574); #31086=AXIS2_PLACEMENT_3D('',#46491,#37576,#37577); #31087=AXIS2_PLACEMENT_3D('',#46492,#37578,#37579); #31088=AXIS2_PLACEMENT_3D('',#46494,#37580,#37581); #31089=AXIS2_PLACEMENT_3D('',#46497,#37583,#37584); #31090=AXIS2_PLACEMENT_3D('',#46498,#37585,#37586); #31091=AXIS2_PLACEMENT_3D('',#46500,#37587,#37588); #31092=AXIS2_PLACEMENT_3D('',#46503,#37590,#37591); #31093=AXIS2_PLACEMENT_3D('',#46504,#37592,#37593); #31094=AXIS2_PLACEMENT_3D('',#46506,#37594,#37595); #31095=AXIS2_PLACEMENT_3D('',#46509,#37597,#37598); #31096=AXIS2_PLACEMENT_3D('',#46510,#37599,#37600); #31097=AXIS2_PLACEMENT_3D('',#46512,#37601,#37602); #31098=AXIS2_PLACEMENT_3D('',#46515,#37604,#37605); #31099=AXIS2_PLACEMENT_3D('',#46516,#37606,#37607); #31100=AXIS2_PLACEMENT_3D('',#46518,#37608,#37609); #31101=AXIS2_PLACEMENT_3D('',#46521,#37611,#37612); #31102=AXIS2_PLACEMENT_3D('',#46522,#37613,#37614); #31103=AXIS2_PLACEMENT_3D('',#46524,#37615,#37616); #31104=AXIS2_PLACEMENT_3D('',#46527,#37618,#37619); #31105=AXIS2_PLACEMENT_3D('',#46528,#37620,#37621); #31106=AXIS2_PLACEMENT_3D('',#46530,#37622,#37623); #31107=AXIS2_PLACEMENT_3D('',#46533,#37625,#37626); #31108=AXIS2_PLACEMENT_3D('',#46534,#37627,#37628); #31109=AXIS2_PLACEMENT_3D('',#46536,#37629,#37630); #31110=AXIS2_PLACEMENT_3D('',#46539,#37632,#37633); #31111=AXIS2_PLACEMENT_3D('',#46540,#37634,#37635); #31112=AXIS2_PLACEMENT_3D('',#46542,#37636,#37637); #31113=AXIS2_PLACEMENT_3D('',#46545,#37639,#37640); #31114=AXIS2_PLACEMENT_3D('',#46546,#37641,#37642); #31115=AXIS2_PLACEMENT_3D('',#46548,#37643,#37644); #31116=AXIS2_PLACEMENT_3D('',#46551,#37646,#37647); #31117=AXIS2_PLACEMENT_3D('',#46552,#37648,#37649); #31118=AXIS2_PLACEMENT_3D('',#46554,#37650,#37651); #31119=AXIS2_PLACEMENT_3D('',#46557,#37653,#37654); #31120=AXIS2_PLACEMENT_3D('',#46558,#37655,#37656); #31121=AXIS2_PLACEMENT_3D('',#46560,#37657,#37658); #31122=AXIS2_PLACEMENT_3D('',#46563,#37660,#37661); #31123=AXIS2_PLACEMENT_3D('',#46564,#37662,#37663); #31124=AXIS2_PLACEMENT_3D('',#46566,#37664,#37665); #31125=AXIS2_PLACEMENT_3D('',#46569,#37667,#37668); #31126=AXIS2_PLACEMENT_3D('',#46570,#37669,#37670); #31127=AXIS2_PLACEMENT_3D('',#46572,#37671,#37672); #31128=AXIS2_PLACEMENT_3D('',#46575,#37674,#37675); #31129=AXIS2_PLACEMENT_3D('',#46576,#37676,#37677); #31130=AXIS2_PLACEMENT_3D('',#46578,#37678,#37679); #31131=AXIS2_PLACEMENT_3D('',#46581,#37681,#37682); #31132=AXIS2_PLACEMENT_3D('',#46582,#37683,#37684); #31133=AXIS2_PLACEMENT_3D('',#46584,#37685,#37686); #31134=AXIS2_PLACEMENT_3D('',#46587,#37688,#37689); #31135=AXIS2_PLACEMENT_3D('',#46588,#37690,#37691); #31136=AXIS2_PLACEMENT_3D('',#46590,#37692,#37693); #31137=AXIS2_PLACEMENT_3D('',#46593,#37695,#37696); #31138=AXIS2_PLACEMENT_3D('',#46594,#37697,#37698); #31139=AXIS2_PLACEMENT_3D('',#46596,#37699,#37700); #31140=AXIS2_PLACEMENT_3D('',#46599,#37702,#37703); #31141=AXIS2_PLACEMENT_3D('',#46600,#37704,#37705); #31142=AXIS2_PLACEMENT_3D('',#46602,#37706,#37707); #31143=AXIS2_PLACEMENT_3D('',#46605,#37709,#37710); #31144=AXIS2_PLACEMENT_3D('',#46606,#37711,#37712); #31145=AXIS2_PLACEMENT_3D('',#46608,#37713,#37714); #31146=AXIS2_PLACEMENT_3D('',#46611,#37716,#37717); #31147=AXIS2_PLACEMENT_3D('',#46612,#37718,#37719); #31148=AXIS2_PLACEMENT_3D('',#46614,#37720,#37721); #31149=AXIS2_PLACEMENT_3D('',#46617,#37723,#37724); #31150=AXIS2_PLACEMENT_3D('',#46618,#37725,#37726); #31151=AXIS2_PLACEMENT_3D('',#46620,#37727,#37728); #31152=AXIS2_PLACEMENT_3D('',#46623,#37730,#37731); #31153=AXIS2_PLACEMENT_3D('',#46624,#37732,#37733); #31154=AXIS2_PLACEMENT_3D('',#46626,#37734,#37735); #31155=AXIS2_PLACEMENT_3D('',#46629,#37737,#37738); #31156=AXIS2_PLACEMENT_3D('',#46630,#37739,#37740); #31157=AXIS2_PLACEMENT_3D('',#46632,#37741,#37742); #31158=AXIS2_PLACEMENT_3D('',#46635,#37744,#37745); #31159=AXIS2_PLACEMENT_3D('',#46636,#37746,#37747); #31160=AXIS2_PLACEMENT_3D('',#46638,#37748,#37749); #31161=AXIS2_PLACEMENT_3D('',#46641,#37751,#37752); #31162=AXIS2_PLACEMENT_3D('',#46642,#37753,#37754); #31163=AXIS2_PLACEMENT_3D('',#46644,#37755,#37756); #31164=AXIS2_PLACEMENT_3D('',#46647,#37758,#37759); #31165=AXIS2_PLACEMENT_3D('',#46648,#37760,#37761); #31166=AXIS2_PLACEMENT_3D('',#46650,#37762,#37763); #31167=AXIS2_PLACEMENT_3D('',#46653,#37765,#37766); #31168=AXIS2_PLACEMENT_3D('',#46654,#37767,#37768); #31169=AXIS2_PLACEMENT_3D('',#46656,#37769,#37770); #31170=AXIS2_PLACEMENT_3D('',#46659,#37772,#37773); #31171=AXIS2_PLACEMENT_3D('',#46660,#37774,#37775); #31172=AXIS2_PLACEMENT_3D('',#46662,#37776,#37777); #31173=AXIS2_PLACEMENT_3D('',#46665,#37779,#37780); #31174=AXIS2_PLACEMENT_3D('',#46666,#37781,#37782); #31175=AXIS2_PLACEMENT_3D('',#46668,#37783,#37784); #31176=AXIS2_PLACEMENT_3D('',#46671,#37786,#37787); #31177=AXIS2_PLACEMENT_3D('',#46672,#37788,#37789); #31178=AXIS2_PLACEMENT_3D('',#46674,#37790,#37791); #31179=AXIS2_PLACEMENT_3D('',#46677,#37793,#37794); #31180=AXIS2_PLACEMENT_3D('',#46678,#37795,#37796); #31181=AXIS2_PLACEMENT_3D('',#46680,#37797,#37798); #31182=AXIS2_PLACEMENT_3D('',#46683,#37800,#37801); #31183=AXIS2_PLACEMENT_3D('',#46684,#37802,#37803); #31184=AXIS2_PLACEMENT_3D('',#46686,#37804,#37805); #31185=AXIS2_PLACEMENT_3D('',#46689,#37807,#37808); #31186=AXIS2_PLACEMENT_3D('',#46690,#37809,#37810); #31187=AXIS2_PLACEMENT_3D('',#46692,#37811,#37812); #31188=AXIS2_PLACEMENT_3D('',#46695,#37814,#37815); #31189=AXIS2_PLACEMENT_3D('',#46696,#37816,#37817); #31190=AXIS2_PLACEMENT_3D('',#46698,#37818,#37819); #31191=AXIS2_PLACEMENT_3D('',#46701,#37821,#37822); #31192=AXIS2_PLACEMENT_3D('',#46702,#37823,#37824); #31193=AXIS2_PLACEMENT_3D('',#46704,#37825,#37826); #31194=AXIS2_PLACEMENT_3D('',#46707,#37828,#37829); #31195=AXIS2_PLACEMENT_3D('',#46708,#37830,#37831); #31196=AXIS2_PLACEMENT_3D('',#46710,#37832,#37833); #31197=AXIS2_PLACEMENT_3D('',#46713,#37835,#37836); #31198=AXIS2_PLACEMENT_3D('',#46714,#37837,#37838); #31199=AXIS2_PLACEMENT_3D('',#46717,#37839,#37840); #31200=AXIS2_PLACEMENT_3D('',#46721,#37842,#37843); #31201=AXIS2_PLACEMENT_3D('',#46723,#37845,#37846); #31202=AXIS2_PLACEMENT_3D('',#46725,#37847,#37848); #31203=AXIS2_PLACEMENT_3D('',#46727,#37849,#37850); #31204=AXIS2_PLACEMENT_3D('',#46729,#37852,#37853); #31205=AXIS2_PLACEMENT_3D('',#46731,#37854,#37855); #31206=AXIS2_PLACEMENT_3D('',#46733,#37856,#37857); #31207=AXIS2_PLACEMENT_3D('',#46735,#37859,#37860); #31208=AXIS2_PLACEMENT_3D('',#46741,#37864,#37865); #31209=AXIS2_PLACEMENT_3D('',#46745,#37867,#37868); #31210=AXIS2_PLACEMENT_3D('',#46747,#37870,#37871); #31211=AXIS2_PLACEMENT_3D('',#46751,#37874,#37875); #31212=AXIS2_PLACEMENT_3D('',#46753,#37876,#37877); #31213=AXIS2_PLACEMENT_3D('',#46755,#37879,#37880); #31214=AXIS2_PLACEMENT_3D('',#46757,#37881,#37882); #31215=AXIS2_PLACEMENT_3D('',#46759,#37884,#37885); #31216=AXIS2_PLACEMENT_3D('',#46761,#37886,#37887); #31217=AXIS2_PLACEMENT_3D('',#46763,#37888,#37889); #31218=AXIS2_PLACEMENT_3D('',#46765,#37891,#37892); #31219=AXIS2_PLACEMENT_3D('',#46767,#37893,#37894); #31220=AXIS2_PLACEMENT_3D('',#46769,#37896,#37897); #31221=AXIS2_PLACEMENT_3D('',#46773,#37900,#37901); #31222=AXIS2_PLACEMENT_3D('',#46775,#37902,#37903); #31223=AXIS2_PLACEMENT_3D('',#46777,#37905,#37906); #31224=AXIS2_PLACEMENT_3D('',#46778,#37907,#37908); #31225=AXIS2_PLACEMENT_3D('',#46779,#37909,#37910); #31226=AXIS2_PLACEMENT_3D('',#46785,#37914,#37915); #31227=AXIS2_PLACEMENT_3D('',#46787,#37916,#37917); #31228=AXIS2_PLACEMENT_3D('',#46788,#37918,#37919); #31229=AXIS2_PLACEMENT_3D('',#46789,#37920,#37921); #31230=AXIS2_PLACEMENT_3D('',#46791,#37922,#37923); #31231=AXIS2_PLACEMENT_3D('',#46793,#37925,#37926); #31232=AXIS2_PLACEMENT_3D('',#46794,#37927,#37928); #31233=AXIS2_PLACEMENT_3D('',#46795,#37929,#37930); #31234=AXIS2_PLACEMENT_3D('',#46797,#37931,#37932); #31235=AXIS2_PLACEMENT_3D('',#46800,#37934,#37935); #31236=AXIS2_PLACEMENT_3D('',#46802,#37936,#37937); #31237=AXIS2_PLACEMENT_3D('',#46804,#37939,#37940); #31238=AXIS2_PLACEMENT_3D('',#46806,#37941,#37942); #31239=AXIS2_PLACEMENT_3D('',#46809,#37944,#37945); #31240=AXIS2_PLACEMENT_3D('',#46811,#37946,#37947); #31241=AXIS2_PLACEMENT_3D('',#46813,#37949,#37950); #31242=AXIS2_PLACEMENT_3D('',#46815,#37951,#37952); #31243=AXIS2_PLACEMENT_3D('',#46818,#37954,#37955); #31244=AXIS2_PLACEMENT_3D('',#46820,#37956,#37957); #31245=AXIS2_PLACEMENT_3D('',#46822,#37959,#37960); #31246=AXIS2_PLACEMENT_3D('',#46824,#37961,#37962); #31247=AXIS2_PLACEMENT_3D('',#46827,#37964,#37965); #31248=AXIS2_PLACEMENT_3D('',#46829,#37966,#37967); #31249=AXIS2_PLACEMENT_3D('',#46831,#37969,#37970); #31250=AXIS2_PLACEMENT_3D('',#46833,#37971,#37972); #31251=AXIS2_PLACEMENT_3D('',#46836,#37974,#37975); #31252=AXIS2_PLACEMENT_3D('',#46838,#37976,#37977); #31253=AXIS2_PLACEMENT_3D('',#46840,#37979,#37980); #31254=AXIS2_PLACEMENT_3D('',#46842,#37981,#37982); #31255=AXIS2_PLACEMENT_3D('',#46845,#37984,#37985); #31256=AXIS2_PLACEMENT_3D('',#46847,#37986,#37987); #31257=AXIS2_PLACEMENT_3D('',#46849,#37989,#37990); #31258=AXIS2_PLACEMENT_3D('',#46851,#37991,#37992); #31259=AXIS2_PLACEMENT_3D('',#46854,#37994,#37995); #31260=AXIS2_PLACEMENT_3D('',#46856,#37996,#37997); #31261=AXIS2_PLACEMENT_3D('',#46858,#37999,#38000); #31262=AXIS2_PLACEMENT_3D('',#46860,#38001,#38002); #31263=AXIS2_PLACEMENT_3D('',#46863,#38004,#38005); #31264=AXIS2_PLACEMENT_3D('',#46865,#38006,#38007); #31265=AXIS2_PLACEMENT_3D('',#46867,#38009,#38010); #31266=AXIS2_PLACEMENT_3D('',#46869,#38011,#38012); #31267=AXIS2_PLACEMENT_3D('',#46872,#38014,#38015); #31268=AXIS2_PLACEMENT_3D('',#46874,#38016,#38017); #31269=AXIS2_PLACEMENT_3D('',#46876,#38019,#38020); #31270=AXIS2_PLACEMENT_3D('',#46878,#38021,#38022); #31271=AXIS2_PLACEMENT_3D('',#46881,#38024,#38025); #31272=AXIS2_PLACEMENT_3D('',#46883,#38026,#38027); #31273=AXIS2_PLACEMENT_3D('',#46885,#38029,#38030); #31274=AXIS2_PLACEMENT_3D('',#46887,#38031,#38032); #31275=AXIS2_PLACEMENT_3D('',#46890,#38034,#38035); #31276=AXIS2_PLACEMENT_3D('',#46892,#38036,#38037); #31277=AXIS2_PLACEMENT_3D('',#46894,#38039,#38040); #31278=AXIS2_PLACEMENT_3D('',#46896,#38041,#38042); #31279=AXIS2_PLACEMENT_3D('',#46899,#38044,#38045); #31280=AXIS2_PLACEMENT_3D('',#46901,#38046,#38047); #31281=AXIS2_PLACEMENT_3D('',#46903,#38049,#38050); #31282=AXIS2_PLACEMENT_3D('',#46905,#38051,#38052); #31283=AXIS2_PLACEMENT_3D('',#46908,#38054,#38055); #31284=AXIS2_PLACEMENT_3D('',#46910,#38056,#38057); #31285=AXIS2_PLACEMENT_3D('',#46912,#38059,#38060); #31286=AXIS2_PLACEMENT_3D('',#46914,#38061,#38062); #31287=AXIS2_PLACEMENT_3D('',#46917,#38064,#38065); #31288=AXIS2_PLACEMENT_3D('',#46919,#38066,#38067); #31289=AXIS2_PLACEMENT_3D('',#46921,#38069,#38070); #31290=AXIS2_PLACEMENT_3D('',#46923,#38071,#38072); #31291=AXIS2_PLACEMENT_3D('',#46926,#38074,#38075); #31292=AXIS2_PLACEMENT_3D('',#46928,#38076,#38077); #31293=AXIS2_PLACEMENT_3D('',#46930,#38079,#38080); #31294=AXIS2_PLACEMENT_3D('',#46932,#38081,#38082); #31295=AXIS2_PLACEMENT_3D('',#46935,#38084,#38085); #31296=AXIS2_PLACEMENT_3D('',#46937,#38086,#38087); #31297=AXIS2_PLACEMENT_3D('',#46939,#38089,#38090); #31298=AXIS2_PLACEMENT_3D('',#46941,#38091,#38092); #31299=AXIS2_PLACEMENT_3D('',#46944,#38094,#38095); #31300=AXIS2_PLACEMENT_3D('',#46946,#38096,#38097); #31301=AXIS2_PLACEMENT_3D('',#46948,#38099,#38100); #31302=AXIS2_PLACEMENT_3D('',#46950,#38101,#38102); #31303=AXIS2_PLACEMENT_3D('',#46953,#38104,#38105); #31304=AXIS2_PLACEMENT_3D('',#46955,#38106,#38107); #31305=AXIS2_PLACEMENT_3D('',#46957,#38109,#38110); #31306=AXIS2_PLACEMENT_3D('',#46959,#38111,#38112); #31307=AXIS2_PLACEMENT_3D('',#46962,#38114,#38115); #31308=AXIS2_PLACEMENT_3D('',#46964,#38116,#38117); #31309=AXIS2_PLACEMENT_3D('',#46966,#38119,#38120); #31310=AXIS2_PLACEMENT_3D('',#46968,#38121,#38122); #31311=AXIS2_PLACEMENT_3D('',#46971,#38124,#38125); #31312=AXIS2_PLACEMENT_3D('',#46973,#38126,#38127); #31313=AXIS2_PLACEMENT_3D('',#46975,#38129,#38130); #31314=AXIS2_PLACEMENT_3D('',#46977,#38131,#38132); #31315=AXIS2_PLACEMENT_3D('',#46980,#38134,#38135); #31316=AXIS2_PLACEMENT_3D('',#46982,#38136,#38137); #31317=AXIS2_PLACEMENT_3D('',#46984,#38139,#38140); #31318=AXIS2_PLACEMENT_3D('',#46986,#38141,#38142); #31319=AXIS2_PLACEMENT_3D('',#46989,#38144,#38145); #31320=AXIS2_PLACEMENT_3D('',#46991,#38146,#38147); #31321=AXIS2_PLACEMENT_3D('',#46993,#38149,#38150); #31322=AXIS2_PLACEMENT_3D('',#46995,#38151,#38152); #31323=AXIS2_PLACEMENT_3D('',#46998,#38154,#38155); #31324=AXIS2_PLACEMENT_3D('',#47000,#38156,#38157); #31325=AXIS2_PLACEMENT_3D('',#47002,#38159,#38160); #31326=AXIS2_PLACEMENT_3D('',#47004,#38161,#38162); #31327=AXIS2_PLACEMENT_3D('',#47007,#38164,#38165); #31328=AXIS2_PLACEMENT_3D('',#47009,#38166,#38167); #31329=AXIS2_PLACEMENT_3D('',#47011,#38169,#38170); #31330=AXIS2_PLACEMENT_3D('',#47013,#38171,#38172); #31331=AXIS2_PLACEMENT_3D('',#47016,#38174,#38175); #31332=AXIS2_PLACEMENT_3D('',#47018,#38176,#38177); #31333=AXIS2_PLACEMENT_3D('',#47020,#38179,#38180); #31334=AXIS2_PLACEMENT_3D('',#47022,#38181,#38182); #31335=AXIS2_PLACEMENT_3D('',#47025,#38184,#38185); #31336=AXIS2_PLACEMENT_3D('',#47027,#38186,#38187); #31337=AXIS2_PLACEMENT_3D('',#47029,#38189,#38190); #31338=AXIS2_PLACEMENT_3D('',#47031,#38191,#38192); #31339=AXIS2_PLACEMENT_3D('',#47034,#38194,#38195); #31340=AXIS2_PLACEMENT_3D('',#47036,#38196,#38197); #31341=AXIS2_PLACEMENT_3D('',#47038,#38199,#38200); #31342=AXIS2_PLACEMENT_3D('',#47040,#38201,#38202); #31343=AXIS2_PLACEMENT_3D('',#47043,#38204,#38205); #31344=AXIS2_PLACEMENT_3D('',#47045,#38206,#38207); #31345=AXIS2_PLACEMENT_3D('',#47047,#38209,#38210); #31346=AXIS2_PLACEMENT_3D('',#47049,#38211,#38212); #31347=AXIS2_PLACEMENT_3D('',#47052,#38214,#38215); #31348=AXIS2_PLACEMENT_3D('',#47054,#38216,#38217); #31349=AXIS2_PLACEMENT_3D('',#47056,#38219,#38220); #31350=AXIS2_PLACEMENT_3D('',#47058,#38221,#38222); #31351=AXIS2_PLACEMENT_3D('',#47061,#38224,#38225); #31352=AXIS2_PLACEMENT_3D('',#47063,#38226,#38227); #31353=AXIS2_PLACEMENT_3D('',#47065,#38229,#38230); #31354=AXIS2_PLACEMENT_3D('',#47067,#38231,#38232); #31355=AXIS2_PLACEMENT_3D('',#47070,#38234,#38235); #31356=AXIS2_PLACEMENT_3D('',#47072,#38236,#38237); #31357=AXIS2_PLACEMENT_3D('',#47074,#38239,#38240); #31358=AXIS2_PLACEMENT_3D('',#47076,#38241,#38242); #31359=AXIS2_PLACEMENT_3D('',#47079,#38244,#38245); #31360=AXIS2_PLACEMENT_3D('',#47081,#38246,#38247); #31361=AXIS2_PLACEMENT_3D('',#47083,#38249,#38250); #31362=AXIS2_PLACEMENT_3D('',#47085,#38251,#38252); #31363=AXIS2_PLACEMENT_3D('',#47088,#38254,#38255); #31364=AXIS2_PLACEMENT_3D('',#47090,#38256,#38257); #31365=AXIS2_PLACEMENT_3D('',#47092,#38259,#38260); #31366=AXIS2_PLACEMENT_3D('',#47094,#38261,#38262); #31367=AXIS2_PLACEMENT_3D('',#47097,#38264,#38265); #31368=AXIS2_PLACEMENT_3D('',#47099,#38266,#38267); #31369=AXIS2_PLACEMENT_3D('',#47101,#38269,#38270); #31370=AXIS2_PLACEMENT_3D('',#47103,#38271,#38272); #31371=AXIS2_PLACEMENT_3D('',#47106,#38274,#38275); #31372=AXIS2_PLACEMENT_3D('',#47108,#38276,#38277); #31373=AXIS2_PLACEMENT_3D('',#47110,#38279,#38280); #31374=AXIS2_PLACEMENT_3D('',#47119,#38285,#38286); #31375=AXIS2_PLACEMENT_3D('',#47127,#38290,#38291); #31376=AXIS2_PLACEMENT_3D('',#47129,#38293,#38294); #31377=AXIS2_PLACEMENT_3D('',#47133,#38297,#38298); #31378=AXIS2_PLACEMENT_3D('',#47137,#38301,#38302); #31379=AXIS2_PLACEMENT_3D('',#47141,#38305,#38306); #31380=AXIS2_PLACEMENT_3D('',#47144,#38308,#38309); #31381=AXIS2_PLACEMENT_3D('',#47145,#38310,#38311); #31382=AXIS2_PLACEMENT_3D('',#47147,#38313,#38314); #31383=AXIS2_PLACEMENT_3D('placement',#47148,#38315,#38316); #31384=AXIS2_PLACEMENT_3D('',#47149,#38317,#38318); #31385=AXIS2_PLACEMENT_3D('',#47151,#38319,#38320); #31386=AXIS2_PLACEMENT_3D('',#47154,#38322,#38323); #31387=AXIS2_PLACEMENT_3D('',#47155,#38324,#38325); #31388=AXIS2_PLACEMENT_3D('',#47157,#38326,#38327); #31389=AXIS2_PLACEMENT_3D('',#47160,#38329,#38330); #31390=AXIS2_PLACEMENT_3D('',#47161,#38331,#38332); #31391=AXIS2_PLACEMENT_3D('',#47163,#38333,#38334); #31392=AXIS2_PLACEMENT_3D('',#47166,#38336,#38337); #31393=AXIS2_PLACEMENT_3D('',#47167,#38338,#38339); #31394=AXIS2_PLACEMENT_3D('',#47169,#38340,#38341); #31395=AXIS2_PLACEMENT_3D('',#47172,#38343,#38344); #31396=AXIS2_PLACEMENT_3D('',#47173,#38345,#38346); #31397=AXIS2_PLACEMENT_3D('',#47175,#38347,#38348); #31398=AXIS2_PLACEMENT_3D('',#47178,#38350,#38351); #31399=AXIS2_PLACEMENT_3D('',#47180,#38352,#38353); #31400=AXIS2_PLACEMENT_3D('',#47182,#38355,#38356); #31401=AXIS2_PLACEMENT_3D('',#47184,#38357,#38358); #31402=AXIS2_PLACEMENT_3D('',#47187,#38360,#38361); #31403=AXIS2_PLACEMENT_3D('',#47189,#38362,#38363); #31404=AXIS2_PLACEMENT_3D('',#47191,#38365,#38366); #31405=AXIS2_PLACEMENT_3D('',#47193,#38367,#38368); #31406=AXIS2_PLACEMENT_3D('',#47196,#38370,#38371); #31407=AXIS2_PLACEMENT_3D('',#47198,#38372,#38373); #31408=AXIS2_PLACEMENT_3D('',#47200,#38375,#38376); #31409=AXIS2_PLACEMENT_3D('',#47210,#38381,#38382); #31410=AXIS2_PLACEMENT_3D('',#47212,#38383,#38384); #31411=AXIS2_PLACEMENT_3D('',#47214,#38385,#38386); #31412=AXIS2_PLACEMENT_3D('',#47216,#38387,#38388); #31413=AXIS2_PLACEMENT_3D('',#47218,#38389,#38390); #31414=AXIS2_PLACEMENT_3D('',#47219,#38391,#38392); #31415=AXIS2_PLACEMENT_3D('',#47225,#38396,#38397); #31416=AXIS2_PLACEMENT_3D('',#47228,#38399,#38400); #31417=AXIS2_PLACEMENT_3D('',#47229,#38401,#38402); #31418=AXIS2_PLACEMENT_3D('',#47232,#38404,#38405); #31419=AXIS2_PLACEMENT_3D('',#47233,#38406,#38407); #31420=AXIS2_PLACEMENT_3D('',#47236,#38409,#38410); #31421=AXIS2_PLACEMENT_3D('',#47237,#38411,#38412); #31422=AXIS2_PLACEMENT_3D('',#47240,#38414,#38415); #31423=AXIS2_PLACEMENT_3D('',#47241,#38416,#38417); #31424=AXIS2_PLACEMENT_3D('',#47244,#38419,#38420); #31425=AXIS2_PLACEMENT_3D('',#47245,#38421,#38422); #31426=AXIS2_PLACEMENT_3D('',#47250,#38425,#38426); #31427=AXIS2_PLACEMENT_3D('',#47252,#38427,#38428); #31428=AXIS2_PLACEMENT_3D('',#47254,#38429,#38430); #31429=AXIS2_PLACEMENT_3D('',#47255,#38431,#38432); #31430=AXIS2_PLACEMENT_3D('',#47259,#38435,#38436); #31431=AXIS2_PLACEMENT_3D('',#47261,#38437,#38438); #31432=AXIS2_PLACEMENT_3D('',#47264,#38440,#38441); #31433=AXIS2_PLACEMENT_3D('',#47266,#38443,#38444); #31434=AXIS2_PLACEMENT_3D('',#47268,#38445,#38446); #31435=AXIS2_PLACEMENT_3D('',#47271,#38448,#38449); #31436=AXIS2_PLACEMENT_3D('',#47273,#38451,#38452); #31437=AXIS2_PLACEMENT_3D('',#47277,#38454,#38455); #31438=AXIS2_PLACEMENT_3D('',#47279,#38456,#38457); #31439=AXIS2_PLACEMENT_3D('',#47280,#38458,#38459); #31440=AXIS2_PLACEMENT_3D('',#47281,#38460,#38461); #31441=AXIS2_PLACEMENT_3D('',#47287,#38463,#38464); #31442=AXIS2_PLACEMENT_3D('',#47289,#38466,#38467); #31443=AXIS2_PLACEMENT_3D('placement',#47290,#38468,#38469); #31444=AXIS2_PLACEMENT_3D('',#47291,#38470,#38471); #31445=AXIS2_PLACEMENT_3D('',#47300,#38476,#38477); #31446=AXIS2_PLACEMENT_3D('',#47302,#38478,#38479); #31447=AXIS2_PLACEMENT_3D('',#47304,#38480,#38481); #31448=AXIS2_PLACEMENT_3D('',#47305,#38482,#38483); #31449=AXIS2_PLACEMENT_3D('',#47307,#38484,#38485); #31450=AXIS2_PLACEMENT_3D('',#47309,#38487,#38488); #31451=AXIS2_PLACEMENT_3D('',#47312,#38490,#38491); #31452=AXIS2_PLACEMENT_3D('',#47313,#38492,#38493); #31453=AXIS2_PLACEMENT_3D('',#47315,#38494,#38495); #31454=AXIS2_PLACEMENT_3D('',#47317,#38496,#38497); #31455=AXIS2_PLACEMENT_3D('',#47318,#38498,#38499); #31456=AXIS2_PLACEMENT_3D('',#47320,#38500,#38501); #31457=AXIS2_PLACEMENT_3D('',#47322,#38503,#38504); #31458=AXIS2_PLACEMENT_3D('',#47325,#38506,#38507); #31459=AXIS2_PLACEMENT_3D('',#47326,#38508,#38509); #31460=AXIS2_PLACEMENT_3D('',#47328,#38510,#38511); #31461=AXIS2_PLACEMENT_3D('',#47330,#38512,#38513); #31462=AXIS2_PLACEMENT_3D('',#47331,#38514,#38515); #31463=AXIS2_PLACEMENT_3D('',#47333,#38516,#38517); #31464=AXIS2_PLACEMENT_3D('',#47335,#38519,#38520); #31465=AXIS2_PLACEMENT_3D('',#47338,#38522,#38523); #31466=AXIS2_PLACEMENT_3D('',#47339,#38524,#38525); #31467=AXIS2_PLACEMENT_3D('',#47341,#38526,#38527); #31468=AXIS2_PLACEMENT_3D('',#47343,#38528,#38529); #31469=AXIS2_PLACEMENT_3D('',#47344,#38530,#38531); #31470=AXIS2_PLACEMENT_3D('',#47346,#38532,#38533); #31471=AXIS2_PLACEMENT_3D('',#47348,#38535,#38536); #31472=AXIS2_PLACEMENT_3D('',#47351,#38538,#38539); #31473=AXIS2_PLACEMENT_3D('',#47352,#38540,#38541); #31474=AXIS2_PLACEMENT_3D('',#47354,#38542,#38543); #31475=AXIS2_PLACEMENT_3D('',#47356,#38544,#38545); #31476=AXIS2_PLACEMENT_3D('',#47357,#38546,#38547); #31477=AXIS2_PLACEMENT_3D('',#47359,#38548,#38549); #31478=AXIS2_PLACEMENT_3D('',#47361,#38551,#38552); #31479=AXIS2_PLACEMENT_3D('',#47364,#38554,#38555); #31480=AXIS2_PLACEMENT_3D('',#47365,#38556,#38557); #31481=AXIS2_PLACEMENT_3D('',#47367,#38558,#38559); #31482=AXIS2_PLACEMENT_3D('',#47369,#38560,#38561); #31483=AXIS2_PLACEMENT_3D('',#47370,#38562,#38563); #31484=AXIS2_PLACEMENT_3D('',#47372,#38564,#38565); #31485=AXIS2_PLACEMENT_3D('',#47374,#38567,#38568); #31486=AXIS2_PLACEMENT_3D('',#47377,#38570,#38571); #31487=AXIS2_PLACEMENT_3D('',#47378,#38572,#38573); #31488=AXIS2_PLACEMENT_3D('',#47380,#38574,#38575); #31489=AXIS2_PLACEMENT_3D('',#47382,#38576,#38577); #31490=AXIS2_PLACEMENT_3D('',#47383,#38578,#38579); #31491=AXIS2_PLACEMENT_3D('',#47385,#38580,#38581); #31492=AXIS2_PLACEMENT_3D('',#47387,#38583,#38584); #31493=AXIS2_PLACEMENT_3D('',#47390,#38586,#38587); #31494=AXIS2_PLACEMENT_3D('',#47391,#38588,#38589); #31495=AXIS2_PLACEMENT_3D('',#47393,#38590,#38591); #31496=AXIS2_PLACEMENT_3D('',#47395,#38592,#38593); #31497=AXIS2_PLACEMENT_3D('',#47396,#38594,#38595); #31498=AXIS2_PLACEMENT_3D('',#47398,#38596,#38597); #31499=AXIS2_PLACEMENT_3D('',#47400,#38599,#38600); #31500=AXIS2_PLACEMENT_3D('',#47403,#38602,#38603); #31501=AXIS2_PLACEMENT_3D('',#47404,#38604,#38605); #31502=AXIS2_PLACEMENT_3D('',#47406,#38606,#38607); #31503=AXIS2_PLACEMENT_3D('',#47408,#38608,#38609); #31504=AXIS2_PLACEMENT_3D('',#47409,#38610,#38611); #31505=AXIS2_PLACEMENT_3D('',#47411,#38612,#38613); #31506=AXIS2_PLACEMENT_3D('',#47413,#38615,#38616); #31507=AXIS2_PLACEMENT_3D('',#47416,#38618,#38619); #31508=AXIS2_PLACEMENT_3D('',#47417,#38620,#38621); #31509=AXIS2_PLACEMENT_3D('',#47419,#38622,#38623); #31510=AXIS2_PLACEMENT_3D('',#47421,#38624,#38625); #31511=AXIS2_PLACEMENT_3D('',#47422,#38626,#38627); #31512=AXIS2_PLACEMENT_3D('',#47424,#38628,#38629); #31513=AXIS2_PLACEMENT_3D('',#47426,#38631,#38632); #31514=AXIS2_PLACEMENT_3D('',#47429,#38634,#38635); #31515=AXIS2_PLACEMENT_3D('',#47430,#38636,#38637); #31516=AXIS2_PLACEMENT_3D('',#47439,#38642,#38643); #31517=AXIS2_PLACEMENT_3D('',#47442,#38646,#38647); #31518=AXIS2_PLACEMENT_3D('',#47445,#38650,#38651); #31519=AXIS2_PLACEMENT_3D('',#47446,#38652,#38653); #31520=AXIS2_PLACEMENT_3D('placement',#47447,#38654,#38655); #31521=AXIS2_PLACEMENT_3D('',#47448,#38656,#38657); #31522=AXIS2_PLACEMENT_3D('',#47450,#38658,#38659); #31523=AXIS2_PLACEMENT_3D('',#47453,#38661,#38662); #31524=AXIS2_PLACEMENT_3D('',#47455,#38663,#38664); #31525=AXIS2_PLACEMENT_3D('',#47457,#38666,#38667); #31526=AXIS2_PLACEMENT_3D('',#47459,#38668,#38669); #31527=AXIS2_PLACEMENT_3D('',#47462,#38671,#38672); #31528=AXIS2_PLACEMENT_3D('',#47464,#38673,#38674); #31529=AXIS2_PLACEMENT_3D('',#47466,#38676,#38677); #31530=AXIS2_PLACEMENT_3D('',#47468,#38678,#38679); #31531=AXIS2_PLACEMENT_3D('',#47471,#38681,#38682); #31532=AXIS2_PLACEMENT_3D('',#47473,#38683,#38684); #31533=AXIS2_PLACEMENT_3D('',#47475,#38686,#38687); #31534=AXIS2_PLACEMENT_3D('',#47477,#38688,#38689); #31535=AXIS2_PLACEMENT_3D('',#47480,#38691,#38692); #31536=AXIS2_PLACEMENT_3D('',#47482,#38693,#38694); #31537=AXIS2_PLACEMENT_3D('',#47484,#38696,#38697); #31538=AXIS2_PLACEMENT_3D('',#47486,#38698,#38699); #31539=AXIS2_PLACEMENT_3D('',#47489,#38701,#38702); #31540=AXIS2_PLACEMENT_3D('',#47491,#38703,#38704); #31541=AXIS2_PLACEMENT_3D('',#47493,#38706,#38707); #31542=AXIS2_PLACEMENT_3D('',#47495,#38708,#38709); #31543=AXIS2_PLACEMENT_3D('',#47498,#38711,#38712); #31544=AXIS2_PLACEMENT_3D('',#47500,#38713,#38714); #31545=AXIS2_PLACEMENT_3D('',#47502,#38716,#38717); #31546=AXIS2_PLACEMENT_3D('',#47504,#38718,#38719); #31547=AXIS2_PLACEMENT_3D('',#47507,#38721,#38722); #31548=AXIS2_PLACEMENT_3D('',#47509,#38723,#38724); #31549=AXIS2_PLACEMENT_3D('',#47511,#38726,#38727); #31550=AXIS2_PLACEMENT_3D('',#47513,#38728,#38729); #31551=AXIS2_PLACEMENT_3D('',#47516,#38731,#38732); #31552=AXIS2_PLACEMENT_3D('',#47518,#38733,#38734); #31553=AXIS2_PLACEMENT_3D('',#47520,#38736,#38737); #31554=AXIS2_PLACEMENT_3D('',#47522,#38738,#38739); #31555=AXIS2_PLACEMENT_3D('',#47525,#38741,#38742); #31556=AXIS2_PLACEMENT_3D('',#47527,#38743,#38744); #31557=AXIS2_PLACEMENT_3D('',#47529,#38746,#38747); #31558=AXIS2_PLACEMENT_3D('',#47531,#38748,#38749); #31559=AXIS2_PLACEMENT_3D('',#47534,#38751,#38752); #31560=AXIS2_PLACEMENT_3D('',#47536,#38753,#38754); #31561=AXIS2_PLACEMENT_3D('',#47538,#38756,#38757); #31562=AXIS2_PLACEMENT_3D('',#47540,#38758,#38759); #31563=AXIS2_PLACEMENT_3D('',#47543,#38761,#38762); #31564=AXIS2_PLACEMENT_3D('',#47545,#38763,#38764); #31565=AXIS2_PLACEMENT_3D('',#47547,#38766,#38767); #31566=AXIS2_PLACEMENT_3D('',#47549,#38768,#38769); #31567=AXIS2_PLACEMENT_3D('',#47552,#38771,#38772); #31568=AXIS2_PLACEMENT_3D('',#47554,#38773,#38774); #31569=AXIS2_PLACEMENT_3D('',#47556,#38776,#38777); #31570=AXIS2_PLACEMENT_3D('',#47558,#38778,#38779); #31571=AXIS2_PLACEMENT_3D('',#47561,#38781,#38782); #31572=AXIS2_PLACEMENT_3D('',#47563,#38783,#38784); #31573=AXIS2_PLACEMENT_3D('',#47565,#38786,#38787); #31574=AXIS2_PLACEMENT_3D('',#47567,#38788,#38789); #31575=AXIS2_PLACEMENT_3D('',#47570,#38791,#38792); #31576=AXIS2_PLACEMENT_3D('',#47572,#38793,#38794); #31577=AXIS2_PLACEMENT_3D('',#47574,#38796,#38797); #31578=AXIS2_PLACEMENT_3D('',#47576,#38798,#38799); #31579=AXIS2_PLACEMENT_3D('',#47579,#38801,#38802); #31580=AXIS2_PLACEMENT_3D('',#47581,#38803,#38804); #31581=AXIS2_PLACEMENT_3D('',#47583,#38806,#38807); #31582=AXIS2_PLACEMENT_3D('',#47585,#38808,#38809); #31583=AXIS2_PLACEMENT_3D('',#47588,#38811,#38812); #31584=AXIS2_PLACEMENT_3D('',#47590,#38813,#38814); #31585=AXIS2_PLACEMENT_3D('',#47592,#38816,#38817); #31586=AXIS2_PLACEMENT_3D('',#47594,#38818,#38819); #31587=AXIS2_PLACEMENT_3D('',#47597,#38821,#38822); #31588=AXIS2_PLACEMENT_3D('',#47599,#38823,#38824); #31589=AXIS2_PLACEMENT_3D('',#47601,#38826,#38827); #31590=AXIS2_PLACEMENT_3D('',#47603,#38828,#38829); #31591=AXIS2_PLACEMENT_3D('',#47606,#38831,#38832); #31592=AXIS2_PLACEMENT_3D('',#47608,#38833,#38834); #31593=AXIS2_PLACEMENT_3D('',#47610,#38836,#38837); #31594=AXIS2_PLACEMENT_3D('',#47612,#38838,#38839); #31595=AXIS2_PLACEMENT_3D('',#47615,#38841,#38842); #31596=AXIS2_PLACEMENT_3D('',#47617,#38843,#38844); #31597=AXIS2_PLACEMENT_3D('',#47619,#38846,#38847); #31598=AXIS2_PLACEMENT_3D('',#47621,#38848,#38849); #31599=AXIS2_PLACEMENT_3D('',#47624,#38851,#38852); #31600=AXIS2_PLACEMENT_3D('',#47626,#38853,#38854); #31601=AXIS2_PLACEMENT_3D('',#47628,#38856,#38857); #31602=AXIS2_PLACEMENT_3D('',#47630,#38858,#38859); #31603=AXIS2_PLACEMENT_3D('',#47633,#38861,#38862); #31604=AXIS2_PLACEMENT_3D('',#47635,#38863,#38864); #31605=AXIS2_PLACEMENT_3D('',#47637,#38866,#38867); #31606=AXIS2_PLACEMENT_3D('',#47639,#38868,#38869); #31607=AXIS2_PLACEMENT_3D('',#47642,#38871,#38872); #31608=AXIS2_PLACEMENT_3D('',#47644,#38873,#38874); #31609=AXIS2_PLACEMENT_3D('',#47646,#38876,#38877); #31610=AXIS2_PLACEMENT_3D('',#47648,#38878,#38879); #31611=AXIS2_PLACEMENT_3D('',#47651,#38881,#38882); #31612=AXIS2_PLACEMENT_3D('',#47653,#38883,#38884); #31613=AXIS2_PLACEMENT_3D('',#47655,#38886,#38887); #31614=AXIS2_PLACEMENT_3D('',#47657,#38888,#38889); #31615=AXIS2_PLACEMENT_3D('',#47660,#38891,#38892); #31616=AXIS2_PLACEMENT_3D('',#47662,#38893,#38894); #31617=AXIS2_PLACEMENT_3D('',#47664,#38896,#38897); #31618=AXIS2_PLACEMENT_3D('',#47666,#38898,#38899); #31619=AXIS2_PLACEMENT_3D('',#47669,#38901,#38902); #31620=AXIS2_PLACEMENT_3D('',#47671,#38903,#38904); #31621=AXIS2_PLACEMENT_3D('',#47673,#38906,#38907); #31622=AXIS2_PLACEMENT_3D('',#47675,#38908,#38909); #31623=AXIS2_PLACEMENT_3D('',#47678,#38911,#38912); #31624=AXIS2_PLACEMENT_3D('',#47680,#38913,#38914); #31625=AXIS2_PLACEMENT_3D('',#47682,#38916,#38917); #31626=AXIS2_PLACEMENT_3D('',#47684,#38918,#38919); #31627=AXIS2_PLACEMENT_3D('',#47687,#38921,#38922); #31628=AXIS2_PLACEMENT_3D('',#47689,#38923,#38924); #31629=AXIS2_PLACEMENT_3D('',#47691,#38926,#38927); #31630=AXIS2_PLACEMENT_3D('',#47693,#38928,#38929); #31631=AXIS2_PLACEMENT_3D('',#47696,#38931,#38932); #31632=AXIS2_PLACEMENT_3D('',#47698,#38933,#38934); #31633=AXIS2_PLACEMENT_3D('',#47700,#38936,#38937); #31634=AXIS2_PLACEMENT_3D('',#47702,#38938,#38939); #31635=AXIS2_PLACEMENT_3D('',#47705,#38941,#38942); #31636=AXIS2_PLACEMENT_3D('',#47707,#38943,#38944); #31637=AXIS2_PLACEMENT_3D('',#47709,#38946,#38947); #31638=AXIS2_PLACEMENT_3D('',#47711,#38948,#38949); #31639=AXIS2_PLACEMENT_3D('',#47714,#38951,#38952); #31640=AXIS2_PLACEMENT_3D('',#47716,#38953,#38954); #31641=AXIS2_PLACEMENT_3D('',#47718,#38956,#38957); #31642=AXIS2_PLACEMENT_3D('',#47720,#38958,#38959); #31643=AXIS2_PLACEMENT_3D('',#47723,#38961,#38962); #31644=AXIS2_PLACEMENT_3D('',#47725,#38963,#38964); #31645=AXIS2_PLACEMENT_3D('',#47727,#38966,#38967); #31646=AXIS2_PLACEMENT_3D('',#47729,#38968,#38969); #31647=AXIS2_PLACEMENT_3D('',#47732,#38971,#38972); #31648=AXIS2_PLACEMENT_3D('',#47734,#38973,#38974); #31649=AXIS2_PLACEMENT_3D('',#47736,#38976,#38977); #31650=AXIS2_PLACEMENT_3D('',#47738,#38978,#38979); #31651=AXIS2_PLACEMENT_3D('',#47741,#38981,#38982); #31652=AXIS2_PLACEMENT_3D('',#47743,#38983,#38984); #31653=AXIS2_PLACEMENT_3D('',#47745,#38986,#38987); #31654=AXIS2_PLACEMENT_3D('',#47747,#38988,#38989); #31655=AXIS2_PLACEMENT_3D('',#47750,#38991,#38992); #31656=AXIS2_PLACEMENT_3D('',#47752,#38993,#38994); #31657=AXIS2_PLACEMENT_3D('',#47754,#38996,#38997); #31658=AXIS2_PLACEMENT_3D('',#47756,#38998,#38999); #31659=AXIS2_PLACEMENT_3D('',#47759,#39001,#39002); #31660=AXIS2_PLACEMENT_3D('',#47761,#39003,#39004); #31661=AXIS2_PLACEMENT_3D('',#47763,#39006,#39007); #31662=AXIS2_PLACEMENT_3D('',#47765,#39008,#39009); #31663=AXIS2_PLACEMENT_3D('',#47768,#39011,#39012); #31664=AXIS2_PLACEMENT_3D('',#47770,#39013,#39014); #31665=AXIS2_PLACEMENT_3D('',#47772,#39016,#39017); #31666=AXIS2_PLACEMENT_3D('',#47781,#39022,#39023); #31667=AXIS2_PLACEMENT_3D('',#47789,#39028,#39029); #31668=AXIS2_PLACEMENT_3D('',#47793,#39032,#39033); #31669=AXIS2_PLACEMENT_3D('',#47801,#39037,#39038); #31670=AXIS2_PLACEMENT_3D('',#47805,#39041,#39042); #31671=AXIS2_PLACEMENT_3D('',#47809,#39045,#39046); #31672=AXIS2_PLACEMENT_3D('',#47815,#39050,#39051); #31673=AXIS2_PLACEMENT_3D('',#47817,#39053,#39054); #31674=AXIS2_PLACEMENT_3D('',#47821,#39056,#39057); #31675=AXIS2_PLACEMENT_3D('',#47823,#39059,#39060); #31676=AXIS2_PLACEMENT_3D('',#47825,#39062,#39063); #31677=AXIS2_PLACEMENT_3D('',#47829,#39066,#39067); #31678=AXIS2_PLACEMENT_3D('',#47831,#39069,#39070); #31679=AXIS2_PLACEMENT_3D('placement',#47832,#39071,#39072); #31680=AXIS2_PLACEMENT_3D('',#47833,#39073,#39074); #31681=AXIS2_PLACEMENT_3D('',#47835,#39075,#39076); #31682=AXIS2_PLACEMENT_3D('',#47838,#39078,#39079); #31683=AXIS2_PLACEMENT_3D('',#47840,#39080,#39081); #31684=AXIS2_PLACEMENT_3D('',#47842,#39083,#39084); #31685=AXIS2_PLACEMENT_3D('',#47844,#39085,#39086); #31686=AXIS2_PLACEMENT_3D('',#47847,#39088,#39089); #31687=AXIS2_PLACEMENT_3D('',#47849,#39090,#39091); #31688=AXIS2_PLACEMENT_3D('',#47851,#39093,#39094); #31689=AXIS2_PLACEMENT_3D('',#47853,#39095,#39096); #31690=AXIS2_PLACEMENT_3D('',#47856,#39098,#39099); #31691=AXIS2_PLACEMENT_3D('',#47858,#39100,#39101); #31692=AXIS2_PLACEMENT_3D('',#47860,#39103,#39104); #31693=AXIS2_PLACEMENT_3D('',#47862,#39105,#39106); #31694=AXIS2_PLACEMENT_3D('',#47865,#39108,#39109); #31695=AXIS2_PLACEMENT_3D('',#47867,#39110,#39111); #31696=AXIS2_PLACEMENT_3D('',#47869,#39113,#39114); #31697=AXIS2_PLACEMENT_3D('',#47871,#39115,#39116); #31698=AXIS2_PLACEMENT_3D('',#47874,#39118,#39119); #31699=AXIS2_PLACEMENT_3D('',#47876,#39120,#39121); #31700=AXIS2_PLACEMENT_3D('',#47878,#39123,#39124); #31701=AXIS2_PLACEMENT_3D('',#47880,#39125,#39126); #31702=AXIS2_PLACEMENT_3D('',#47883,#39128,#39129); #31703=AXIS2_PLACEMENT_3D('',#47884,#39130,#39131); #31704=AXIS2_PLACEMENT_3D('',#47886,#39132,#39133); #31705=AXIS2_PLACEMENT_3D('',#47889,#39135,#39136); #31706=AXIS2_PLACEMENT_3D('',#47890,#39137,#39138); #31707=AXIS2_PLACEMENT_3D('',#47892,#39139,#39140); #31708=AXIS2_PLACEMENT_3D('',#47895,#39142,#39143); #31709=AXIS2_PLACEMENT_3D('',#47896,#39144,#39145); #31710=AXIS2_PLACEMENT_3D('',#47898,#39146,#39147); #31711=AXIS2_PLACEMENT_3D('',#47901,#39149,#39150); #31712=AXIS2_PLACEMENT_3D('',#47902,#39151,#39152); #31713=AXIS2_PLACEMENT_3D('',#47904,#39153,#39154); #31714=AXIS2_PLACEMENT_3D('',#47907,#39156,#39157); #31715=AXIS2_PLACEMENT_3D('',#47908,#39158,#39159); #31716=AXIS2_PLACEMENT_3D('',#47910,#39160,#39161); #31717=AXIS2_PLACEMENT_3D('',#47913,#39163,#39164); #31718=AXIS2_PLACEMENT_3D('',#47914,#39165,#39166); #31719=AXIS2_PLACEMENT_3D('',#47916,#39167,#39168); #31720=AXIS2_PLACEMENT_3D('',#47919,#39170,#39171); #31721=AXIS2_PLACEMENT_3D('',#47920,#39172,#39173); #31722=AXIS2_PLACEMENT_3D('',#47922,#39174,#39175); #31723=AXIS2_PLACEMENT_3D('',#47925,#39177,#39178); #31724=AXIS2_PLACEMENT_3D('',#47926,#39179,#39180); #31725=AXIS2_PLACEMENT_3D('',#47928,#39181,#39182); #31726=AXIS2_PLACEMENT_3D('',#47931,#39184,#39185); #31727=AXIS2_PLACEMENT_3D('',#47932,#39186,#39187); #31728=AXIS2_PLACEMENT_3D('',#47934,#39188,#39189); #31729=AXIS2_PLACEMENT_3D('',#47937,#39191,#39192); #31730=AXIS2_PLACEMENT_3D('',#47938,#39193,#39194); #31731=AXIS2_PLACEMENT_3D('',#47940,#39195,#39196); #31732=AXIS2_PLACEMENT_3D('',#47943,#39198,#39199); #31733=AXIS2_PLACEMENT_3D('',#47944,#39200,#39201); #31734=AXIS2_PLACEMENT_3D('',#47946,#39202,#39203); #31735=AXIS2_PLACEMENT_3D('',#47949,#39205,#39206); #31736=AXIS2_PLACEMENT_3D('',#47950,#39207,#39208); #31737=AXIS2_PLACEMENT_3D('',#47952,#39209,#39210); #31738=AXIS2_PLACEMENT_3D('',#47955,#39212,#39213); #31739=AXIS2_PLACEMENT_3D('',#47956,#39214,#39215); #31740=AXIS2_PLACEMENT_3D('',#47958,#39216,#39217); #31741=AXIS2_PLACEMENT_3D('',#47961,#39219,#39220); #31742=AXIS2_PLACEMENT_3D('',#47962,#39221,#39222); #31743=AXIS2_PLACEMENT_3D('',#47964,#39223,#39224); #31744=AXIS2_PLACEMENT_3D('',#47967,#39226,#39227); #31745=AXIS2_PLACEMENT_3D('',#47968,#39228,#39229); #31746=AXIS2_PLACEMENT_3D('',#47970,#39230,#39231); #31747=AXIS2_PLACEMENT_3D('',#47973,#39233,#39234); #31748=AXIS2_PLACEMENT_3D('',#47974,#39235,#39236); #31749=AXIS2_PLACEMENT_3D('',#47976,#39237,#39238); #31750=AXIS2_PLACEMENT_3D('',#47979,#39240,#39241); #31751=AXIS2_PLACEMENT_3D('',#47980,#39242,#39243); #31752=AXIS2_PLACEMENT_3D('',#47988,#39247,#39248); #31753=AXIS2_PLACEMENT_3D('',#47989,#39249,#39250); #31754=AXIS2_PLACEMENT_3D('',#47999,#39256,#39257); #31755=AXIS2_PLACEMENT_3D('',#48001,#39258,#39259); #31756=AXIS2_PLACEMENT_3D('',#48003,#39261,#39262); #31757=AXIS2_PLACEMENT_3D('',#48005,#39263,#39264); #31758=AXIS2_PLACEMENT_3D('',#48007,#39265,#39266); #31759=AXIS2_PLACEMENT_3D('',#48009,#39267,#39268); #31760=AXIS2_PLACEMENT_3D('',#48010,#39269,#39270); #31761=AXIS2_PLACEMENT_3D('',#48011,#39271,#39272); #31762=AXIS2_PLACEMENT_3D('',#48014,#39275,#39276); #31763=AXIS2_PLACEMENT_3D('',#48015,#39277,#39278); #31764=AXIS2_PLACEMENT_3D('',#48017,#39280,#39281); #31765=AXIS2_PLACEMENT_3D('placement',#48018,#39282,#39283); #31766=AXIS2_PLACEMENT_3D('',#48019,#39284,#39285); #31767=AXIS2_PLACEMENT_3D('',#48021,#39286,#39287); #31768=AXIS2_PLACEMENT_3D('',#48024,#39289,#39290); #31769=AXIS2_PLACEMENT_3D('',#48026,#39291,#39292); #31770=AXIS2_PLACEMENT_3D('',#48028,#39294,#39295); #31771=AXIS2_PLACEMENT_3D('',#48030,#39296,#39297); #31772=AXIS2_PLACEMENT_3D('',#48033,#39299,#39300); #31773=AXIS2_PLACEMENT_3D('',#48035,#39301,#39302); #31774=AXIS2_PLACEMENT_3D('',#48037,#39304,#39305); #31775=AXIS2_PLACEMENT_3D('',#48039,#39306,#39307); #31776=AXIS2_PLACEMENT_3D('',#48042,#39309,#39310); #31777=AXIS2_PLACEMENT_3D('',#48044,#39311,#39312); #31778=AXIS2_PLACEMENT_3D('',#48046,#39314,#39315); #31779=AXIS2_PLACEMENT_3D('',#48048,#39316,#39317); #31780=AXIS2_PLACEMENT_3D('',#48051,#39319,#39320); #31781=AXIS2_PLACEMENT_3D('',#48053,#39321,#39322); #31782=AXIS2_PLACEMENT_3D('',#48055,#39324,#39325); #31783=AXIS2_PLACEMENT_3D('',#48057,#39326,#39327); #31784=AXIS2_PLACEMENT_3D('',#48060,#39329,#39330); #31785=AXIS2_PLACEMENT_3D('',#48062,#39331,#39332); #31786=AXIS2_PLACEMENT_3D('',#48064,#39334,#39335); #31787=AXIS2_PLACEMENT_3D('',#48066,#39336,#39337); #31788=AXIS2_PLACEMENT_3D('',#48069,#39339,#39340); #31789=AXIS2_PLACEMENT_3D('',#48071,#39341,#39342); #31790=AXIS2_PLACEMENT_3D('',#48073,#39344,#39345); #31791=AXIS2_PLACEMENT_3D('',#48075,#39346,#39347); #31792=AXIS2_PLACEMENT_3D('',#48078,#39349,#39350); #31793=AXIS2_PLACEMENT_3D('',#48080,#39351,#39352); #31794=AXIS2_PLACEMENT_3D('',#48082,#39354,#39355); #31795=AXIS2_PLACEMENT_3D('',#48084,#39356,#39357); #31796=AXIS2_PLACEMENT_3D('',#48087,#39359,#39360); #31797=AXIS2_PLACEMENT_3D('',#48088,#39361,#39362); #31798=AXIS2_PLACEMENT_3D('',#48090,#39363,#39364); #31799=AXIS2_PLACEMENT_3D('',#48093,#39366,#39367); #31800=AXIS2_PLACEMENT_3D('',#48094,#39368,#39369); #31801=AXIS2_PLACEMENT_3D('',#48096,#39370,#39371); #31802=AXIS2_PLACEMENT_3D('',#48099,#39373,#39374); #31803=AXIS2_PLACEMENT_3D('',#48100,#39375,#39376); #31804=AXIS2_PLACEMENT_3D('',#48102,#39377,#39378); #31805=AXIS2_PLACEMENT_3D('',#48105,#39380,#39381); #31806=AXIS2_PLACEMENT_3D('',#48106,#39382,#39383); #31807=AXIS2_PLACEMENT_3D('',#48108,#39384,#39385); #31808=AXIS2_PLACEMENT_3D('',#48111,#39387,#39388); #31809=AXIS2_PLACEMENT_3D('',#48112,#39389,#39390); #31810=AXIS2_PLACEMENT_3D('',#48114,#39391,#39392); #31811=AXIS2_PLACEMENT_3D('',#48117,#39394,#39395); #31812=AXIS2_PLACEMENT_3D('',#48118,#39396,#39397); #31813=AXIS2_PLACEMENT_3D('',#48120,#39398,#39399); #31814=AXIS2_PLACEMENT_3D('',#48123,#39401,#39402); #31815=AXIS2_PLACEMENT_3D('',#48124,#39403,#39404); #31816=AXIS2_PLACEMENT_3D('',#48126,#39405,#39406); #31817=AXIS2_PLACEMENT_3D('',#48129,#39408,#39409); #31818=AXIS2_PLACEMENT_3D('',#48130,#39410,#39411); #31819=AXIS2_PLACEMENT_3D('',#48132,#39412,#39413); #31820=AXIS2_PLACEMENT_3D('',#48135,#39415,#39416); #31821=AXIS2_PLACEMENT_3D('',#48136,#39417,#39418); #31822=AXIS2_PLACEMENT_3D('',#48138,#39419,#39420); #31823=AXIS2_PLACEMENT_3D('',#48141,#39422,#39423); #31824=AXIS2_PLACEMENT_3D('',#48142,#39424,#39425); #31825=AXIS2_PLACEMENT_3D('',#48144,#39426,#39427); #31826=AXIS2_PLACEMENT_3D('',#48147,#39429,#39430); #31827=AXIS2_PLACEMENT_3D('',#48148,#39431,#39432); #31828=AXIS2_PLACEMENT_3D('',#48150,#39433,#39434); #31829=AXIS2_PLACEMENT_3D('',#48153,#39436,#39437); #31830=AXIS2_PLACEMENT_3D('',#48154,#39438,#39439); #31831=AXIS2_PLACEMENT_3D('',#48156,#39440,#39441); #31832=AXIS2_PLACEMENT_3D('',#48159,#39443,#39444); #31833=AXIS2_PLACEMENT_3D('',#48160,#39445,#39446); #31834=AXIS2_PLACEMENT_3D('',#48162,#39447,#39448); #31835=AXIS2_PLACEMENT_3D('',#48165,#39450,#39451); #31836=AXIS2_PLACEMENT_3D('',#48166,#39452,#39453); #31837=AXIS2_PLACEMENT_3D('',#48168,#39454,#39455); #31838=AXIS2_PLACEMENT_3D('',#48171,#39457,#39458); #31839=AXIS2_PLACEMENT_3D('',#48172,#39459,#39460); #31840=AXIS2_PLACEMENT_3D('',#48174,#39461,#39462); #31841=AXIS2_PLACEMENT_3D('',#48177,#39464,#39465); #31842=AXIS2_PLACEMENT_3D('',#48178,#39466,#39467); #31843=AXIS2_PLACEMENT_3D('',#48185,#39470,#39471); #31844=AXIS2_PLACEMENT_3D('',#48187,#39473,#39474); #31845=AXIS2_PLACEMENT_3D('',#48193,#39478,#39479); #31846=AXIS2_PLACEMENT_3D('',#48195,#39480,#39481); #31847=AXIS2_PLACEMENT_3D('',#48199,#39484,#39485); #31848=AXIS2_PLACEMENT_3D('',#48201,#39486,#39487); #31849=AXIS2_PLACEMENT_3D('',#48203,#39488,#39489); #31850=AXIS2_PLACEMENT_3D('',#48207,#39491,#39492); #31851=AXIS2_PLACEMENT_3D('',#48209,#39493,#39494); #31852=AXIS2_PLACEMENT_3D('',#48211,#39495,#39496); #31853=AXIS2_PLACEMENT_3D('',#48212,#39497,#39498); #31854=AXIS2_PLACEMENT_3D('',#48213,#39499,#39500); #31855=AXIS2_PLACEMENT_3D('',#48219,#39504,#39505); #31856=AXIS2_PLACEMENT_3D('',#48221,#39507,#39508); #31857=AXIS2_PLACEMENT_3D('',#48231,#39514,#39515); #31858=AXIS2_PLACEMENT_3D('',#48233,#39517,#39518); #31859=AXIS2_PLACEMENT_3D('',#48235,#39520,#39521); #31860=AXIS2_PLACEMENT_3D('',#48237,#39523,#39524); #31861=AXIS2_PLACEMENT_3D('',#48239,#39526,#39527); #31862=AXIS2_PLACEMENT_3D('placement',#48240,#39528,#39529); #31863=AXIS2_PLACEMENT_3D('',#48241,#39530,#39531); #31864=AXIS2_PLACEMENT_3D('',#48243,#39532,#39533); #31865=AXIS2_PLACEMENT_3D('',#48246,#39535,#39536); #31866=AXIS2_PLACEMENT_3D('',#48248,#39537,#39538); #31867=AXIS2_PLACEMENT_3D('',#48250,#39540,#39541); #31868=AXIS2_PLACEMENT_3D('',#48252,#39542,#39543); #31869=AXIS2_PLACEMENT_3D('',#48255,#39545,#39546); #31870=AXIS2_PLACEMENT_3D('',#48257,#39547,#39548); #31871=AXIS2_PLACEMENT_3D('',#48259,#39550,#39551); #31872=AXIS2_PLACEMENT_3D('',#48261,#39552,#39553); #31873=AXIS2_PLACEMENT_3D('',#48264,#39555,#39556); #31874=AXIS2_PLACEMENT_3D('',#48266,#39557,#39558); #31875=AXIS2_PLACEMENT_3D('',#48268,#39560,#39561); #31876=AXIS2_PLACEMENT_3D('',#48270,#39562,#39563); #31877=AXIS2_PLACEMENT_3D('',#48273,#39565,#39566); #31878=AXIS2_PLACEMENT_3D('',#48275,#39567,#39568); #31879=AXIS2_PLACEMENT_3D('',#48277,#39570,#39571); #31880=AXIS2_PLACEMENT_3D('',#48279,#39572,#39573); #31881=AXIS2_PLACEMENT_3D('',#48282,#39575,#39576); #31882=AXIS2_PLACEMENT_3D('',#48284,#39577,#39578); #31883=AXIS2_PLACEMENT_3D('',#48286,#39580,#39581); #31884=AXIS2_PLACEMENT_3D('',#48288,#39582,#39583); #31885=AXIS2_PLACEMENT_3D('',#48291,#39585,#39586); #31886=AXIS2_PLACEMENT_3D('',#48292,#39587,#39588); #31887=AXIS2_PLACEMENT_3D('',#48294,#39589,#39590); #31888=AXIS2_PLACEMENT_3D('',#48297,#39592,#39593); #31889=AXIS2_PLACEMENT_3D('',#48298,#39594,#39595); #31890=AXIS2_PLACEMENT_3D('',#48300,#39596,#39597); #31891=AXIS2_PLACEMENT_3D('',#48303,#39599,#39600); #31892=AXIS2_PLACEMENT_3D('',#48304,#39601,#39602); #31893=AXIS2_PLACEMENT_3D('',#48306,#39603,#39604); #31894=AXIS2_PLACEMENT_3D('',#48309,#39606,#39607); #31895=AXIS2_PLACEMENT_3D('',#48310,#39608,#39609); #31896=AXIS2_PLACEMENT_3D('',#48312,#39610,#39611); #31897=AXIS2_PLACEMENT_3D('',#48315,#39613,#39614); #31898=AXIS2_PLACEMENT_3D('',#48316,#39615,#39616); #31899=AXIS2_PLACEMENT_3D('',#48318,#39617,#39618); #31900=AXIS2_PLACEMENT_3D('',#48321,#39620,#39621); #31901=AXIS2_PLACEMENT_3D('',#48322,#39622,#39623); #31902=AXIS2_PLACEMENT_3D('',#48324,#39624,#39625); #31903=AXIS2_PLACEMENT_3D('',#48327,#39627,#39628); #31904=AXIS2_PLACEMENT_3D('',#48328,#39629,#39630); #31905=AXIS2_PLACEMENT_3D('',#48330,#39631,#39632); #31906=AXIS2_PLACEMENT_3D('',#48333,#39634,#39635); #31907=AXIS2_PLACEMENT_3D('',#48334,#39636,#39637); #31908=AXIS2_PLACEMENT_3D('',#48336,#39638,#39639); #31909=AXIS2_PLACEMENT_3D('',#48339,#39641,#39642); #31910=AXIS2_PLACEMENT_3D('',#48340,#39643,#39644); #31911=AXIS2_PLACEMENT_3D('',#48342,#39645,#39646); #31912=AXIS2_PLACEMENT_3D('',#48345,#39648,#39649); #31913=AXIS2_PLACEMENT_3D('',#48346,#39650,#39651); #31914=AXIS2_PLACEMENT_3D('',#48348,#39652,#39653); #31915=AXIS2_PLACEMENT_3D('',#48351,#39655,#39656); #31916=AXIS2_PLACEMENT_3D('',#48352,#39657,#39658); #31917=AXIS2_PLACEMENT_3D('',#48354,#39659,#39660); #31918=AXIS2_PLACEMENT_3D('',#48357,#39662,#39663); #31919=AXIS2_PLACEMENT_3D('',#48358,#39664,#39665); #31920=AXIS2_PLACEMENT_3D('',#48360,#39666,#39667); #31921=AXIS2_PLACEMENT_3D('',#48363,#39669,#39670); #31922=AXIS2_PLACEMENT_3D('',#48364,#39671,#39672); #31923=AXIS2_PLACEMENT_3D('',#48366,#39673,#39674); #31924=AXIS2_PLACEMENT_3D('',#48369,#39676,#39677); #31925=AXIS2_PLACEMENT_3D('',#48370,#39678,#39679); #31926=AXIS2_PLACEMENT_3D('',#48372,#39680,#39681); #31927=AXIS2_PLACEMENT_3D('',#48375,#39683,#39684); #31928=AXIS2_PLACEMENT_3D('',#48376,#39685,#39686); #31929=AXIS2_PLACEMENT_3D('',#48378,#39687,#39688); #31930=AXIS2_PLACEMENT_3D('',#48381,#39690,#39691); #31931=AXIS2_PLACEMENT_3D('',#48382,#39692,#39693); #31932=AXIS2_PLACEMENT_3D('',#48384,#39694,#39695); #31933=AXIS2_PLACEMENT_3D('',#48387,#39697,#39698); #31934=AXIS2_PLACEMENT_3D('',#48388,#39699,#39700); #31935=AXIS2_PLACEMENT_3D('',#48391,#39701,#39702); #31936=AXIS2_PLACEMENT_3D('',#48397,#39706,#39707); #31937=AXIS2_PLACEMENT_3D('',#48399,#39708,#39709); #31938=AXIS2_PLACEMENT_3D('',#48403,#39712,#39713); #31939=AXIS2_PLACEMENT_3D('',#48406,#39714,#39715); #31940=AXIS2_PLACEMENT_3D('',#48412,#39719,#39720); #31941=AXIS2_PLACEMENT_3D('',#48413,#39721,#39722); #31942=AXIS2_PLACEMENT_3D('',#48415,#39724,#39725); #31943=AXIS2_PLACEMENT_3D('',#48419,#39728,#39729); #31944=AXIS2_PLACEMENT_3D('',#48421,#39730,#39731); #31945=AXIS2_PLACEMENT_3D('',#48422,#39732,#39733); #31946=AXIS2_PLACEMENT_3D('',#48423,#39734,#39735); #31947=AXIS2_PLACEMENT_3D('',#48425,#39737,#39738); #31948=AXIS2_PLACEMENT_3D('placement',#48426,#39739,#39740); #31949=AXIS2_PLACEMENT_3D('',#48427,#39741,#39742); #31950=AXIS2_PLACEMENT_3D('',#48436,#39747,#39748); #31951=AXIS2_PLACEMENT_3D('',#48438,#39749,#39750); #31952=AXIS2_PLACEMENT_3D('',#48441,#39752,#39753); #31953=AXIS2_PLACEMENT_3D('',#48442,#39754,#39755); #31954=AXIS2_PLACEMENT_3D('',#48444,#39756,#39757); #31955=AXIS2_PLACEMENT_3D('',#48446,#39758,#39759); #31956=AXIS2_PLACEMENT_3D('',#48448,#39761,#39762); #31957=AXIS2_PLACEMENT_3D('',#48450,#39763,#39764); #31958=AXIS2_PLACEMENT_3D('',#48453,#39766,#39767); #31959=AXIS2_PLACEMENT_3D('',#48454,#39768,#39769); #31960=AXIS2_PLACEMENT_3D('',#48456,#39770,#39771); #31961=AXIS2_PLACEMENT_3D('',#48459,#39773,#39774); #31962=AXIS2_PLACEMENT_3D('',#48460,#39775,#39776); #31963=AXIS2_PLACEMENT_3D('',#48466,#39780,#39781); #31964=AXIS2_PLACEMENT_3D('',#48472,#39785,#39786); #31965=AXIS2_PLACEMENT_3D('',#48473,#39787,#39788); #31966=AXIS2_PLACEMENT_3D('',#48474,#39789,#39790); #31967=AXIS2_PLACEMENT_3D('',#48475,#39791,#39792); #31968=AXIS2_PLACEMENT_3D('',#48476,#39793,#39794); #31969=AXIS2_PLACEMENT_3D('placement',#48477,#39795,#39796); #31970=AXIS2_PLACEMENT_3D('',#48478,#39797,#39798); #31971=AXIS2_PLACEMENT_3D('',#48480,#39799,#39800); #31972=AXIS2_PLACEMENT_3D('',#48483,#39802,#39803); #31973=AXIS2_PLACEMENT_3D('',#48485,#39804,#39805); #31974=AXIS2_PLACEMENT_3D('',#48487,#39807,#39808); #31975=AXIS2_PLACEMENT_3D('',#48489,#39809,#39810); #31976=AXIS2_PLACEMENT_3D('',#48492,#39812,#39813); #31977=AXIS2_PLACEMENT_3D('',#48494,#39814,#39815); #31978=AXIS2_PLACEMENT_3D('',#48496,#39817,#39818); #31979=AXIS2_PLACEMENT_3D('',#48498,#39819,#39820); #31980=AXIS2_PLACEMENT_3D('',#48501,#39822,#39823); #31981=AXIS2_PLACEMENT_3D('',#48503,#39824,#39825); #31982=AXIS2_PLACEMENT_3D('',#48505,#39827,#39828); #31983=AXIS2_PLACEMENT_3D('',#48507,#39829,#39830); #31984=AXIS2_PLACEMENT_3D('',#48510,#39832,#39833); #31985=AXIS2_PLACEMENT_3D('',#48512,#39834,#39835); #31986=AXIS2_PLACEMENT_3D('',#48514,#39837,#39838); #31987=AXIS2_PLACEMENT_3D('',#48516,#39839,#39840); #31988=AXIS2_PLACEMENT_3D('',#48519,#39842,#39843); #31989=AXIS2_PLACEMENT_3D('',#48521,#39844,#39845); #31990=AXIS2_PLACEMENT_3D('',#48523,#39847,#39848); #31991=AXIS2_PLACEMENT_3D('',#48525,#39849,#39850); #31992=AXIS2_PLACEMENT_3D('',#48528,#39852,#39853); #31993=AXIS2_PLACEMENT_3D('',#48530,#39854,#39855); #31994=AXIS2_PLACEMENT_3D('',#48532,#39857,#39858); #31995=AXIS2_PLACEMENT_3D('',#48534,#39859,#39860); #31996=AXIS2_PLACEMENT_3D('',#48537,#39862,#39863); #31997=AXIS2_PLACEMENT_3D('',#48539,#39864,#39865); #31998=AXIS2_PLACEMENT_3D('',#48541,#39867,#39868); #31999=AXIS2_PLACEMENT_3D('',#48543,#39869,#39870); #32000=AXIS2_PLACEMENT_3D('',#48546,#39872,#39873); #32001=AXIS2_PLACEMENT_3D('',#48548,#39874,#39875); #32002=AXIS2_PLACEMENT_3D('',#48550,#39877,#39878); #32003=AXIS2_PLACEMENT_3D('',#48552,#39879,#39880); #32004=AXIS2_PLACEMENT_3D('',#48555,#39882,#39883); #32005=AXIS2_PLACEMENT_3D('',#48557,#39884,#39885); #32006=AXIS2_PLACEMENT_3D('',#48559,#39887,#39888); #32007=AXIS2_PLACEMENT_3D('',#48561,#39889,#39890); #32008=AXIS2_PLACEMENT_3D('',#48564,#39892,#39893); #32009=AXIS2_PLACEMENT_3D('',#48566,#39894,#39895); #32010=AXIS2_PLACEMENT_3D('',#48568,#39897,#39898); #32011=AXIS2_PLACEMENT_3D('',#48570,#39899,#39900); #32012=AXIS2_PLACEMENT_3D('',#48573,#39902,#39903); #32013=AXIS2_PLACEMENT_3D('',#48575,#39904,#39905); #32014=AXIS2_PLACEMENT_3D('',#48577,#39907,#39908); #32015=AXIS2_PLACEMENT_3D('',#48579,#39909,#39910); #32016=AXIS2_PLACEMENT_3D('',#48582,#39912,#39913); #32017=AXIS2_PLACEMENT_3D('',#48584,#39914,#39915); #32018=AXIS2_PLACEMENT_3D('',#48586,#39917,#39918); #32019=AXIS2_PLACEMENT_3D('',#48588,#39919,#39920); #32020=AXIS2_PLACEMENT_3D('',#48591,#39922,#39923); #32021=AXIS2_PLACEMENT_3D('',#48593,#39924,#39925); #32022=AXIS2_PLACEMENT_3D('',#48595,#39927,#39928); #32023=AXIS2_PLACEMENT_3D('',#48597,#39929,#39930); #32024=AXIS2_PLACEMENT_3D('',#48600,#39932,#39933); #32025=AXIS2_PLACEMENT_3D('',#48602,#39934,#39935); #32026=AXIS2_PLACEMENT_3D('',#48604,#39937,#39938); #32027=AXIS2_PLACEMENT_3D('',#48606,#39939,#39940); #32028=AXIS2_PLACEMENT_3D('',#48609,#39942,#39943); #32029=AXIS2_PLACEMENT_3D('',#48611,#39944,#39945); #32030=AXIS2_PLACEMENT_3D('',#48613,#39947,#39948); #32031=AXIS2_PLACEMENT_3D('',#48615,#39949,#39950); #32032=AXIS2_PLACEMENT_3D('',#48618,#39952,#39953); #32033=AXIS2_PLACEMENT_3D('',#48620,#39954,#39955); #32034=AXIS2_PLACEMENT_3D('',#48622,#39957,#39958); #32035=AXIS2_PLACEMENT_3D('',#48624,#39959,#39960); #32036=AXIS2_PLACEMENT_3D('',#48627,#39962,#39963); #32037=AXIS2_PLACEMENT_3D('',#48629,#39964,#39965); #32038=AXIS2_PLACEMENT_3D('',#48631,#39967,#39968); #32039=AXIS2_PLACEMENT_3D('',#48633,#39969,#39970); #32040=AXIS2_PLACEMENT_3D('',#48636,#39972,#39973); #32041=AXIS2_PLACEMENT_3D('',#48638,#39974,#39975); #32042=AXIS2_PLACEMENT_3D('',#48640,#39977,#39978); #32043=AXIS2_PLACEMENT_3D('',#48642,#39979,#39980); #32044=AXIS2_PLACEMENT_3D('',#48645,#39982,#39983); #32045=AXIS2_PLACEMENT_3D('',#48647,#39984,#39985); #32046=AXIS2_PLACEMENT_3D('',#48649,#39987,#39988); #32047=AXIS2_PLACEMENT_3D('',#48651,#39989,#39990); #32048=AXIS2_PLACEMENT_3D('',#48654,#39992,#39993); #32049=AXIS2_PLACEMENT_3D('',#48656,#39994,#39995); #32050=AXIS2_PLACEMENT_3D('',#48658,#39997,#39998); #32051=AXIS2_PLACEMENT_3D('',#48660,#39999,#40000); #32052=AXIS2_PLACEMENT_3D('',#48663,#40002,#40003); #32053=AXIS2_PLACEMENT_3D('',#48665,#40004,#40005); #32054=AXIS2_PLACEMENT_3D('',#48667,#40007,#40008); #32055=AXIS2_PLACEMENT_3D('',#48669,#40009,#40010); #32056=AXIS2_PLACEMENT_3D('',#48672,#40012,#40013); #32057=AXIS2_PLACEMENT_3D('',#48674,#40014,#40015); #32058=AXIS2_PLACEMENT_3D('',#48676,#40017,#40018); #32059=AXIS2_PLACEMENT_3D('',#48678,#40019,#40020); #32060=AXIS2_PLACEMENT_3D('',#48681,#40022,#40023); #32061=AXIS2_PLACEMENT_3D('',#48683,#40024,#40025); #32062=AXIS2_PLACEMENT_3D('',#48685,#40027,#40028); #32063=AXIS2_PLACEMENT_3D('',#48687,#40029,#40030); #32064=AXIS2_PLACEMENT_3D('',#48690,#40032,#40033); #32065=AXIS2_PLACEMENT_3D('',#48692,#40034,#40035); #32066=AXIS2_PLACEMENT_3D('',#48694,#40037,#40038); #32067=AXIS2_PLACEMENT_3D('',#48696,#40039,#40040); #32068=AXIS2_PLACEMENT_3D('',#48699,#40042,#40043); #32069=AXIS2_PLACEMENT_3D('',#48701,#40044,#40045); #32070=AXIS2_PLACEMENT_3D('',#48703,#40047,#40048); #32071=AXIS2_PLACEMENT_3D('',#48706,#40049,#40050); #32072=AXIS2_PLACEMENT_3D('',#48710,#40052,#40053); #32073=AXIS2_PLACEMENT_3D('',#48712,#40055,#40056); #32074=AXIS2_PLACEMENT_3D('',#48716,#40058,#40059); #32075=AXIS2_PLACEMENT_3D('',#48731,#40067,#40068); #32076=AXIS2_PLACEMENT_3D('',#48733,#40069,#40070); #32077=AXIS2_PLACEMENT_3D('',#48735,#40071,#40072); #32078=AXIS2_PLACEMENT_3D('',#48737,#40073,#40074); #32079=AXIS2_PLACEMENT_3D('',#48739,#40075,#40076); #32080=AXIS2_PLACEMENT_3D('',#48741,#40077,#40078); #32081=AXIS2_PLACEMENT_3D('',#48743,#40079,#40080); #32082=AXIS2_PLACEMENT_3D('',#48745,#40081,#40082); #32083=AXIS2_PLACEMENT_3D('',#48747,#40083,#40084); #32084=AXIS2_PLACEMENT_3D('',#48749,#40085,#40086); #32085=AXIS2_PLACEMENT_3D('',#48751,#40087,#40088); #32086=AXIS2_PLACEMENT_3D('',#48753,#40089,#40090); #32087=AXIS2_PLACEMENT_3D('',#48755,#40091,#40092); #32088=AXIS2_PLACEMENT_3D('',#48757,#40093,#40094); #32089=AXIS2_PLACEMENT_3D('',#48759,#40095,#40096); #32090=AXIS2_PLACEMENT_3D('',#48761,#40097,#40098); #32091=AXIS2_PLACEMENT_3D('',#48763,#40099,#40100); #32092=AXIS2_PLACEMENT_3D('',#48765,#40101,#40102); #32093=AXIS2_PLACEMENT_3D('',#48767,#40103,#40104); #32094=AXIS2_PLACEMENT_3D('',#48769,#40105,#40106); #32095=AXIS2_PLACEMENT_3D('',#48771,#40107,#40108); #32096=AXIS2_PLACEMENT_3D('',#48772,#40109,#40110); #32097=AXIS2_PLACEMENT_3D('',#48776,#40113,#40114); #32098=AXIS2_PLACEMENT_3D('',#48778,#40115,#40116); #32099=AXIS2_PLACEMENT_3D('',#48780,#40118,#40119); #32100=AXIS2_PLACEMENT_3D('',#48784,#40122,#40123); #32101=AXIS2_PLACEMENT_3D('',#48790,#40126,#40127); #32102=AXIS2_PLACEMENT_3D('',#48793,#40129,#40130); #32103=AXIS2_PLACEMENT_3D('',#48795,#40131,#40132); #32104=AXIS2_PLACEMENT_3D('',#48797,#40133,#40134); #32105=AXIS2_PLACEMENT_3D('',#48799,#40135,#40136); #32106=AXIS2_PLACEMENT_3D('',#48801,#40137,#40138); #32107=AXIS2_PLACEMENT_3D('',#48803,#40139,#40140); #32108=AXIS2_PLACEMENT_3D('',#48805,#40141,#40142); #32109=AXIS2_PLACEMENT_3D('',#48807,#40143,#40144); #32110=AXIS2_PLACEMENT_3D('',#48809,#40145,#40146); #32111=AXIS2_PLACEMENT_3D('',#48811,#40147,#40148); #32112=AXIS2_PLACEMENT_3D('',#48813,#40149,#40150); #32113=AXIS2_PLACEMENT_3D('',#48815,#40151,#40152); #32114=AXIS2_PLACEMENT_3D('',#48816,#40153,#40154); #32115=AXIS2_PLACEMENT_3D('',#48822,#40158,#40159); #32116=AXIS2_PLACEMENT_3D('',#48826,#40162,#40163); #32117=AXIS2_PLACEMENT_3D('',#48830,#40166,#40167); #32118=AXIS2_PLACEMENT_3D('',#48832,#40169,#40170); #32119=AXIS2_PLACEMENT_3D('',#48840,#40174,#40175); #32120=AXIS2_PLACEMENT_3D('',#48847,#40179,#40180); #32121=AXIS2_PLACEMENT_3D('',#48849,#40181,#40182); #32122=AXIS2_PLACEMENT_3D('',#48851,#40183,#40184); #32123=AXIS2_PLACEMENT_3D('',#48853,#40185,#40186); #32124=AXIS2_PLACEMENT_3D('',#48855,#40187,#40188); #32125=AXIS2_PLACEMENT_3D('',#48857,#40189,#40190); #32126=AXIS2_PLACEMENT_3D('',#48859,#40191,#40192); #32127=AXIS2_PLACEMENT_3D('',#48861,#40193,#40194); #32128=AXIS2_PLACEMENT_3D('',#48862,#40195,#40196); #32129=AXIS2_PLACEMENT_3D('',#48868,#40200,#40201); #32130=AXIS2_PLACEMENT_3D('',#48870,#40202,#40203); #32131=AXIS2_PLACEMENT_3D('',#48872,#40205,#40206); #32132=AXIS2_PLACEMENT_3D('',#48874,#40207,#40208); #32133=AXIS2_PLACEMENT_3D('',#48876,#40210,#40211); #32134=AXIS2_PLACEMENT_3D('',#48878,#40212,#40213); #32135=AXIS2_PLACEMENT_3D('',#48880,#40215,#40216); #32136=AXIS2_PLACEMENT_3D('',#48882,#40217,#40218); #32137=AXIS2_PLACEMENT_3D('',#48884,#40220,#40221); #32138=AXIS2_PLACEMENT_3D('',#48886,#40222,#40223); #32139=AXIS2_PLACEMENT_3D('',#48888,#40225,#40226); #32140=AXIS2_PLACEMENT_3D('',#48890,#40227,#40228); #32141=AXIS2_PLACEMENT_3D('',#48892,#40230,#40231); #32142=AXIS2_PLACEMENT_3D('',#48894,#40232,#40233); #32143=AXIS2_PLACEMENT_3D('',#48896,#40235,#40236); #32144=AXIS2_PLACEMENT_3D('',#48898,#40237,#40238); #32145=AXIS2_PLACEMENT_3D('',#48900,#40240,#40241); #32146=AXIS2_PLACEMENT_3D('',#48902,#40242,#40243); #32147=AXIS2_PLACEMENT_3D('',#48904,#40245,#40246); #32148=AXIS2_PLACEMENT_3D('',#48906,#40247,#40248); #32149=AXIS2_PLACEMENT_3D('',#48908,#40250,#40251); #32150=AXIS2_PLACEMENT_3D('',#48910,#40252,#40253); #32151=AXIS2_PLACEMENT_3D('',#48912,#40255,#40256); #32152=AXIS2_PLACEMENT_3D('',#48914,#40257,#40258); #32153=AXIS2_PLACEMENT_3D('',#48916,#40260,#40261); #32154=AXIS2_PLACEMENT_3D('',#48919,#40263,#40264); #32155=AXIS2_PLACEMENT_3D('',#48920,#40265,#40266); #32156=AXIS2_PLACEMENT_3D('',#48928,#40270,#40271); #32157=AXIS2_PLACEMENT_3D('',#48933,#40274,#40275); #32158=AXIS2_PLACEMENT_3D('',#48935,#40276,#40277); #32159=AXIS2_PLACEMENT_3D('',#48937,#40278,#40279); #32160=AXIS2_PLACEMENT_3D('',#48939,#40280,#40281); #32161=AXIS2_PLACEMENT_3D('',#48941,#40282,#40283); #32162=AXIS2_PLACEMENT_3D('',#48943,#40284,#40285); #32163=AXIS2_PLACEMENT_3D('',#48945,#40286,#40287); #32164=AXIS2_PLACEMENT_3D('',#48947,#40288,#40289); #32165=AXIS2_PLACEMENT_3D('',#48949,#40290,#40291); #32166=AXIS2_PLACEMENT_3D('',#48951,#40292,#40293); #32167=AXIS2_PLACEMENT_3D('',#48953,#40294,#40295); #32168=AXIS2_PLACEMENT_3D('',#48955,#40296,#40297); #32169=AXIS2_PLACEMENT_3D('',#48957,#40298,#40299); #32170=AXIS2_PLACEMENT_3D('',#48959,#40300,#40301); #32171=AXIS2_PLACEMENT_3D('',#48961,#40302,#40303); #32172=AXIS2_PLACEMENT_3D('',#48963,#40304,#40305); #32173=AXIS2_PLACEMENT_3D('',#48965,#40306,#40307); #32174=AXIS2_PLACEMENT_3D('',#48967,#40308,#40309); #32175=AXIS2_PLACEMENT_3D('',#48969,#40310,#40311); #32176=AXIS2_PLACEMENT_3D('',#48971,#40312,#40313); #32177=AXIS2_PLACEMENT_3D('',#48973,#40314,#40315); #32178=AXIS2_PLACEMENT_3D('',#48975,#40316,#40317); #32179=AXIS2_PLACEMENT_3D('',#48977,#40318,#40319); #32180=AXIS2_PLACEMENT_3D('',#48979,#40320,#40321); #32181=AXIS2_PLACEMENT_3D('',#48981,#40322,#40323); #32182=AXIS2_PLACEMENT_3D('',#48983,#40324,#40325); #32183=AXIS2_PLACEMENT_3D('',#48985,#40326,#40327); #32184=AXIS2_PLACEMENT_3D('',#48987,#40328,#40329); #32185=AXIS2_PLACEMENT_3D('',#48989,#40330,#40331); #32186=AXIS2_PLACEMENT_3D('',#48990,#40332,#40333); #32187=AXIS2_PLACEMENT_3D('',#48992,#40335,#40336); #32188=AXIS2_PLACEMENT_3D('',#48994,#40338,#40339); #32189=AXIS2_PLACEMENT_3D('',#48996,#40341,#40342); #32190=AXIS2_PLACEMENT_3D('',#48998,#40344,#40345); #32191=AXIS2_PLACEMENT_3D('',#49000,#40347,#40348); #32192=AXIS2_PLACEMENT_3D('',#49002,#40350,#40351); #32193=AXIS2_PLACEMENT_3D('',#49004,#40353,#40354); #32194=AXIS2_PLACEMENT_3D('',#49006,#40356,#40357); #32195=AXIS2_PLACEMENT_3D('',#49008,#40359,#40360); #32196=AXIS2_PLACEMENT_3D('',#49010,#40362,#40363); #32197=AXIS2_PLACEMENT_3D('',#49012,#40365,#40366); #32198=AXIS2_PLACEMENT_3D('',#49014,#40368,#40369); #32199=AXIS2_PLACEMENT_3D('',#49016,#40371,#40372); #32200=AXIS2_PLACEMENT_3D('',#49018,#40374,#40375); #32201=AXIS2_PLACEMENT_3D('',#49020,#40377,#40378); #32202=AXIS2_PLACEMENT_3D('',#49022,#40380,#40381); #32203=AXIS2_PLACEMENT_3D('',#49024,#40383,#40384); #32204=AXIS2_PLACEMENT_3D('',#49026,#40386,#40387); #32205=AXIS2_PLACEMENT_3D('',#49028,#40389,#40390); #32206=AXIS2_PLACEMENT_3D('',#49030,#40392,#40393); #32207=AXIS2_PLACEMENT_3D('',#49032,#40395,#40396); #32208=AXIS2_PLACEMENT_3D('',#49034,#40398,#40399); #32209=AXIS2_PLACEMENT_3D('',#49036,#40401,#40402); #32210=AXIS2_PLACEMENT_3D('',#49038,#40404,#40405); #32211=AXIS2_PLACEMENT_3D('',#49040,#40407,#40408); #32212=AXIS2_PLACEMENT_3D('',#49042,#40410,#40411); #32213=AXIS2_PLACEMENT_3D('',#49044,#40413,#40414); #32214=AXIS2_PLACEMENT_3D('',#49046,#40416,#40417); #32215=AXIS2_PLACEMENT_3D('',#49048,#40419,#40420); #32216=AXIS2_PLACEMENT_3D('',#49050,#40422,#40423); #32217=AXIS2_PLACEMENT_3D('',#49053,#40426,#40427); #32218=AXIS2_PLACEMENT_3D('',#49055,#40429,#40430); #32219=AXIS2_PLACEMENT_3D('',#49056,#40431,#40432); #32220=AXIS2_PLACEMENT_3D('',#49058,#40434,#40435); #32221=AXIS2_PLACEMENT_3D('placement',#49059,#40436,#40437); #32222=AXIS2_PLACEMENT_3D('',#49060,#40438,#40439); #32223=AXIS2_PLACEMENT_3D('',#49062,#40440,#40441); #32224=AXIS2_PLACEMENT_3D('',#49065,#40443,#40444); #32225=AXIS2_PLACEMENT_3D('',#49066,#40445,#40446); #32226=AXIS2_PLACEMENT_3D('',#49068,#40447,#40448); #32227=AXIS2_PLACEMENT_3D('',#49071,#40450,#40451); #32228=AXIS2_PLACEMENT_3D('',#49072,#40452,#40453); #32229=AXIS2_PLACEMENT_3D('',#49074,#40454,#40455); #32230=AXIS2_PLACEMENT_3D('',#49077,#40457,#40458); #32231=AXIS2_PLACEMENT_3D('',#49078,#40459,#40460); #32232=AXIS2_PLACEMENT_3D('',#49080,#40461,#40462); #32233=AXIS2_PLACEMENT_3D('',#49083,#40464,#40465); #32234=AXIS2_PLACEMENT_3D('',#49084,#40466,#40467); #32235=AXIS2_PLACEMENT_3D('',#49086,#40468,#40469); #32236=AXIS2_PLACEMENT_3D('',#49089,#40471,#40472); #32237=AXIS2_PLACEMENT_3D('',#49090,#40473,#40474); #32238=AXIS2_PLACEMENT_3D('',#49092,#40475,#40476); #32239=AXIS2_PLACEMENT_3D('',#49095,#40478,#40479); #32240=AXIS2_PLACEMENT_3D('',#49096,#40480,#40481); #32241=AXIS2_PLACEMENT_3D('',#49098,#40482,#40483); #32242=AXIS2_PLACEMENT_3D('',#49101,#40485,#40486); #32243=AXIS2_PLACEMENT_3D('',#49102,#40487,#40488); #32244=AXIS2_PLACEMENT_3D('',#49104,#40489,#40490); #32245=AXIS2_PLACEMENT_3D('',#49107,#40492,#40493); #32246=AXIS2_PLACEMENT_3D('',#49108,#40494,#40495); #32247=AXIS2_PLACEMENT_3D('',#49110,#40496,#40497); #32248=AXIS2_PLACEMENT_3D('',#49113,#40499,#40500); #32249=AXIS2_PLACEMENT_3D('',#49114,#40501,#40502); #32250=AXIS2_PLACEMENT_3D('',#49116,#40503,#40504); #32251=AXIS2_PLACEMENT_3D('',#49119,#40506,#40507); #32252=AXIS2_PLACEMENT_3D('',#49120,#40508,#40509); #32253=AXIS2_PLACEMENT_3D('',#49122,#40510,#40511); #32254=AXIS2_PLACEMENT_3D('',#49125,#40513,#40514); #32255=AXIS2_PLACEMENT_3D('',#49126,#40515,#40516); #32256=AXIS2_PLACEMENT_3D('',#49128,#40517,#40518); #32257=AXIS2_PLACEMENT_3D('',#49131,#40520,#40521); #32258=AXIS2_PLACEMENT_3D('',#49132,#40522,#40523); #32259=AXIS2_PLACEMENT_3D('',#49134,#40524,#40525); #32260=AXIS2_PLACEMENT_3D('',#49137,#40527,#40528); #32261=AXIS2_PLACEMENT_3D('',#49138,#40529,#40530); #32262=AXIS2_PLACEMENT_3D('',#49140,#40531,#40532); #32263=AXIS2_PLACEMENT_3D('',#49143,#40534,#40535); #32264=AXIS2_PLACEMENT_3D('',#49144,#40536,#40537); #32265=AXIS2_PLACEMENT_3D('',#49146,#40538,#40539); #32266=AXIS2_PLACEMENT_3D('',#49149,#40541,#40542); #32267=AXIS2_PLACEMENT_3D('',#49150,#40543,#40544); #32268=AXIS2_PLACEMENT_3D('',#49152,#40545,#40546); #32269=AXIS2_PLACEMENT_3D('',#49155,#40548,#40549); #32270=AXIS2_PLACEMENT_3D('',#49156,#40550,#40551); #32271=AXIS2_PLACEMENT_3D('',#49158,#40552,#40553); #32272=AXIS2_PLACEMENT_3D('',#49161,#40555,#40556); #32273=AXIS2_PLACEMENT_3D('',#49162,#40557,#40558); #32274=AXIS2_PLACEMENT_3D('',#49164,#40559,#40560); #32275=AXIS2_PLACEMENT_3D('',#49167,#40562,#40563); #32276=AXIS2_PLACEMENT_3D('',#49168,#40564,#40565); #32277=AXIS2_PLACEMENT_3D('',#49170,#40566,#40567); #32278=AXIS2_PLACEMENT_3D('',#49173,#40569,#40570); #32279=AXIS2_PLACEMENT_3D('',#49174,#40571,#40572); #32280=AXIS2_PLACEMENT_3D('',#49176,#40573,#40574); #32281=AXIS2_PLACEMENT_3D('',#49179,#40576,#40577); #32282=AXIS2_PLACEMENT_3D('',#49180,#40578,#40579); #32283=AXIS2_PLACEMENT_3D('',#49182,#40580,#40581); #32284=AXIS2_PLACEMENT_3D('',#49185,#40583,#40584); #32285=AXIS2_PLACEMENT_3D('',#49186,#40585,#40586); #32286=AXIS2_PLACEMENT_3D('',#49188,#40587,#40588); #32287=AXIS2_PLACEMENT_3D('',#49191,#40590,#40591); #32288=AXIS2_PLACEMENT_3D('',#49192,#40592,#40593); #32289=AXIS2_PLACEMENT_3D('',#49194,#40594,#40595); #32290=AXIS2_PLACEMENT_3D('',#49197,#40597,#40598); #32291=AXIS2_PLACEMENT_3D('',#49198,#40599,#40600); #32292=AXIS2_PLACEMENT_3D('',#49200,#40601,#40602); #32293=AXIS2_PLACEMENT_3D('',#49203,#40604,#40605); #32294=AXIS2_PLACEMENT_3D('',#49204,#40606,#40607); #32295=AXIS2_PLACEMENT_3D('',#49206,#40608,#40609); #32296=AXIS2_PLACEMENT_3D('',#49209,#40611,#40612); #32297=AXIS2_PLACEMENT_3D('',#49210,#40613,#40614); #32298=AXIS2_PLACEMENT_3D('',#49212,#40615,#40616); #32299=AXIS2_PLACEMENT_3D('',#49215,#40618,#40619); #32300=AXIS2_PLACEMENT_3D('',#49216,#40620,#40621); #32301=AXIS2_PLACEMENT_3D('',#49218,#40622,#40623); #32302=AXIS2_PLACEMENT_3D('',#49221,#40625,#40626); #32303=AXIS2_PLACEMENT_3D('',#49222,#40627,#40628); #32304=AXIS2_PLACEMENT_3D('',#49224,#40629,#40630); #32305=AXIS2_PLACEMENT_3D('',#49227,#40632,#40633); #32306=AXIS2_PLACEMENT_3D('',#49228,#40634,#40635); #32307=AXIS2_PLACEMENT_3D('',#49230,#40636,#40637); #32308=AXIS2_PLACEMENT_3D('',#49233,#40639,#40640); #32309=AXIS2_PLACEMENT_3D('',#49234,#40641,#40642); #32310=AXIS2_PLACEMENT_3D('',#49236,#40643,#40644); #32311=AXIS2_PLACEMENT_3D('',#49239,#40646,#40647); #32312=AXIS2_PLACEMENT_3D('',#49240,#40648,#40649); #32313=AXIS2_PLACEMENT_3D('',#49242,#40650,#40651); #32314=AXIS2_PLACEMENT_3D('',#49245,#40653,#40654); #32315=AXIS2_PLACEMENT_3D('',#49246,#40655,#40656); #32316=AXIS2_PLACEMENT_3D('',#49248,#40657,#40658); #32317=AXIS2_PLACEMENT_3D('',#49251,#40660,#40661); #32318=AXIS2_PLACEMENT_3D('',#49252,#40662,#40663); #32319=AXIS2_PLACEMENT_3D('',#49254,#40664,#40665); #32320=AXIS2_PLACEMENT_3D('',#49257,#40667,#40668); #32321=AXIS2_PLACEMENT_3D('',#49258,#40669,#40670); #32322=AXIS2_PLACEMENT_3D('',#49260,#40671,#40672); #32323=AXIS2_PLACEMENT_3D('',#49263,#40674,#40675); #32324=AXIS2_PLACEMENT_3D('',#49264,#40676,#40677); #32325=AXIS2_PLACEMENT_3D('',#49266,#40678,#40679); #32326=AXIS2_PLACEMENT_3D('',#49269,#40681,#40682); #32327=AXIS2_PLACEMENT_3D('',#49270,#40683,#40684); #32328=AXIS2_PLACEMENT_3D('',#49272,#40685,#40686); #32329=AXIS2_PLACEMENT_3D('',#49275,#40688,#40689); #32330=AXIS2_PLACEMENT_3D('',#49276,#40690,#40691); #32331=AXIS2_PLACEMENT_3D('',#49278,#40692,#40693); #32332=AXIS2_PLACEMENT_3D('',#49281,#40695,#40696); #32333=AXIS2_PLACEMENT_3D('',#49282,#40697,#40698); #32334=AXIS2_PLACEMENT_3D('',#49284,#40699,#40700); #32335=AXIS2_PLACEMENT_3D('',#49287,#40702,#40703); #32336=AXIS2_PLACEMENT_3D('',#49288,#40704,#40705); #32337=AXIS2_PLACEMENT_3D('',#49290,#40706,#40707); #32338=AXIS2_PLACEMENT_3D('',#49293,#40709,#40710); #32339=AXIS2_PLACEMENT_3D('',#49294,#40711,#40712); #32340=AXIS2_PLACEMENT_3D('',#49296,#40713,#40714); #32341=AXIS2_PLACEMENT_3D('',#49299,#40716,#40717); #32342=AXIS2_PLACEMENT_3D('',#49300,#40718,#40719); #32343=AXIS2_PLACEMENT_3D('',#49302,#40720,#40721); #32344=AXIS2_PLACEMENT_3D('',#49305,#40723,#40724); #32345=AXIS2_PLACEMENT_3D('',#49306,#40725,#40726); #32346=AXIS2_PLACEMENT_3D('',#49308,#40727,#40728); #32347=AXIS2_PLACEMENT_3D('',#49311,#40730,#40731); #32348=AXIS2_PLACEMENT_3D('',#49312,#40732,#40733); #32349=AXIS2_PLACEMENT_3D('',#49314,#40734,#40735); #32350=AXIS2_PLACEMENT_3D('',#49317,#40737,#40738); #32351=AXIS2_PLACEMENT_3D('',#49318,#40739,#40740); #32352=AXIS2_PLACEMENT_3D('',#49320,#40741,#40742); #32353=AXIS2_PLACEMENT_3D('',#49323,#40744,#40745); #32354=AXIS2_PLACEMENT_3D('',#49324,#40746,#40747); #32355=AXIS2_PLACEMENT_3D('',#49326,#40748,#40749); #32356=AXIS2_PLACEMENT_3D('',#49329,#40751,#40752); #32357=AXIS2_PLACEMENT_3D('',#49330,#40753,#40754); #32358=AXIS2_PLACEMENT_3D('',#49332,#40755,#40756); #32359=AXIS2_PLACEMENT_3D('',#49335,#40758,#40759); #32360=AXIS2_PLACEMENT_3D('',#49336,#40760,#40761); #32361=AXIS2_PLACEMENT_3D('',#49338,#40762,#40763); #32362=AXIS2_PLACEMENT_3D('',#49341,#40765,#40766); #32363=AXIS2_PLACEMENT_3D('',#49342,#40767,#40768); #32364=AXIS2_PLACEMENT_3D('',#49344,#40769,#40770); #32365=AXIS2_PLACEMENT_3D('',#49347,#40772,#40773); #32366=AXIS2_PLACEMENT_3D('',#49348,#40774,#40775); #32367=AXIS2_PLACEMENT_3D('',#49350,#40776,#40777); #32368=AXIS2_PLACEMENT_3D('',#49353,#40779,#40780); #32369=AXIS2_PLACEMENT_3D('',#49354,#40781,#40782); #32370=AXIS2_PLACEMENT_3D('',#49356,#40783,#40784); #32371=AXIS2_PLACEMENT_3D('',#49359,#40786,#40787); #32372=AXIS2_PLACEMENT_3D('',#49360,#40788,#40789); #32373=AXIS2_PLACEMENT_3D('',#49362,#40790,#40791); #32374=AXIS2_PLACEMENT_3D('',#49365,#40793,#40794); #32375=AXIS2_PLACEMENT_3D('',#49366,#40795,#40796); #32376=AXIS2_PLACEMENT_3D('',#49368,#40797,#40798); #32377=AXIS2_PLACEMENT_3D('',#49371,#40800,#40801); #32378=AXIS2_PLACEMENT_3D('',#49372,#40802,#40803); #32379=AXIS2_PLACEMENT_3D('',#49374,#40804,#40805); #32380=AXIS2_PLACEMENT_3D('',#49377,#40807,#40808); #32381=AXIS2_PLACEMENT_3D('',#49378,#40809,#40810); #32382=AXIS2_PLACEMENT_3D('',#49380,#40811,#40812); #32383=AXIS2_PLACEMENT_3D('',#49383,#40814,#40815); #32384=AXIS2_PLACEMENT_3D('',#49384,#40816,#40817); #32385=AXIS2_PLACEMENT_3D('',#49386,#40818,#40819); #32386=AXIS2_PLACEMENT_3D('',#49389,#40821,#40822); #32387=AXIS2_PLACEMENT_3D('',#49390,#40823,#40824); #32388=AXIS2_PLACEMENT_3D('',#49392,#40825,#40826); #32389=AXIS2_PLACEMENT_3D('',#49395,#40828,#40829); #32390=AXIS2_PLACEMENT_3D('',#49396,#40830,#40831); #32391=AXIS2_PLACEMENT_3D('',#49398,#40832,#40833); #32392=AXIS2_PLACEMENT_3D('',#49401,#40835,#40836); #32393=AXIS2_PLACEMENT_3D('',#49402,#40837,#40838); #32394=AXIS2_PLACEMENT_3D('',#49404,#40839,#40840); #32395=AXIS2_PLACEMENT_3D('',#49407,#40842,#40843); #32396=AXIS2_PLACEMENT_3D('',#49408,#40844,#40845); #32397=AXIS2_PLACEMENT_3D('',#49410,#40846,#40847); #32398=AXIS2_PLACEMENT_3D('',#49413,#40849,#40850); #32399=AXIS2_PLACEMENT_3D('',#49414,#40851,#40852); #32400=AXIS2_PLACEMENT_3D('',#49416,#40853,#40854); #32401=AXIS2_PLACEMENT_3D('',#49419,#40856,#40857); #32402=AXIS2_PLACEMENT_3D('',#49420,#40858,#40859); #32403=AXIS2_PLACEMENT_3D('',#49422,#40860,#40861); #32404=AXIS2_PLACEMENT_3D('',#49425,#40863,#40864); #32405=AXIS2_PLACEMENT_3D('',#49426,#40865,#40866); #32406=AXIS2_PLACEMENT_3D('',#49428,#40867,#40868); #32407=AXIS2_PLACEMENT_3D('',#49431,#40870,#40871); #32408=AXIS2_PLACEMENT_3D('',#49432,#40872,#40873); #32409=AXIS2_PLACEMENT_3D('',#49434,#40874,#40875); #32410=AXIS2_PLACEMENT_3D('',#49437,#40877,#40878); #32411=AXIS2_PLACEMENT_3D('',#49438,#40879,#40880); #32412=AXIS2_PLACEMENT_3D('',#49440,#40881,#40882); #32413=AXIS2_PLACEMENT_3D('',#49443,#40884,#40885); #32414=AXIS2_PLACEMENT_3D('',#49444,#40886,#40887); #32415=AXIS2_PLACEMENT_3D('',#49446,#40888,#40889); #32416=AXIS2_PLACEMENT_3D('',#49449,#40891,#40892); #32417=AXIS2_PLACEMENT_3D('',#49450,#40893,#40894); #32418=AXIS2_PLACEMENT_3D('',#49452,#40895,#40896); #32419=AXIS2_PLACEMENT_3D('',#49455,#40898,#40899); #32420=AXIS2_PLACEMENT_3D('',#49456,#40900,#40901); #32421=AXIS2_PLACEMENT_3D('',#49458,#40902,#40903); #32422=AXIS2_PLACEMENT_3D('',#49461,#40905,#40906); #32423=AXIS2_PLACEMENT_3D('',#49462,#40907,#40908); #32424=AXIS2_PLACEMENT_3D('',#49464,#40909,#40910); #32425=AXIS2_PLACEMENT_3D('',#49467,#40912,#40913); #32426=AXIS2_PLACEMENT_3D('',#49468,#40914,#40915); #32427=AXIS2_PLACEMENT_3D('',#49470,#40916,#40917); #32428=AXIS2_PLACEMENT_3D('',#49473,#40919,#40920); #32429=AXIS2_PLACEMENT_3D('',#49474,#40921,#40922); #32430=AXIS2_PLACEMENT_3D('',#49476,#40923,#40924); #32431=AXIS2_PLACEMENT_3D('',#49479,#40926,#40927); #32432=AXIS2_PLACEMENT_3D('',#49480,#40928,#40929); #32433=AXIS2_PLACEMENT_3D('',#49482,#40930,#40931); #32434=AXIS2_PLACEMENT_3D('',#49485,#40933,#40934); #32435=AXIS2_PLACEMENT_3D('',#49486,#40935,#40936); #32436=AXIS2_PLACEMENT_3D('',#49488,#40937,#40938); #32437=AXIS2_PLACEMENT_3D('',#49491,#40940,#40941); #32438=AXIS2_PLACEMENT_3D('',#49492,#40942,#40943); #32439=AXIS2_PLACEMENT_3D('',#49494,#40944,#40945); #32440=AXIS2_PLACEMENT_3D('',#49497,#40947,#40948); #32441=AXIS2_PLACEMENT_3D('',#49498,#40949,#40950); #32442=AXIS2_PLACEMENT_3D('',#49500,#40951,#40952); #32443=AXIS2_PLACEMENT_3D('',#49503,#40954,#40955); #32444=AXIS2_PLACEMENT_3D('',#49504,#40956,#40957); #32445=AXIS2_PLACEMENT_3D('',#49506,#40958,#40959); #32446=AXIS2_PLACEMENT_3D('',#49509,#40961,#40962); #32447=AXIS2_PLACEMENT_3D('',#49510,#40963,#40964); #32448=AXIS2_PLACEMENT_3D('',#49512,#40965,#40966); #32449=AXIS2_PLACEMENT_3D('',#49515,#40968,#40969); #32450=AXIS2_PLACEMENT_3D('',#49516,#40970,#40971); #32451=AXIS2_PLACEMENT_3D('',#49518,#40972,#40973); #32452=AXIS2_PLACEMENT_3D('',#49521,#40975,#40976); #32453=AXIS2_PLACEMENT_3D('',#49522,#40977,#40978); #32454=AXIS2_PLACEMENT_3D('',#49524,#40979,#40980); #32455=AXIS2_PLACEMENT_3D('',#49527,#40982,#40983); #32456=AXIS2_PLACEMENT_3D('',#49528,#40984,#40985); #32457=AXIS2_PLACEMENT_3D('',#49530,#40986,#40987); #32458=AXIS2_PLACEMENT_3D('',#49533,#40989,#40990); #32459=AXIS2_PLACEMENT_3D('',#49534,#40991,#40992); #32460=AXIS2_PLACEMENT_3D('',#49536,#40993,#40994); #32461=AXIS2_PLACEMENT_3D('',#49539,#40996,#40997); #32462=AXIS2_PLACEMENT_3D('',#49540,#40998,#40999); #32463=AXIS2_PLACEMENT_3D('',#49542,#41000,#41001); #32464=AXIS2_PLACEMENT_3D('',#49545,#41003,#41004); #32465=AXIS2_PLACEMENT_3D('',#49546,#41005,#41006); #32466=AXIS2_PLACEMENT_3D('',#49548,#41007,#41008); #32467=AXIS2_PLACEMENT_3D('',#49551,#41010,#41011); #32468=AXIS2_PLACEMENT_3D('',#49552,#41012,#41013); #32469=AXIS2_PLACEMENT_3D('',#49554,#41014,#41015); #32470=AXIS2_PLACEMENT_3D('',#49557,#41017,#41018); #32471=AXIS2_PLACEMENT_3D('',#49558,#41019,#41020); #32472=AXIS2_PLACEMENT_3D('',#49560,#41021,#41022); #32473=AXIS2_PLACEMENT_3D('',#49563,#41024,#41025); #32474=AXIS2_PLACEMENT_3D('',#49564,#41026,#41027); #32475=AXIS2_PLACEMENT_3D('',#49566,#41028,#41029); #32476=AXIS2_PLACEMENT_3D('',#49569,#41031,#41032); #32477=AXIS2_PLACEMENT_3D('',#49570,#41033,#41034); #32478=AXIS2_PLACEMENT_3D('',#49572,#41035,#41036); #32479=AXIS2_PLACEMENT_3D('',#49575,#41038,#41039); #32480=AXIS2_PLACEMENT_3D('',#49576,#41040,#41041); #32481=AXIS2_PLACEMENT_3D('',#49578,#41042,#41043); #32482=AXIS2_PLACEMENT_3D('',#49581,#41045,#41046); #32483=AXIS2_PLACEMENT_3D('',#49582,#41047,#41048); #32484=AXIS2_PLACEMENT_3D('',#49584,#41049,#41050); #32485=AXIS2_PLACEMENT_3D('',#49587,#41052,#41053); #32486=AXIS2_PLACEMENT_3D('',#49588,#41054,#41055); #32487=AXIS2_PLACEMENT_3D('',#49590,#41056,#41057); #32488=AXIS2_PLACEMENT_3D('',#49593,#41059,#41060); #32489=AXIS2_PLACEMENT_3D('',#49594,#41061,#41062); #32490=AXIS2_PLACEMENT_3D('',#49596,#41063,#41064); #32491=AXIS2_PLACEMENT_3D('',#49599,#41066,#41067); #32492=AXIS2_PLACEMENT_3D('',#49600,#41068,#41069); #32493=AXIS2_PLACEMENT_3D('',#49602,#41070,#41071); #32494=AXIS2_PLACEMENT_3D('',#49605,#41073,#41074); #32495=AXIS2_PLACEMENT_3D('',#49606,#41075,#41076); #32496=AXIS2_PLACEMENT_3D('',#49608,#41077,#41078); #32497=AXIS2_PLACEMENT_3D('',#49611,#41080,#41081); #32498=AXIS2_PLACEMENT_3D('',#49612,#41082,#41083); #32499=AXIS2_PLACEMENT_3D('',#49614,#41084,#41085); #32500=AXIS2_PLACEMENT_3D('',#49617,#41087,#41088); #32501=AXIS2_PLACEMENT_3D('',#49618,#41089,#41090); #32502=AXIS2_PLACEMENT_3D('',#49620,#41091,#41092); #32503=AXIS2_PLACEMENT_3D('',#49623,#41094,#41095); #32504=AXIS2_PLACEMENT_3D('',#49624,#41096,#41097); #32505=AXIS2_PLACEMENT_3D('',#49626,#41098,#41099); #32506=AXIS2_PLACEMENT_3D('',#49629,#41101,#41102); #32507=AXIS2_PLACEMENT_3D('',#49630,#41103,#41104); #32508=AXIS2_PLACEMENT_3D('',#49632,#41105,#41106); #32509=AXIS2_PLACEMENT_3D('',#49635,#41108,#41109); #32510=AXIS2_PLACEMENT_3D('',#49636,#41110,#41111); #32511=AXIS2_PLACEMENT_3D('',#49639,#41112,#41113); #32512=AXIS2_PLACEMENT_3D('',#49641,#41114,#41115); #32513=AXIS2_PLACEMENT_3D('',#49643,#41116,#41117); #32514=AXIS2_PLACEMENT_3D('',#49644,#41118,#41119); #32515=AXIS2_PLACEMENT_3D('',#49645,#41120,#41121); #32516=AXIS2_PLACEMENT_3D('',#49648,#41122,#41123); #32517=AXIS2_PLACEMENT_3D('',#49649,#41124,#41125); #32518=AXIS2_PLACEMENT_3D('',#49650,#41126,#41127); #32519=AXIS2_PLACEMENT_3D('',#49651,#41128,#41129); #32520=AXIS2_PLACEMENT_3D('',#49654,#41130,#41131); #32521=AXIS2_PLACEMENT_3D('',#49655,#41132,#41133); #32522=AXIS2_PLACEMENT_3D('',#49656,#41134,#41135); #32523=AXIS2_PLACEMENT_3D('',#49657,#41136,#41137); #32524=AXIS2_PLACEMENT_3D('',#49660,#41138,#41139); #32525=AXIS2_PLACEMENT_3D('',#49663,#41142,#41143); #32526=AXIS2_PLACEMENT_3D('',#49666,#41144,#41145); #32527=AXIS2_PLACEMENT_3D('',#49667,#41146,#41147); #32528=AXIS2_PLACEMENT_3D('',#49668,#41148,#41149); #32529=AXIS2_PLACEMENT_3D('',#49669,#41150,#41151); #32530=AXIS2_PLACEMENT_3D('',#49672,#41152,#41153); #32531=AXIS2_PLACEMENT_3D('',#49673,#41154,#41155); #32532=AXIS2_PLACEMENT_3D('',#49674,#41156,#41157); #32533=AXIS2_PLACEMENT_3D('',#49675,#41158,#41159); #32534=AXIS2_PLACEMENT_3D('',#49678,#41160,#41161); #32535=AXIS2_PLACEMENT_3D('',#49679,#41162,#41163); #32536=AXIS2_PLACEMENT_3D('',#49680,#41164,#41165); #32537=AXIS2_PLACEMENT_3D('',#49681,#41166,#41167); #32538=AXIS2_PLACEMENT_3D('',#49684,#41170,#41171); #32539=AXIS2_PLACEMENT_3D('',#49690,#41175,#41176); #32540=AXIS2_PLACEMENT_3D('',#49693,#41178,#41179); #32541=AXIS2_PLACEMENT_3D('',#49694,#41180,#41181); #32542=AXIS2_PLACEMENT_3D('',#49697,#41183,#41184); #32543=AXIS2_PLACEMENT_3D('',#49698,#41185,#41186); #32544=AXIS2_PLACEMENT_3D('',#49701,#41188,#41189); #32545=AXIS2_PLACEMENT_3D('',#49702,#41190,#41191); #32546=AXIS2_PLACEMENT_3D('',#49705,#41192,#41193); #32547=AXIS2_PLACEMENT_3D('',#49709,#41195,#41196); #32548=AXIS2_PLACEMENT_3D('',#49711,#41198,#41199); #32549=AXIS2_PLACEMENT_3D('',#49715,#41202,#41203); #32550=AXIS2_PLACEMENT_3D('',#49718,#41205,#41206); #32551=AXIS2_PLACEMENT_3D('',#49719,#41207,#41208); #32552=AXIS2_PLACEMENT_3D('',#49722,#41210,#41211); #32553=AXIS2_PLACEMENT_3D('',#49723,#41212,#41213); #32554=AXIS2_PLACEMENT_3D('',#49724,#41214,#41215); #32555=AXIS2_PLACEMENT_3D('',#49725,#41216,#41217); #32556=AXIS2_PLACEMENT_3D('',#49731,#41221,#41222); #32557=AXIS2_PLACEMENT_3D('',#49733,#41223,#41224); #32558=AXIS2_PLACEMENT_3D('',#49735,#41226,#41227); #32559=AXIS2_PLACEMENT_3D('',#49739,#41230,#41231); #32560=AXIS2_PLACEMENT_3D('',#49740,#41232,#41233); #32561=AXIS2_PLACEMENT_3D('',#49741,#41234,#41235); #32562=AXIS2_PLACEMENT_3D('placement',#49742,#41236,#41237); #32563=AXIS2_PLACEMENT_3D('',#49743,#41238,#41239); #32564=AXIS2_PLACEMENT_3D('',#49745,#41240,#41241); #32565=AXIS2_PLACEMENT_3D('',#49748,#41243,#41244); #32566=AXIS2_PLACEMENT_3D('',#49750,#41245,#41246); #32567=AXIS2_PLACEMENT_3D('',#49752,#41248,#41249); #32568=AXIS2_PLACEMENT_3D('',#49754,#41250,#41251); #32569=AXIS2_PLACEMENT_3D('',#49757,#41253,#41254); #32570=AXIS2_PLACEMENT_3D('',#49759,#41255,#41256); #32571=AXIS2_PLACEMENT_3D('',#49761,#41258,#41259); #32572=AXIS2_PLACEMENT_3D('',#49763,#41260,#41261); #32573=AXIS2_PLACEMENT_3D('',#49766,#41263,#41264); #32574=AXIS2_PLACEMENT_3D('',#49768,#41265,#41266); #32575=AXIS2_PLACEMENT_3D('',#49770,#41268,#41269); #32576=AXIS2_PLACEMENT_3D('',#49772,#41270,#41271); #32577=AXIS2_PLACEMENT_3D('',#49775,#41273,#41274); #32578=AXIS2_PLACEMENT_3D('',#49777,#41275,#41276); #32579=AXIS2_PLACEMENT_3D('',#49779,#41278,#41279); #32580=AXIS2_PLACEMENT_3D('',#49781,#41280,#41281); #32581=AXIS2_PLACEMENT_3D('',#49784,#41283,#41284); #32582=AXIS2_PLACEMENT_3D('',#49786,#41285,#41286); #32583=AXIS2_PLACEMENT_3D('',#49788,#41288,#41289); #32584=AXIS2_PLACEMENT_3D('',#49790,#41290,#41291); #32585=AXIS2_PLACEMENT_3D('',#49793,#41293,#41294); #32586=AXIS2_PLACEMENT_3D('',#49795,#41295,#41296); #32587=AXIS2_PLACEMENT_3D('',#49797,#41298,#41299); #32588=AXIS2_PLACEMENT_3D('',#49799,#41300,#41301); #32589=AXIS2_PLACEMENT_3D('',#49802,#41303,#41304); #32590=AXIS2_PLACEMENT_3D('',#49804,#41305,#41306); #32591=AXIS2_PLACEMENT_3D('',#49806,#41308,#41309); #32592=AXIS2_PLACEMENT_3D('',#49808,#41310,#41311); #32593=AXIS2_PLACEMENT_3D('',#49811,#41313,#41314); #32594=AXIS2_PLACEMENT_3D('',#49813,#41315,#41316); #32595=AXIS2_PLACEMENT_3D('',#49815,#41318,#41319); #32596=AXIS2_PLACEMENT_3D('',#49817,#41320,#41321); #32597=AXIS2_PLACEMENT_3D('',#49820,#41323,#41324); #32598=AXIS2_PLACEMENT_3D('',#49822,#41325,#41326); #32599=AXIS2_PLACEMENT_3D('',#49824,#41328,#41329); #32600=AXIS2_PLACEMENT_3D('',#49826,#41330,#41331); #32601=AXIS2_PLACEMENT_3D('',#49829,#41333,#41334); #32602=AXIS2_PLACEMENT_3D('',#49831,#41335,#41336); #32603=AXIS2_PLACEMENT_3D('',#49833,#41338,#41339); #32604=AXIS2_PLACEMENT_3D('',#49835,#41340,#41341); #32605=AXIS2_PLACEMENT_3D('',#49838,#41343,#41344); #32606=AXIS2_PLACEMENT_3D('',#49840,#41345,#41346); #32607=AXIS2_PLACEMENT_3D('',#49842,#41348,#41349); #32608=AXIS2_PLACEMENT_3D('',#49844,#41350,#41351); #32609=AXIS2_PLACEMENT_3D('',#49847,#41353,#41354); #32610=AXIS2_PLACEMENT_3D('',#49849,#41355,#41356); #32611=AXIS2_PLACEMENT_3D('',#49851,#41358,#41359); #32612=AXIS2_PLACEMENT_3D('',#49853,#41360,#41361); #32613=AXIS2_PLACEMENT_3D('',#49856,#41363,#41364); #32614=AXIS2_PLACEMENT_3D('',#49858,#41365,#41366); #32615=AXIS2_PLACEMENT_3D('',#49860,#41368,#41369); #32616=AXIS2_PLACEMENT_3D('',#49862,#41370,#41371); #32617=AXIS2_PLACEMENT_3D('',#49865,#41373,#41374); #32618=AXIS2_PLACEMENT_3D('',#49867,#41375,#41376); #32619=AXIS2_PLACEMENT_3D('',#49869,#41378,#41379); #32620=AXIS2_PLACEMENT_3D('',#49871,#41380,#41381); #32621=AXIS2_PLACEMENT_3D('',#49874,#41383,#41384); #32622=AXIS2_PLACEMENT_3D('',#49876,#41385,#41386); #32623=AXIS2_PLACEMENT_3D('',#49878,#41388,#41389); #32624=AXIS2_PLACEMENT_3D('',#49880,#41390,#41391); #32625=AXIS2_PLACEMENT_3D('',#49883,#41393,#41394); #32626=AXIS2_PLACEMENT_3D('',#49885,#41395,#41396); #32627=AXIS2_PLACEMENT_3D('',#49887,#41398,#41399); #32628=AXIS2_PLACEMENT_3D('',#49889,#41400,#41401); #32629=AXIS2_PLACEMENT_3D('',#49892,#41403,#41404); #32630=AXIS2_PLACEMENT_3D('',#49894,#41405,#41406); #32631=AXIS2_PLACEMENT_3D('',#49896,#41408,#41409); #32632=AXIS2_PLACEMENT_3D('',#49898,#41410,#41411); #32633=AXIS2_PLACEMENT_3D('',#49901,#41413,#41414); #32634=AXIS2_PLACEMENT_3D('',#49903,#41415,#41416); #32635=AXIS2_PLACEMENT_3D('',#49905,#41418,#41419); #32636=AXIS2_PLACEMENT_3D('',#49907,#41420,#41421); #32637=AXIS2_PLACEMENT_3D('',#49910,#41423,#41424); #32638=AXIS2_PLACEMENT_3D('',#49912,#41425,#41426); #32639=AXIS2_PLACEMENT_3D('',#49914,#41428,#41429); #32640=AXIS2_PLACEMENT_3D('',#49916,#41430,#41431); #32641=AXIS2_PLACEMENT_3D('',#49919,#41433,#41434); #32642=AXIS2_PLACEMENT_3D('',#49921,#41435,#41436); #32643=AXIS2_PLACEMENT_3D('',#49923,#41438,#41439); #32644=AXIS2_PLACEMENT_3D('',#49925,#41440,#41441); #32645=AXIS2_PLACEMENT_3D('',#49928,#41443,#41444); #32646=AXIS2_PLACEMENT_3D('',#49930,#41445,#41446); #32647=AXIS2_PLACEMENT_3D('',#49932,#41448,#41449); #32648=AXIS2_PLACEMENT_3D('',#49934,#41450,#41451); #32649=AXIS2_PLACEMENT_3D('',#49937,#41453,#41454); #32650=AXIS2_PLACEMENT_3D('',#49939,#41455,#41456); #32651=AXIS2_PLACEMENT_3D('',#49941,#41458,#41459); #32652=AXIS2_PLACEMENT_3D('',#49943,#41460,#41461); #32653=AXIS2_PLACEMENT_3D('',#49946,#41463,#41464); #32654=AXIS2_PLACEMENT_3D('',#49948,#41465,#41466); #32655=AXIS2_PLACEMENT_3D('',#49950,#41468,#41469); #32656=AXIS2_PLACEMENT_3D('',#49952,#41470,#41471); #32657=AXIS2_PLACEMENT_3D('',#49955,#41473,#41474); #32658=AXIS2_PLACEMENT_3D('',#49957,#41475,#41476); #32659=AXIS2_PLACEMENT_3D('',#49959,#41478,#41479); #32660=AXIS2_PLACEMENT_3D('',#49961,#41480,#41481); #32661=AXIS2_PLACEMENT_3D('',#49964,#41483,#41484); #32662=AXIS2_PLACEMENT_3D('',#49965,#41485,#41486); #32663=AXIS2_PLACEMENT_3D('',#49967,#41487,#41488); #32664=AXIS2_PLACEMENT_3D('',#49970,#41490,#41491); #32665=AXIS2_PLACEMENT_3D('',#49971,#41492,#41493); #32666=AXIS2_PLACEMENT_3D('',#49973,#41494,#41495); #32667=AXIS2_PLACEMENT_3D('',#49976,#41497,#41498); #32668=AXIS2_PLACEMENT_3D('',#49977,#41499,#41500); #32669=AXIS2_PLACEMENT_3D('',#49979,#41501,#41502); #32670=AXIS2_PLACEMENT_3D('',#49982,#41504,#41505); #32671=AXIS2_PLACEMENT_3D('',#49983,#41506,#41507); #32672=AXIS2_PLACEMENT_3D('',#49985,#41508,#41509); #32673=AXIS2_PLACEMENT_3D('',#49988,#41511,#41512); #32674=AXIS2_PLACEMENT_3D('',#49989,#41513,#41514); #32675=AXIS2_PLACEMENT_3D('',#49991,#41515,#41516); #32676=AXIS2_PLACEMENT_3D('',#49994,#41518,#41519); #32677=AXIS2_PLACEMENT_3D('',#49995,#41520,#41521); #32678=AXIS2_PLACEMENT_3D('',#49997,#41522,#41523); #32679=AXIS2_PLACEMENT_3D('',#50000,#41525,#41526); #32680=AXIS2_PLACEMENT_3D('',#50001,#41527,#41528); #32681=AXIS2_PLACEMENT_3D('',#50003,#41529,#41530); #32682=AXIS2_PLACEMENT_3D('',#50006,#41532,#41533); #32683=AXIS2_PLACEMENT_3D('',#50007,#41534,#41535); #32684=AXIS2_PLACEMENT_3D('',#50009,#41536,#41537); #32685=AXIS2_PLACEMENT_3D('',#50012,#41539,#41540); #32686=AXIS2_PLACEMENT_3D('',#50013,#41541,#41542); #32687=AXIS2_PLACEMENT_3D('',#50015,#41543,#41544); #32688=AXIS2_PLACEMENT_3D('',#50018,#41546,#41547); #32689=AXIS2_PLACEMENT_3D('',#50019,#41548,#41549); #32690=AXIS2_PLACEMENT_3D('',#50021,#41550,#41551); #32691=AXIS2_PLACEMENT_3D('',#50024,#41553,#41554); #32692=AXIS2_PLACEMENT_3D('',#50025,#41555,#41556); #32693=AXIS2_PLACEMENT_3D('',#50027,#41557,#41558); #32694=AXIS2_PLACEMENT_3D('',#50030,#41560,#41561); #32695=AXIS2_PLACEMENT_3D('',#50031,#41562,#41563); #32696=AXIS2_PLACEMENT_3D('',#50033,#41564,#41565); #32697=AXIS2_PLACEMENT_3D('',#50036,#41567,#41568); #32698=AXIS2_PLACEMENT_3D('',#50037,#41569,#41570); #32699=AXIS2_PLACEMENT_3D('',#50039,#41571,#41572); #32700=AXIS2_PLACEMENT_3D('',#50042,#41574,#41575); #32701=AXIS2_PLACEMENT_3D('',#50043,#41576,#41577); #32702=AXIS2_PLACEMENT_3D('',#50045,#41578,#41579); #32703=AXIS2_PLACEMENT_3D('',#50048,#41581,#41582); #32704=AXIS2_PLACEMENT_3D('',#50049,#41583,#41584); #32705=AXIS2_PLACEMENT_3D('',#50051,#41585,#41586); #32706=AXIS2_PLACEMENT_3D('',#50054,#41588,#41589); #32707=AXIS2_PLACEMENT_3D('',#50055,#41590,#41591); #32708=AXIS2_PLACEMENT_3D('',#50057,#41592,#41593); #32709=AXIS2_PLACEMENT_3D('',#50060,#41595,#41596); #32710=AXIS2_PLACEMENT_3D('',#50061,#41597,#41598); #32711=AXIS2_PLACEMENT_3D('',#50063,#41599,#41600); #32712=AXIS2_PLACEMENT_3D('',#50066,#41602,#41603); #32713=AXIS2_PLACEMENT_3D('',#50067,#41604,#41605); #32714=AXIS2_PLACEMENT_3D('',#50076,#41610,#41611); #32715=AXIS2_PLACEMENT_3D('',#50082,#41615,#41616); #32716=AXIS2_PLACEMENT_3D('',#50086,#41619,#41620); #32717=AXIS2_PLACEMENT_3D('',#50090,#41623,#41624); #32718=AXIS2_PLACEMENT_3D('',#50092,#41626,#41627); #32719=AXIS2_PLACEMENT_3D('placement',#50093,#41628,#41629); #32720=AXIS2_PLACEMENT_3D('',#50094,#41630,#41631); #32721=AXIS2_PLACEMENT_3D('',#50096,#41632,#41633); #32722=AXIS2_PLACEMENT_3D('',#50099,#41635,#41636); #32723=AXIS2_PLACEMENT_3D('',#50100,#41637,#41638); #32724=AXIS2_PLACEMENT_3D('',#50102,#41639,#41640); #32725=AXIS2_PLACEMENT_3D('',#50105,#41642,#41643); #32726=AXIS2_PLACEMENT_3D('',#50106,#41644,#41645); #32727=AXIS2_PLACEMENT_3D('',#50108,#41646,#41647); #32728=AXIS2_PLACEMENT_3D('',#50111,#41649,#41650); #32729=AXIS2_PLACEMENT_3D('',#50112,#41651,#41652); #32730=AXIS2_PLACEMENT_3D('',#50114,#41653,#41654); #32731=AXIS2_PLACEMENT_3D('',#50117,#41656,#41657); #32732=AXIS2_PLACEMENT_3D('',#50118,#41658,#41659); #32733=AXIS2_PLACEMENT_3D('',#50120,#41660,#41661); #32734=AXIS2_PLACEMENT_3D('',#50123,#41663,#41664); #32735=AXIS2_PLACEMENT_3D('',#50124,#41665,#41666); #32736=AXIS2_PLACEMENT_3D('',#50126,#41667,#41668); #32737=AXIS2_PLACEMENT_3D('',#50129,#41670,#41671); #32738=AXIS2_PLACEMENT_3D('',#50130,#41672,#41673); #32739=AXIS2_PLACEMENT_3D('',#50132,#41674,#41675); #32740=AXIS2_PLACEMENT_3D('',#50135,#41677,#41678); #32741=AXIS2_PLACEMENT_3D('',#50136,#41679,#41680); #32742=AXIS2_PLACEMENT_3D('',#50138,#41681,#41682); #32743=AXIS2_PLACEMENT_3D('',#50141,#41684,#41685); #32744=AXIS2_PLACEMENT_3D('',#50142,#41686,#41687); #32745=AXIS2_PLACEMENT_3D('',#50144,#41688,#41689); #32746=AXIS2_PLACEMENT_3D('',#50147,#41691,#41692); #32747=AXIS2_PLACEMENT_3D('',#50148,#41693,#41694); #32748=AXIS2_PLACEMENT_3D('',#50150,#41695,#41696); #32749=AXIS2_PLACEMENT_3D('',#50153,#41698,#41699); #32750=AXIS2_PLACEMENT_3D('',#50154,#41700,#41701); #32751=AXIS2_PLACEMENT_3D('',#50156,#41702,#41703); #32752=AXIS2_PLACEMENT_3D('',#50159,#41705,#41706); #32753=AXIS2_PLACEMENT_3D('',#50160,#41707,#41708); #32754=AXIS2_PLACEMENT_3D('',#50162,#41709,#41710); #32755=AXIS2_PLACEMENT_3D('',#50165,#41712,#41713); #32756=AXIS2_PLACEMENT_3D('',#50166,#41714,#41715); #32757=AXIS2_PLACEMENT_3D('',#50168,#41716,#41717); #32758=AXIS2_PLACEMENT_3D('',#50171,#41719,#41720); #32759=AXIS2_PLACEMENT_3D('',#50172,#41721,#41722); #32760=AXIS2_PLACEMENT_3D('',#50174,#41723,#41724); #32761=AXIS2_PLACEMENT_3D('',#50177,#41726,#41727); #32762=AXIS2_PLACEMENT_3D('',#50178,#41728,#41729); #32763=AXIS2_PLACEMENT_3D('',#50180,#41730,#41731); #32764=AXIS2_PLACEMENT_3D('',#50183,#41733,#41734); #32765=AXIS2_PLACEMENT_3D('',#50184,#41735,#41736); #32766=AXIS2_PLACEMENT_3D('',#50186,#41737,#41738); #32767=AXIS2_PLACEMENT_3D('',#50189,#41740,#41741); #32768=AXIS2_PLACEMENT_3D('',#50190,#41742,#41743); #32769=AXIS2_PLACEMENT_3D('',#50192,#41744,#41745); #32770=AXIS2_PLACEMENT_3D('',#50195,#41747,#41748); #32771=AXIS2_PLACEMENT_3D('',#50196,#41749,#41750); #32772=AXIS2_PLACEMENT_3D('',#50198,#41751,#41752); #32773=AXIS2_PLACEMENT_3D('',#50201,#41754,#41755); #32774=AXIS2_PLACEMENT_3D('',#50202,#41756,#41757); #32775=AXIS2_PLACEMENT_3D('',#50204,#41758,#41759); #32776=AXIS2_PLACEMENT_3D('',#50207,#41761,#41762); #32777=AXIS2_PLACEMENT_3D('',#50208,#41763,#41764); #32778=AXIS2_PLACEMENT_3D('',#50210,#41765,#41766); #32779=AXIS2_PLACEMENT_3D('',#50213,#41768,#41769); #32780=AXIS2_PLACEMENT_3D('',#50214,#41770,#41771); #32781=AXIS2_PLACEMENT_3D('',#50216,#41772,#41773); #32782=AXIS2_PLACEMENT_3D('',#50219,#41775,#41776); #32783=AXIS2_PLACEMENT_3D('',#50220,#41777,#41778); #32784=AXIS2_PLACEMENT_3D('',#50222,#41779,#41780); #32785=AXIS2_PLACEMENT_3D('',#50225,#41782,#41783); #32786=AXIS2_PLACEMENT_3D('',#50226,#41784,#41785); #32787=AXIS2_PLACEMENT_3D('',#50228,#41786,#41787); #32788=AXIS2_PLACEMENT_3D('',#50231,#41789,#41790); #32789=AXIS2_PLACEMENT_3D('',#50232,#41791,#41792); #32790=AXIS2_PLACEMENT_3D('',#50234,#41793,#41794); #32791=AXIS2_PLACEMENT_3D('',#50237,#41796,#41797); #32792=AXIS2_PLACEMENT_3D('',#50238,#41798,#41799); #32793=AXIS2_PLACEMENT_3D('',#50240,#41800,#41801); #32794=AXIS2_PLACEMENT_3D('',#50243,#41803,#41804); #32795=AXIS2_PLACEMENT_3D('',#50244,#41805,#41806); #32796=AXIS2_PLACEMENT_3D('',#50246,#41807,#41808); #32797=AXIS2_PLACEMENT_3D('',#50249,#41810,#41811); #32798=AXIS2_PLACEMENT_3D('',#50250,#41812,#41813); #32799=AXIS2_PLACEMENT_3D('',#50252,#41814,#41815); #32800=AXIS2_PLACEMENT_3D('',#50255,#41817,#41818); #32801=AXIS2_PLACEMENT_3D('',#50256,#41819,#41820); #32802=AXIS2_PLACEMENT_3D('',#50258,#41821,#41822); #32803=AXIS2_PLACEMENT_3D('',#50261,#41824,#41825); #32804=AXIS2_PLACEMENT_3D('',#50262,#41826,#41827); #32805=AXIS2_PLACEMENT_3D('',#50264,#41828,#41829); #32806=AXIS2_PLACEMENT_3D('',#50267,#41831,#41832); #32807=AXIS2_PLACEMENT_3D('',#50268,#41833,#41834); #32808=AXIS2_PLACEMENT_3D('',#50270,#41835,#41836); #32809=AXIS2_PLACEMENT_3D('',#50273,#41838,#41839); #32810=AXIS2_PLACEMENT_3D('',#50274,#41840,#41841); #32811=AXIS2_PLACEMENT_3D('',#50276,#41842,#41843); #32812=AXIS2_PLACEMENT_3D('',#50279,#41845,#41846); #32813=AXIS2_PLACEMENT_3D('',#50280,#41847,#41848); #32814=AXIS2_PLACEMENT_3D('',#50282,#41849,#41850); #32815=AXIS2_PLACEMENT_3D('',#50285,#41852,#41853); #32816=AXIS2_PLACEMENT_3D('',#50286,#41854,#41855); #32817=AXIS2_PLACEMENT_3D('',#50295,#41860,#41861); #32818=AXIS2_PLACEMENT_3D('',#50304,#41866,#41867); #32819=AXIS2_PLACEMENT_3D('',#50307,#41870,#41871); #32820=AXIS2_PLACEMENT_3D('',#50309,#41873,#41874); #32821=AXIS2_PLACEMENT_3D('',#50311,#41876,#41877); #32822=AXIS2_PLACEMENT_3D('',#50312,#41878,#41879); #32823=AXIS2_PLACEMENT_3D('',#50313,#41880,#41881); #32824=AXIS2_PLACEMENT_3D('',#50314,#41882,#41883); #32825=AXIS2_PLACEMENT_3D('',#50315,#41884,#41885); #32826=AXIS2_PLACEMENT_3D('',#50316,#41886,#41887); #32827=AXIS2_PLACEMENT_3D('',#50317,#41888,#41889); #32828=AXIS2_PLACEMENT_3D('',#50318,#41890,#41891); #32829=AXIS2_PLACEMENT_3D('',#50319,#41892,#41893); #32830=AXIS2_PLACEMENT_3D('',#50320,#41894,#41895); #32831=AXIS2_PLACEMENT_3D('',#50321,#41896,#41897); #32832=AXIS2_PLACEMENT_3D('',#50322,#41898,#41899); #32833=AXIS2_PLACEMENT_3D('',#50323,#41900,#41901); #32834=AXIS2_PLACEMENT_3D('',#50324,#41902,#41903); #32835=AXIS2_PLACEMENT_3D('',#50325,#41904,#41905); #32836=AXIS2_PLACEMENT_3D('',#50326,#41906,#41907); #32837=AXIS2_PLACEMENT_3D('',#50327,#41908,#41909); #32838=AXIS2_PLACEMENT_3D('',#50328,#41910,#41911); #32839=AXIS2_PLACEMENT_3D('',#50329,#41912,#41913); #32840=AXIS2_PLACEMENT_3D('',#50330,#41914,#41915); #32841=AXIS2_PLACEMENT_3D('',#50331,#41916,#41917); #32842=AXIS2_PLACEMENT_3D('',#50332,#41918,#41919); #32843=AXIS2_PLACEMENT_3D('',#50333,#41920,#41921); #32844=AXIS2_PLACEMENT_3D('',#50334,#41922,#41923); #32845=AXIS2_PLACEMENT_3D('',#50335,#41924,#41925); #32846=AXIS2_PLACEMENT_3D('',#50336,#41926,#41927); #32847=AXIS2_PLACEMENT_3D('',#50337,#41928,#41929); #32848=AXIS2_PLACEMENT_3D('',#50338,#41930,#41931); #32849=AXIS2_PLACEMENT_3D('',#50339,#41932,#41933); #32850=AXIS2_PLACEMENT_3D('',#50340,#41934,#41935); #32851=AXIS2_PLACEMENT_3D('',#50341,#41936,#41937); #32852=AXIS2_PLACEMENT_3D('',#50342,#41938,#41939); #32853=AXIS2_PLACEMENT_3D('',#50343,#41940,#41941); #32854=AXIS2_PLACEMENT_3D('',#50344,#41942,#41943); #32855=AXIS2_PLACEMENT_3D('',#50345,#41944,#41945); #32856=AXIS2_PLACEMENT_3D('',#50346,#41946,#41947); #32857=AXIS2_PLACEMENT_3D('',#50347,#41948,#41949); #32858=AXIS2_PLACEMENT_3D('',#50348,#41950,#41951); #32859=AXIS2_PLACEMENT_3D('',#50349,#41952,#41953); #32860=AXIS2_PLACEMENT_3D('',#50350,#41954,#41955); #32861=AXIS2_PLACEMENT_3D('',#50351,#41956,#41957); #32862=AXIS2_PLACEMENT_3D('',#50352,#41958,#41959); #32863=AXIS2_PLACEMENT_3D('',#50353,#41960,#41961); #32864=AXIS2_PLACEMENT_3D('',#50354,#41962,#41963); #32865=AXIS2_PLACEMENT_3D('',#50355,#41964,#41965); #32866=AXIS2_PLACEMENT_3D('',#50356,#41966,#41967); #32867=AXIS2_PLACEMENT_3D('',#50357,#41968,#41969); #32868=AXIS2_PLACEMENT_3D('',#50358,#41970,#41971); #32869=AXIS2_PLACEMENT_3D('',#50359,#41972,#41973); #32870=AXIS2_PLACEMENT_3D('',#50360,#41974,#41975); #32871=AXIS2_PLACEMENT_3D('',#50361,#41976,#41977); #32872=AXIS2_PLACEMENT_3D('',#50362,#41978,#41979); #32873=AXIS2_PLACEMENT_3D('',#50363,#41980,#41981); #32874=AXIS2_PLACEMENT_3D('',#50364,#41982,#41983); #32875=AXIS2_PLACEMENT_3D('',#50365,#41984,#41985); #32876=AXIS2_PLACEMENT_3D('',#50366,#41986,#41987); #32877=AXIS2_PLACEMENT_3D('',#50367,#41988,#41989); #32878=AXIS2_PLACEMENT_3D('',#50368,#41990,#41991); #32879=AXIS2_PLACEMENT_3D('',#50369,#41992,#41993); #32880=AXIS2_PLACEMENT_3D('',#50370,#41994,#41995); #32881=AXIS2_PLACEMENT_3D('',#50371,#41996,#41997); #32882=AXIS2_PLACEMENT_3D('',#50372,#41998,#41999); #32883=AXIS2_PLACEMENT_3D('',#50373,#42000,#42001); #32884=AXIS2_PLACEMENT_3D('',#50374,#42002,#42003); #32885=AXIS2_PLACEMENT_3D('',#50375,#42004,#42005); #32886=AXIS2_PLACEMENT_3D('',#50376,#42006,#42007); #32887=AXIS2_PLACEMENT_3D('',#50377,#42008,#42009); #32888=AXIS2_PLACEMENT_3D('',#50378,#42010,#42011); #32889=AXIS2_PLACEMENT_3D('',#50379,#42012,#42013); #32890=AXIS2_PLACEMENT_3D('',#50380,#42014,#42015); #32891=AXIS2_PLACEMENT_3D('',#50381,#42016,#42017); #32892=AXIS2_PLACEMENT_3D('',#50382,#42018,#42019); #32893=AXIS2_PLACEMENT_3D('',#50383,#42020,#42021); #32894=AXIS2_PLACEMENT_3D('',#50384,#42022,#42023); #32895=AXIS2_PLACEMENT_3D('',#50385,#42024,#42025); #32896=AXIS2_PLACEMENT_3D('',#50386,#42026,#42027); #32897=AXIS2_PLACEMENT_3D('',#50387,#42028,#42029); #32898=AXIS2_PLACEMENT_3D('',#50388,#42030,#42031); #32899=AXIS2_PLACEMENT_3D('',#50389,#42032,#42033); #32900=AXIS2_PLACEMENT_3D('',#50390,#42034,#42035); #32901=AXIS2_PLACEMENT_3D('',#50391,#42036,#42037); #32902=AXIS2_PLACEMENT_3D('',#50392,#42038,#42039); #32903=AXIS2_PLACEMENT_3D('',#50393,#42040,#42041); #32904=AXIS2_PLACEMENT_3D('',#50394,#42042,#42043); #32905=AXIS2_PLACEMENT_3D('',#50395,#42044,#42045); #32906=AXIS2_PLACEMENT_3D('',#50396,#42046,#42047); #32907=AXIS2_PLACEMENT_3D('',#50397,#42048,#42049); #32908=AXIS2_PLACEMENT_3D('',#50398,#42050,#42051); #32909=AXIS2_PLACEMENT_3D('',#50399,#42052,#42053); #32910=AXIS2_PLACEMENT_3D('',#50400,#42054,#42055); #32911=AXIS2_PLACEMENT_3D('',#50401,#42056,#42057); #32912=AXIS2_PLACEMENT_3D('',#50402,#42058,#42059); #32913=AXIS2_PLACEMENT_3D('',#50403,#42060,#42061); #32914=AXIS2_PLACEMENT_3D('',#50404,#42062,#42063); #32915=AXIS2_PLACEMENT_3D('',#50405,#42064,#42065); #32916=AXIS2_PLACEMENT_3D('',#50406,#42066,#42067); #32917=AXIS2_PLACEMENT_3D('',#50407,#42068,#42069); #32918=AXIS2_PLACEMENT_3D('',#50408,#42070,#42071); #32919=AXIS2_PLACEMENT_3D('',#50409,#42072,#42073); #32920=AXIS2_PLACEMENT_3D('',#50410,#42074,#42075); #32921=AXIS2_PLACEMENT_3D('',#50411,#42076,#42077); #32922=AXIS2_PLACEMENT_3D('',#50412,#42078,#42079); #32923=AXIS2_PLACEMENT_3D('',#50413,#42080,#42081); #32924=AXIS2_PLACEMENT_3D('',#50414,#42082,#42083); #32925=AXIS2_PLACEMENT_3D('',#50415,#42084,#42085); #32926=AXIS2_PLACEMENT_3D('',#50416,#42086,#42087); #32927=AXIS2_PLACEMENT_3D('',#50417,#42088,#42089); #32928=AXIS2_PLACEMENT_3D('',#50418,#42090,#42091); #32929=AXIS2_PLACEMENT_3D('',#50419,#42092,#42093); #32930=AXIS2_PLACEMENT_3D('',#50420,#42094,#42095); #32931=AXIS2_PLACEMENT_3D('',#50421,#42096,#42097); #32932=AXIS2_PLACEMENT_3D('',#50422,#42098,#42099); #32933=AXIS2_PLACEMENT_3D('',#50423,#42100,#42101); #32934=AXIS2_PLACEMENT_3D('',#50424,#42102,#42103); #32935=AXIS2_PLACEMENT_3D('',#50425,#42104,#42105); #32936=AXIS2_PLACEMENT_3D('',#50426,#42106,#42107); #32937=AXIS2_PLACEMENT_3D('',#50427,#42108,#42109); #32938=AXIS2_PLACEMENT_3D('',#50428,#42110,#42111); #32939=AXIS2_PLACEMENT_3D('',#50429,#42112,#42113); #32940=AXIS2_PLACEMENT_3D('',#50430,#42114,#42115); #32941=AXIS2_PLACEMENT_3D('',#50431,#42116,#42117); #32942=AXIS2_PLACEMENT_3D('',#50432,#42118,#42119); #32943=AXIS2_PLACEMENT_3D('',#50433,#42120,#42121); #32944=AXIS2_PLACEMENT_3D('',#50434,#42122,#42123); #32945=AXIS2_PLACEMENT_3D('',#50435,#42124,#42125); #32946=AXIS2_PLACEMENT_3D('',#50436,#42126,#42127); #32947=AXIS2_PLACEMENT_3D('',#50437,#42128,#42129); #32948=AXIS2_PLACEMENT_3D('',#50438,#42130,#42131); #32949=AXIS2_PLACEMENT_3D('',#50439,#42132,#42133); #32950=AXIS2_PLACEMENT_3D('',#50440,#42134,#42135); #32951=AXIS2_PLACEMENT_3D('',#50441,#42136,#42137); #32952=AXIS2_PLACEMENT_3D('',#50442,#42138,#42139); #32953=AXIS2_PLACEMENT_3D('',#50443,#42140,#42141); #32954=AXIS2_PLACEMENT_3D('',#50444,#42142,#42143); #32955=AXIS2_PLACEMENT_3D('',#50445,#42144,#42145); #32956=AXIS2_PLACEMENT_3D('',#50446,#42146,#42147); #32957=AXIS2_PLACEMENT_3D('',#50447,#42148,#42149); #32958=AXIS2_PLACEMENT_3D('',#50448,#42150,#42151); #32959=AXIS2_PLACEMENT_3D('',#50449,#42152,#42153); #32960=AXIS2_PLACEMENT_3D('',#50450,#42154,#42155); #32961=AXIS2_PLACEMENT_3D('',#50451,#42156,#42157); #32962=AXIS2_PLACEMENT_3D('',#50452,#42158,#42159); #32963=AXIS2_PLACEMENT_3D('',#50453,#42160,#42161); #32964=AXIS2_PLACEMENT_3D('',#50454,#42162,#42163); #32965=AXIS2_PLACEMENT_3D('',#50455,#42164,#42165); #32966=AXIS2_PLACEMENT_3D('',#50456,#42166,#42167); #32967=AXIS2_PLACEMENT_3D('',#50457,#42168,#42169); #32968=AXIS2_PLACEMENT_3D('',#50458,#42170,#42171); #32969=AXIS2_PLACEMENT_3D('',#50459,#42172,#42173); #32970=AXIS2_PLACEMENT_3D('',#50460,#42174,#42175); #32971=AXIS2_PLACEMENT_3D('',#50461,#42176,#42177); #32972=AXIS2_PLACEMENT_3D('',#50462,#42178,#42179); #32973=AXIS2_PLACEMENT_3D('',#50463,#42180,#42181); #32974=AXIS2_PLACEMENT_3D('',#50464,#42182,#42183); #32975=AXIS2_PLACEMENT_3D('',#50465,#42184,#42185); #32976=AXIS2_PLACEMENT_3D('',#50466,#42186,#42187); #32977=AXIS2_PLACEMENT_3D('',#50467,#42188,#42189); #32978=AXIS2_PLACEMENT_3D('',#50468,#42190,#42191); #32979=AXIS2_PLACEMENT_3D('',#50469,#42192,#42193); #32980=AXIS2_PLACEMENT_3D('',#50470,#42194,#42195); #32981=AXIS2_PLACEMENT_3D('',#50471,#42196,#42197); #32982=AXIS2_PLACEMENT_3D('',#50472,#42198,#42199); #32983=AXIS2_PLACEMENT_3D('',#50473,#42200,#42201); #32984=AXIS2_PLACEMENT_3D('',#50474,#42202,#42203); #32985=AXIS2_PLACEMENT_3D('',#50475,#42204,#42205); #32986=AXIS2_PLACEMENT_3D('',#50476,#42206,#42207); #32987=AXIS2_PLACEMENT_3D('',#50477,#42208,#42209); #32988=AXIS2_PLACEMENT_3D('',#50478,#42210,#42211); #32989=AXIS2_PLACEMENT_3D('',#50479,#42212,#42213); #32990=AXIS2_PLACEMENT_3D('',#50480,#42214,#42215); #32991=AXIS2_PLACEMENT_3D('',#50481,#42216,#42217); #32992=AXIS2_PLACEMENT_3D('',#50482,#42218,#42219); #32993=AXIS2_PLACEMENT_3D('',#50483,#42220,#42221); #32994=AXIS2_PLACEMENT_3D('',#50484,#42222,#42223); #32995=AXIS2_PLACEMENT_3D('',#50485,#42224,#42225); #32996=AXIS2_PLACEMENT_3D('',#50486,#42226,#42227); #32997=AXIS2_PLACEMENT_3D('',#50487,#42228,#42229); #32998=AXIS2_PLACEMENT_3D('',#50488,#42230,#42231); #32999=AXIS2_PLACEMENT_3D('',#50489,#42232,#42233); #33000=AXIS2_PLACEMENT_3D('',#50490,#42234,#42235); #33001=AXIS2_PLACEMENT_3D('',#50491,#42236,#42237); #33002=AXIS2_PLACEMENT_3D('',#50492,#42238,#42239); #33003=AXIS2_PLACEMENT_3D('',#50493,#42240,#42241); #33004=AXIS2_PLACEMENT_3D('',#50494,#42242,#42243); #33005=AXIS2_PLACEMENT_3D('',#50495,#42244,#42245); #33006=AXIS2_PLACEMENT_3D('',#50496,#42246,#42247); #33007=AXIS2_PLACEMENT_3D('',#50497,#42248,#42249); #33008=AXIS2_PLACEMENT_3D('',#50498,#42250,#42251); #33009=AXIS2_PLACEMENT_3D('',#50499,#42252,#42253); #33010=AXIS2_PLACEMENT_3D('',#50500,#42254,#42255); #33011=AXIS2_PLACEMENT_3D('',#50501,#42256,#42257); #33012=AXIS2_PLACEMENT_3D('',#50502,#42258,#42259); #33013=AXIS2_PLACEMENT_3D('',#50503,#42260,#42261); #33014=AXIS2_PLACEMENT_3D('',#50504,#42262,#42263); #33015=AXIS2_PLACEMENT_3D('',#50505,#42264,#42265); #33016=AXIS2_PLACEMENT_3D('',#50506,#42266,#42267); #33017=AXIS2_PLACEMENT_3D('',#50507,#42268,#42269); #33018=AXIS2_PLACEMENT_3D('',#50508,#42270,#42271); #33019=AXIS2_PLACEMENT_3D('',#50509,#42272,#42273); #33020=AXIS2_PLACEMENT_3D('',#50510,#42274,#42275); #33021=AXIS2_PLACEMENT_3D('',#50511,#42276,#42277); #33022=AXIS2_PLACEMENT_3D('',#50512,#42278,#42279); #33023=AXIS2_PLACEMENT_3D('',#50513,#42280,#42281); #33024=AXIS2_PLACEMENT_3D('',#50514,#42282,#42283); #33025=DIRECTION('axis',(0.,0.,1.)); #33026=DIRECTION('refdir',(1.,0.,0.)); #33027=DIRECTION('axis',(0.,0.,1.)); #33028=DIRECTION('refdir',(1.,0.,0.)); #33029=DIRECTION('center_axis',(0.,1.,0.)); #33030=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186549)); #33031=DIRECTION('',(0.,1.,0.)); #33032=DIRECTION('center_axis',(0.,1.,0.)); #33033=DIRECTION('ref_axis',(-1.,0.,0.)); #33034=DIRECTION('',(0.,-1.,0.)); #33035=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544,0.)); #33036=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #33037=DIRECTION('center_axis',(0.,0.,1.)); #33038=DIRECTION('ref_axis',(1.,0.,0.)); #33039=DIRECTION('center_axis',(0.999539615299856,-0.0303406896133867,0.)); #33040=DIRECTION('ref_axis',(0.0303406896133867,0.999539615299856,0.)); #33041=DIRECTION('center_axis',(0.,0.,1.)); #33042=DIRECTION('ref_axis',(-0.696297102674789,0.71775367975838,0.)); #33043=DIRECTION('center_axis',(0.,0.,-1.)); #33044=DIRECTION('ref_axis',(-0.696297102674789,0.71775367975838,0.)); #33045=DIRECTION('center_axis',(0.,0.,-1.)); #33046=DIRECTION('ref_axis',(-1.,0.,0.)); #33047=DIRECTION('center_axis',(0.89116529751081,-0.453678754751057,0.)); #33048=DIRECTION('ref_axis',(0.453678754751057,0.89116529751081,0.)); #33049=DIRECTION('center_axis',(0.,0.,1.)); #33050=DIRECTION('ref_axis',(0.248001923016298,0.968759539917011,0.)); #33051=DIRECTION('center_axis',(0.,0.,-1.)); #33052=DIRECTION('ref_axis',(0.248001923016298,0.968759539917011,0.)); #33053=DIRECTION('center_axis',(0.,0.,1.)); #33054=DIRECTION('ref_axis',(1.,0.,0.)); #33055=DIRECTION('center_axis',(0.119046952301543,-0.99288862575201,0.)); #33056=DIRECTION('ref_axis',(0.99288862575201,0.119046952301543,0.)); #33057=DIRECTION('center_axis',(0.,0.,1.)); #33058=DIRECTION('ref_axis',(0.819866441157379,0.572554817169443,0.)); #33059=DIRECTION('center_axis',(0.,0.,-1.)); #33060=DIRECTION('ref_axis',(0.819866441157379,0.572554817169443,0.)); #33061=DIRECTION('center_axis',(0.119046952301552,-0.992888625752009,0.)); #33062=DIRECTION('ref_axis',(0.702078280232244,0.0841789072520113,0.707106781186542)); #33063=DIRECTION('center_axis',(0.119046952301554,-0.992888625752008,0.)); #33064=DIRECTION('ref_axis',(0.992888625752008,0.119046952301554,0.)); #33065=DIRECTION('',(-0.119046952301552,0.992888625752009,0.)); #33066=DIRECTION('',(0.119046952301552,-0.992888625752009,0.)); #33067=DIRECTION('center_axis',(0.,0.,1.)); #33068=DIRECTION('ref_axis',(1.,0.,0.)); #33069=DIRECTION('center_axis',(-1.,0.,0.)); #33070=DIRECTION('ref_axis',(0.,-1.,0.)); #33071=DIRECTION('center_axis',(0.,0.,1.)); #33072=DIRECTION('ref_axis',(0.748013018704073,-0.663684054237572,0.)); #33073=DIRECTION('center_axis',(0.,0.,-1.)); #33074=DIRECTION('ref_axis',(0.748013018704073,-0.663684054237572,0.)); #33075=DIRECTION('center_axis',(-1.,0.,0.)); #33076=DIRECTION('ref_axis',(0.,-0.70710678118655,0.707106781186545)); #33077=DIRECTION('center_axis',(-1.,-2.79741234551221E-15,0.)); #33078=DIRECTION('ref_axis',(2.79741234551221E-15,-1.,0.)); #33079=DIRECTION('',(1.,0.,0.)); #33080=DIRECTION('',(-1.,0.,0.)); #33081=DIRECTION('center_axis',(0.,0.,1.)); #33082=DIRECTION('ref_axis',(1.,0.,0.)); #33083=DIRECTION('center_axis',(-0.341624922395361,0.939836375332623,0.)); #33084=DIRECTION('ref_axis',(-0.939836375332623,-0.341624922395361,0.)); #33085=DIRECTION('center_axis',(0.,0.,1.)); #33086=DIRECTION('ref_axis',(-0.573748672157349,-0.819031416490038,0.)); #33087=DIRECTION('center_axis',(0.,0.,-1.)); #33088=DIRECTION('ref_axis',(-0.573748672157349,-0.819031416490038,0.)); #33089=DIRECTION('center_axis',(-0.341624922395356,0.939836375332625,0.)); #33090=DIRECTION('ref_axis',(-0.664564674203487,-0.24156529924808,0.707106781186546)); #33091=DIRECTION('center_axis',(-0.341624922395369,0.93983637533262,0.)); #33092=DIRECTION('ref_axis',(-0.93983637533262,-0.341624922395369,0.)); #33093=DIRECTION('',(0.341624922395356,-0.939836375332625,0.)); #33094=DIRECTION('',(-0.341624922395356,0.939836375332625,0.)); #33095=DIRECTION('center_axis',(0.,0.,1.)); #33096=DIRECTION('ref_axis',(1.,0.,0.)); #33097=DIRECTION('center_axis',(2.23792987640976E-14,1.,0.)); #33098=DIRECTION('ref_axis',(-1.,2.23792987640976E-14,0.)); #33099=DIRECTION('center_axis',(0.,0.,1.)); #33100=DIRECTION('ref_axis',(-0.984844245384169,-0.173441091825685,0.)); #33101=DIRECTION('center_axis',(0.,0.,-1.)); #33102=DIRECTION('ref_axis',(-0.98484424538417,-0.173441091825685,0.)); #33103=DIRECTION('center_axis',(1.04358631653701E-14,1.,0.)); #33104=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #33105=DIRECTION('center_axis',(0.,1.,0.)); #33106=DIRECTION('ref_axis',(-1.,0.,0.)); #33107=DIRECTION('',(-1.04358631653701E-14,-1.,0.)); #33108=DIRECTION('',(1.04358631653701E-14,1.,0.)); #33109=DIRECTION('center_axis',(0.,0.,1.)); #33110=DIRECTION('ref_axis',(1.,0.,0.)); #33111=DIRECTION('center_axis',(1.,0.,0.)); #33112=DIRECTION('ref_axis',(0.,1.,0.)); #33113=DIRECTION('center_axis',(0.,0.,1.)); #33114=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #33115=DIRECTION('center_axis',(0.,0.,-1.)); #33116=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #33117=DIRECTION('center_axis',(1.,0.,0.)); #33118=DIRECTION('ref_axis',(0.,0.707106781186558,0.707106781186537)); #33119=DIRECTION('',(-1.,0.,0.)); #33120=DIRECTION('',(1.,0.,0.)); #33121=DIRECTION('center_axis',(0.,1.,0.)); #33122=DIRECTION('ref_axis',(-1.,0.,0.)); #33123=DIRECTION('',(0.,0.,-1.)); #33124=DIRECTION('',(-1.,0.,0.)); #33125=DIRECTION('',(0.,0.,1.)); #33126=DIRECTION('center_axis',(0.,0.,-1.)); #33127=DIRECTION('ref_axis',(0.748013018704073,-0.663684054237572,0.)); #33128=DIRECTION('',(0.,0.,1.)); #33129=DIRECTION('center_axis',(0.,0.,1.)); #33130=DIRECTION('ref_axis',(0.748013018704073,-0.663684054237572,0.)); #33131=DIRECTION('center_axis',(-0.992888625752009,-0.119046952301552,0.)); #33132=DIRECTION('ref_axis',(0.119046952301552,-0.992888625752009,0.)); #33133=DIRECTION('',(0.,0.,-1.)); #33134=DIRECTION('',(0.119046952301552,-0.992888625752009,0.)); #33135=DIRECTION('center_axis',(0.,0.,-1.)); #33136=DIRECTION('ref_axis',(0.819866441157378,0.572554817169444,0.)); #33137=DIRECTION('',(0.,0.,1.)); #33138=DIRECTION('center_axis',(0.,0.,1.)); #33139=DIRECTION('ref_axis',(0.819866441157378,0.572554817169444,0.)); #33140=DIRECTION('center_axis',(0.,0.,-1.)); #33141=DIRECTION('ref_axis',(0.457301775043101,0.889311580123878,0.)); #33142=DIRECTION('',(0.,0.,-1.)); #33143=DIRECTION('center_axis',(0.,0.,-1.)); #33144=DIRECTION('ref_axis',(0.457301775043101,0.889311580123878,0.)); #33145=DIRECTION('center_axis',(0.,0.,-1.)); #33146=DIRECTION('ref_axis',(-0.696297102674788,0.71775367975838,0.)); #33147=DIRECTION('',(0.,0.,1.)); #33148=DIRECTION('center_axis',(0.,0.,1.)); #33149=DIRECTION('ref_axis',(-0.696297102674788,0.71775367975838,0.)); #33150=DIRECTION('center_axis',(1.,0.,0.)); #33151=DIRECTION('ref_axis',(0.,1.,0.)); #33152=DIRECTION('',(0.,0.,1.)); #33153=DIRECTION('',(0.,1.,0.)); #33154=DIRECTION('center_axis',(0.,0.,-1.)); #33155=DIRECTION('ref_axis',(1.,0.,0.)); #33156=DIRECTION('center_axis',(0.,1.,0.)); #33157=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33158=DIRECTION('center_axis',(0.,1.,0.)); #33159=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33160=DIRECTION('',(0.857167300702112,-0.515038074910054,5.76904035487112E-15)); #33161=DIRECTION('center_axis',(0.,1.,0.)); #33162=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33163=DIRECTION('center_axis',(0.,-1.,0.)); #33164=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33165=DIRECTION('',(0.,-1.,0.)); #33166=DIRECTION('center_axis',(0.,1.,0.)); #33167=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33168=DIRECTION('center_axis',(0.,1.,0.)); #33169=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33170=DIRECTION('',(0.857167300702112,-0.515038074910054,5.76904035487112E-15)); #33171=DIRECTION('center_axis',(0.,1.,0.)); #33172=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33173=DIRECTION('center_axis',(0.,-1.,0.)); #33174=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33175=DIRECTION('',(0.,-1.,0.)); #33176=DIRECTION('center_axis',(0.,1.,0.)); #33177=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33178=DIRECTION('center_axis',(0.,1.,0.)); #33179=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33180=DIRECTION('',(0.857167300702112,-0.515038074910054,5.76904035487112E-15)); #33181=DIRECTION('center_axis',(0.,1.,0.)); #33182=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33183=DIRECTION('center_axis',(0.,-1.,0.)); #33184=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33185=DIRECTION('',(0.,-1.,0.)); #33186=DIRECTION('center_axis',(0.,1.,0.)); #33187=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33188=DIRECTION('center_axis',(0.,1.,0.)); #33189=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33190=DIRECTION('',(0.857167300702112,-0.515038074910054,5.76904035487112E-15)); #33191=DIRECTION('center_axis',(0.,1.,0.)); #33192=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33193=DIRECTION('center_axis',(0.,-1.,0.)); #33194=DIRECTION('ref_axis',(1.,0.,6.85281982779037E-15)); #33195=DIRECTION('',(0.,-1.,0.)); #33196=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33197=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33198=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33199=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33200=DIRECTION('',(-0.420278042471229,-0.0503911705480257,-0.905995086602213)); #33201=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33202=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33203=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33204=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33205=DIRECTION('',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33206=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33207=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33208=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33209=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33210=DIRECTION('',(-0.420278042471229,-0.0503911705480257,-0.905995086602213)); #33211=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33212=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33213=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33214=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33215=DIRECTION('',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33216=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33217=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33218=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33219=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33220=DIRECTION('',(-0.420278042471229,-0.0503911705480257,-0.905995086602213)); #33221=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33222=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33223=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33224=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33225=DIRECTION('',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33226=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33227=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33228=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33229=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33230=DIRECTION('',(-0.420278042471229,-0.0503911705480257,-0.905995086602213)); #33231=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33232=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33233=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33234=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33235=DIRECTION('',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33236=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33237=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33238=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33239=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33240=DIRECTION('',(-0.420278042471229,-0.0503911705480257,-0.905995086602213)); #33241=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33242=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33243=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33244=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33245=DIRECTION('',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33246=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33247=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33248=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33249=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33250=DIRECTION('',(-0.420278042471229,-0.0503911705480257,-0.905995086602213)); #33251=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33252=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33253=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33254=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33255=DIRECTION('',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33256=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33257=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33258=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33259=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33260=DIRECTION('',(-0.420278042471229,-0.0503911705480257,-0.905995086602213)); #33261=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33262=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33263=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33264=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33265=DIRECTION('',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33266=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33267=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33268=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33269=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33270=DIRECTION('',(-0.420278042471229,-0.0503911705480257,-0.905995086602213)); #33271=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33272=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33273=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33274=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33275=DIRECTION('',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33276=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33277=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33278=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33279=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33280=DIRECTION('',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33281=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33282=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33283=DIRECTION('center_axis',(0.987525939157434,0.118403968306501,0.103793158640161)); #33284=DIRECTION('ref_axis',(0.10305504664469,0.0123562592058633,-0.994598904191785)); #33285=DIRECTION('',(-0.420278042471229,-0.0503911705480257,-0.905995086602213)); #33286=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33287=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33288=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33289=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33290=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33291=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33292=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33293=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33294=DIRECTION('',(0.422618261740724,-9.52415980612787E-9,0.906307787036638)); #33295=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33296=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33297=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33298=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33299=DIRECTION('',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33300=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33301=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33302=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33303=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33304=DIRECTION('',(0.422618261740724,-9.52415980612787E-9,0.906307787036638)); #33305=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33306=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33307=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33308=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33309=DIRECTION('',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33310=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33311=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33312=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33313=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33314=DIRECTION('',(0.422618261740724,-9.52415980612787E-9,0.906307787036638)); #33315=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33316=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33317=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33318=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33319=DIRECTION('',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33320=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33321=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33322=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33323=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33324=DIRECTION('',(0.422618261740724,-9.52415980612787E-9,0.906307787036638)); #33325=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33326=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33327=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33328=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33329=DIRECTION('',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33330=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33331=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33332=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33333=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33334=DIRECTION('',(0.422618261740724,-9.52415980612787E-9,0.906307787036638)); #33335=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33336=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33337=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33338=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33339=DIRECTION('',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33340=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33341=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33342=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33343=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33344=DIRECTION('',(0.422618261740724,-9.52415980612787E-9,0.906307787036638)); #33345=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33346=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33347=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33348=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33349=DIRECTION('',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33350=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33351=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33352=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33353=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33354=DIRECTION('',(0.422618261740724,-9.52415980612787E-9,0.906307787036638)); #33355=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33356=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33357=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33358=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33359=DIRECTION('',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33360=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33361=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33362=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33363=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33364=DIRECTION('',(0.422618261740724,-9.52415980612787E-9,0.906307787036638)); #33365=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33366=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33367=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33368=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33369=DIRECTION('',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33370=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33371=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33372=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33373=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33374=DIRECTION('',(0.422618261740724,-9.52415980612787E-9,0.906307787036638)); #33375=DIRECTION('center_axis',(-0.994521895368276,2.2412629254662E-8,-0.104528463267626)); #33376=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33377=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33378=DIRECTION('ref_axis',(-0.104528463267626,2.3556632552821E-9,0.994521895368276)); #33379=DIRECTION('',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33380=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33381=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33382=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33383=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33384=DIRECTION('',(0.989990242112065,-0.137025801446665,0.0338119839819236)); #33385=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33386=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33387=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #33388=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33389=DIRECTION('',(0.625601024887399,0.777376036985208,0.065649484240225)); #33390=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33391=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33392=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33393=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33394=DIRECTION('',(0.989990242112065,-0.137025801446665,0.0338119839819236)); #33395=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33396=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33397=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #33398=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33399=DIRECTION('',(0.625601024887399,0.777376036985208,0.065649484240225)); #33400=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33401=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33402=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33403=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33404=DIRECTION('',(0.989990242112065,-0.137025801446665,0.0338119839819236)); #33405=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33406=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33407=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #33408=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33409=DIRECTION('',(0.625601024887399,0.777376036985208,0.065649484240225)); #33410=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33411=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33412=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33413=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33414=DIRECTION('',(0.989990242112065,-0.137025801446665,0.0338119839819236)); #33415=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33416=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33417=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #33418=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33419=DIRECTION('',(0.625601024887399,0.777376036985208,0.065649484240225)); #33420=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33421=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33422=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33423=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33424=DIRECTION('',(0.989990242112065,-0.137025801446665,0.0338119839819236)); #33425=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33426=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33427=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #33428=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33429=DIRECTION('',(0.625601024887399,0.777376036985208,0.065649484240225)); #33430=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33431=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33432=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33433=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33434=DIRECTION('',(0.989990242112065,-0.137025801446665,0.0338119839819236)); #33435=DIRECTION('center_axis',(-0.625601024887399,-0.777376036985209,-0.065649484240225)); #33436=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33437=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #33438=DIRECTION('ref_axis',(0.779056660287107,-0.626953523048,2.55099491353924E-17)); #33439=DIRECTION('',(0.625601024887399,0.777376036985208,0.065649484240225)); #33440=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33441=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33442=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33443=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33444=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33445=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33446=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33447=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33448=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33449=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33450=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33451=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33452=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33453=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33454=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33455=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33456=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33457=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33458=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33459=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33460=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33461=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33462=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33463=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33464=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33465=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33466=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33467=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33468=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33469=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33470=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33471=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33472=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33473=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33474=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33475=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33476=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33477=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33478=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33479=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33480=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33481=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33482=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33483=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33484=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33485=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33486=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33487=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33488=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33489=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33490=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33491=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33492=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33493=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33494=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33495=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33496=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33497=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33498=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33499=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33500=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33501=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33502=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33503=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33504=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33505=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33506=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33507=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33508=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33509=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33510=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33511=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33512=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33513=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33514=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33515=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33516=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33517=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33518=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33519=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33520=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33521=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33522=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33523=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33524=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33525=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33526=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33527=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33528=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33529=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33530=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33531=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33532=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33533=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33534=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33535=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33536=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33537=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33538=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33539=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33540=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33541=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33542=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33543=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33544=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33545=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33546=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33547=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33548=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33549=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33550=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33551=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33552=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33553=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33554=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33555=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33556=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33557=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33558=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33559=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33560=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33561=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33562=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33563=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33564=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33565=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33566=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33567=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33568=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33569=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33570=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33571=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33572=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33573=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33574=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33575=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33576=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33577=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33578=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33579=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33580=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33581=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33582=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33583=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33584=DIRECTION('',(0.857167300702113,0.515038074910054,1.04972719113862E-16)); #33585=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33586=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33587=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33588=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33589=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33590=DIRECTION('center_axis',(-2.90505553734428E-16,-1.,0.)); #33591=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33592=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33593=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33594=DIRECTION('',(2.90505553734428E-16,1.,0.)); #33595=DIRECTION('center_axis',(0.994521895368276,-2.2412629254662E-8,0.104528463267626)); #33596=DIRECTION('ref_axis',(0.104528463267626,0.,-0.994521895368276)); #33597=DIRECTION('',(0.104528462342429,-0.000132836935918586,-0.994521886594094)); #33598=DIRECTION('',(-7.35390746286746E-16,-0.999999999999977,-2.14416512236632E-7)); #33599=DIRECTION('',(-0.104528463267626,0.,0.994521895368276)); #33600=DIRECTION('',(2.25360842823501E-8,1.,0.)); #33601=DIRECTION('center_axis',(0.,-1.,0.)); #33602=DIRECTION('ref_axis',(-1.,0.,0.)); #33603=DIRECTION('',(0.,0.,-1.)); #33604=DIRECTION('',(1.,0.,0.)); #33605=DIRECTION('',(0.,0.,-1.)); #33606=DIRECTION('',(-1.,0.,0.)); #33607=DIRECTION('center_axis',(1.,-7.35390746286763E-16,0.)); #33608=DIRECTION('ref_axis',(-7.35390746286763E-16,-1.,0.)); #33609=DIRECTION('',(-6.18835431547175E-17,-0.0841505600487747,0.99645305119884)); #33610=DIRECTION('',(7.35390746286763E-16,1.,0.)); #33611=DIRECTION('center_axis',(-1.,0.,0.)); #33612=DIRECTION('ref_axis',(0.,0.,1.)); #33613=DIRECTION('',(-7.8171058467774E-25,-1.,-4.73628135835843E-12)); #33614=DIRECTION('',(-4.32848844392352E-29,1.,-2.62257407391719E-16)); #33615=DIRECTION('',(-1.65047328385211E-13,8.95171950572339E-14,-1.)); #33616=DIRECTION('center_axis',(0.625601024887399,0.777376036985209,0.065649484240225)); #33617=DIRECTION('ref_axis',(0.104365198493865,0.,-0.994539041638555)); #33618=DIRECTION('',(-0.779056660287107,0.626953523048,0.)); #33619=DIRECTION('',(0.104365198493865,-3.03186697790638E-17,-0.994539041638555)); #33620=DIRECTION('',(0.779056660287107,-0.626953523048,0.)); #33621=DIRECTION('center_axis',(0.,0.,-1.)); #33622=DIRECTION('ref_axis',(1.,0.,0.)); #33623=DIRECTION('',(-1.,2.90505553734428E-16,0.)); #33624=DIRECTION('',(-0.119046952301558,0.992888625752008,0.)); #33625=DIRECTION('center_axis',(0.,0.,1.)); #33626=DIRECTION('ref_axis',(1.,-3.96502697574155E-15,0.)); #33627=DIRECTION('',(1.,-8.74496630061031E-13,0.)); #33628=DIRECTION('center_axis',(-0.987525939157434,-0.118403968306501,-0.103793158640161)); #33629=DIRECTION('ref_axis',(-0.104528463267653,0.,0.994521895368273)); #33630=DIRECTION('',(-0.104528463267653,3.03660991025785E-17,0.994521895368273)); #33631=DIRECTION('',(0.119046952301551,-0.992888625752009,0.)); #33632=DIRECTION('',(0.104528463267491,1.32473801155562E-15,-0.99452189536829)); #33633=DIRECTION('center_axis',(2.90505553734428E-16,1.,0.)); #33634=DIRECTION('ref_axis',(1.,-2.90505553734428E-16,0.)); #33635=DIRECTION('',(1.,-2.90505553734428E-16,0.)); #33636=DIRECTION('center_axis',(0.,0.,1.)); #33637=DIRECTION('ref_axis',(1.,0.,0.)); #33638=DIRECTION('',(-1.,8.74496630061031E-13,0.)); #33639=DIRECTION('center_axis',(0.,0.,1.)); #33640=DIRECTION('ref_axis',(-1.,3.95308463371775E-15,0.)); #33641=DIRECTION('',(1.,0.,0.)); #33642=DIRECTION('center_axis',(0.,0.,1.)); #33643=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #33644=DIRECTION('',(1.04358631653701E-14,1.,0.)); #33645=DIRECTION('center_axis',(0.,0.,1.)); #33646=DIRECTION('ref_axis',(-0.984844245384169,-0.173441091825688,0.)); #33647=DIRECTION('',(-0.341624922395356,0.939836375332625,0.)); #33648=DIRECTION('center_axis',(0.,0.,1.)); #33649=DIRECTION('ref_axis',(-0.573748672157349,-0.819031416490039,0.)); #33650=DIRECTION('center_axis',(8.74496630061031E-13,1.,9.32453345837031E-14)); #33651=DIRECTION('ref_axis',(-0.99452189536829,8.79452837552377E-13,-0.104528463267491)); #33652=DIRECTION('',(-0.104528463267491,-1.32473801155562E-15,0.99452189536829)); #33653=DIRECTION('center_axis',(-0.104528463267491,-1.32473801155562E-15, 0.99452189536829)); #33654=DIRECTION('ref_axis',(3.95308463371775E-15,1.,1.74752097623841E-15)); #33655=DIRECTION('center_axis',(0.,0.,-1.)); #33656=DIRECTION('ref_axis',(-0.573748672157349,-0.819031416490039,0.)); #33657=DIRECTION('',(0.,0.,-1.)); #33658=DIRECTION('center_axis',(0.,0.,-1.)); #33659=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #33660=DIRECTION('',(0.,0.,1.)); #33661=DIRECTION('',(0.,0.,-1.)); #33662=DIRECTION('center_axis',(1.,-1.04358631653701E-14,0.)); #33663=DIRECTION('ref_axis',(1.04358631653701E-14,1.,0.)); #33664=DIRECTION('',(0.,0.,-1.)); #33665=DIRECTION('center_axis',(0.,0.,-1.)); #33666=DIRECTION('ref_axis',(-0.984844245384169,-0.173441091825688,0.)); #33667=DIRECTION('',(0.,0.,1.)); #33668=DIRECTION('center_axis',(0.939836375332625,0.341624922395356,0.)); #33669=DIRECTION('ref_axis',(-0.341624922395356,0.939836375332625,0.)); #33670=DIRECTION('center_axis',(0.,-1.,0.)); #33671=DIRECTION('ref_axis',(1.,0.,0.)); #33672=DIRECTION('axis',(0.,0.,1.)); #33673=DIRECTION('refdir',(1.,0.,0.)); #33674=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33675=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33676=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33677=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33678=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33679=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33680=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33681=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33682=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33683=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33684=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33685=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33686=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33687=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33688=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33689=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33690=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33691=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33692=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33693=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33694=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33695=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33696=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33697=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33698=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33699=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33700=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33701=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33702=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33703=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33704=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33705=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33706=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33707=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33708=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33709=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33710=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33711=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33712=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33713=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33714=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33715=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33716=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33717=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33718=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33719=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33720=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33721=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33722=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33723=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33724=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33725=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33726=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33727=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33728=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33729=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33730=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33731=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33732=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33733=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33734=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33735=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33736=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33737=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33738=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33739=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33740=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33741=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33742=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33743=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33744=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33745=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33746=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33747=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33748=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33749=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33750=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33751=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33752=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33753=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33754=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33755=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33756=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33757=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33758=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33759=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33760=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33761=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33762=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33763=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33764=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33765=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33766=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33767=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33768=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33769=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33770=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33771=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33772=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33773=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33774=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33775=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33776=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33777=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33778=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33779=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33780=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33781=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33782=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33783=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33784=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33785=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33786=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33787=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33788=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #33789=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #33790=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33791=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #33792=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #33793=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #33794=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33795=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33796=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #33797=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33798=DIRECTION('',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #33799=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33800=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33801=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33802=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33803=DIRECTION('center_axis',(0.,-1.,0.)); #33804=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33805=DIRECTION('center_axis',(0.,-1.,0.)); #33806=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33807=DIRECTION('',(0.857167300702112,0.515038074910054,-1.41368200240933E-13)); #33808=DIRECTION('center_axis',(0.,-1.,0.)); #33809=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33810=DIRECTION('center_axis',(0.,1.,0.)); #33811=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33812=DIRECTION('',(0.,1.,0.)); #33813=DIRECTION('center_axis',(0.,-1.,0.)); #33814=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33815=DIRECTION('center_axis',(0.,-1.,0.)); #33816=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33817=DIRECTION('',(0.857167300702112,0.515038074910054,-1.41368200240933E-13)); #33818=DIRECTION('center_axis',(0.,-1.,0.)); #33819=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33820=DIRECTION('center_axis',(0.,1.,0.)); #33821=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33822=DIRECTION('',(0.,1.,0.)); #33823=DIRECTION('center_axis',(0.,-1.,0.)); #33824=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33825=DIRECTION('center_axis',(0.,-1.,0.)); #33826=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33827=DIRECTION('',(0.857167300702112,0.515038074910054,-1.41368200240933E-13)); #33828=DIRECTION('center_axis',(0.,-1.,0.)); #33829=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33830=DIRECTION('center_axis',(0.,1.,0.)); #33831=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33832=DIRECTION('',(0.,1.,0.)); #33833=DIRECTION('center_axis',(0.,-1.,0.)); #33834=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33835=DIRECTION('center_axis',(0.,-1.,0.)); #33836=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33837=DIRECTION('',(0.857167300702112,0.515038074910054,-1.41368200240933E-13)); #33838=DIRECTION('center_axis',(0.,-1.,0.)); #33839=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33840=DIRECTION('center_axis',(0.,1.,0.)); #33841=DIRECTION('ref_axis',(1.,0.,-1.65047328385211E-13)); #33842=DIRECTION('',(0.,1.,0.)); #33843=DIRECTION('center_axis',(0.,-1.,0.)); #33844=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #33845=DIRECTION('',(0.,-1.,0.)); #33846=DIRECTION('center_axis',(0.,-1.,0.)); #33847=DIRECTION('ref_axis',(0.,0.,1.)); #33848=DIRECTION('',(0.,1.,0.)); #33849=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #33850=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #33851=DIRECTION('center_axis',(0.,0.,-1.)); #33852=DIRECTION('ref_axis',(-1.,0.,0.)); #33853=DIRECTION('center_axis',(0.999539615299856,0.0303406896133867,0.)); #33854=DIRECTION('ref_axis',(0.,0.,1.)); #33855=DIRECTION('center_axis',(0.,0.,-1.)); #33856=DIRECTION('ref_axis',(-0.696297102674789,-0.71775367975838,0.)); #33857=DIRECTION('center_axis',(0.,0.,1.)); #33858=DIRECTION('ref_axis',(-0.696297102674789,-0.71775367975838,0.)); #33859=DIRECTION('center_axis',(0.,0.,1.)); #33860=DIRECTION('ref_axis',(1.,0.,0.)); #33861=DIRECTION('center_axis',(0.89116529751081,0.453678754751057,0.)); #33862=DIRECTION('ref_axis',(0.,0.,1.)); #33863=DIRECTION('center_axis',(0.,0.,-1.)); #33864=DIRECTION('ref_axis',(0.248001923016298,-0.968759539917011,0.)); #33865=DIRECTION('center_axis',(0.,0.,1.)); #33866=DIRECTION('ref_axis',(0.248001923016298,-0.968759539917011,0.)); #33867=DIRECTION('center_axis',(0.,0.,-1.)); #33868=DIRECTION('ref_axis',(-1.,0.,0.)); #33869=DIRECTION('center_axis',(0.119046952301543,0.99288862575201,0.)); #33870=DIRECTION('ref_axis',(0.,0.,1.)); #33871=DIRECTION('center_axis',(0.,0.,-1.)); #33872=DIRECTION('ref_axis',(0.819866441157379,-0.572554817169443,0.)); #33873=DIRECTION('center_axis',(0.,0.,1.)); #33874=DIRECTION('ref_axis',(0.819866441157379,-0.572554817169443,0.)); #33875=DIRECTION('center_axis',(0.119046952301552,0.992888625752009,0.)); #33876=DIRECTION('ref_axis',(0.702078280232244,-0.0841789072520113,0.707106781186542)); #33877=DIRECTION('center_axis',(0.119046952301554,0.992888625752008,0.)); #33878=DIRECTION('ref_axis',(0.,0.,1.)); #33879=DIRECTION('',(-0.119046952301552,-0.992888625752009,0.)); #33880=DIRECTION('',(0.119046952301552,0.992888625752009,0.)); #33881=DIRECTION('center_axis',(0.,0.,-1.)); #33882=DIRECTION('ref_axis',(0.74801301870407,0.663684054237576,0.)); #33883=DIRECTION('center_axis',(-1.,0.,0.)); #33884=DIRECTION('ref_axis',(0.,0.,1.)); #33885=DIRECTION('center_axis',(0.,0.,-1.)); #33886=DIRECTION('ref_axis',(0.748013018704073,0.663684054237572,0.)); #33887=DIRECTION('center_axis',(0.,0.,1.)); #33888=DIRECTION('ref_axis',(0.748013018704073,0.663684054237572,0.)); #33889=DIRECTION('center_axis',(-1.,0.,0.)); #33890=DIRECTION('ref_axis',(0.,0.707106781186542,0.707106781186553)); #33891=DIRECTION('center_axis',(-1.,2.79741234551225E-15,0.)); #33892=DIRECTION('ref_axis',(0.,0.,1.)); #33893=DIRECTION('',(1.,0.,0.)); #33894=DIRECTION('',(-1.,0.,0.)); #33895=DIRECTION('center_axis',(0.,0.,-1.)); #33896=DIRECTION('ref_axis',(-1.,0.,0.)); #33897=DIRECTION('center_axis',(-0.341624922395361,-0.939836375332623,0.)); #33898=DIRECTION('ref_axis',(0.,0.,1.)); #33899=DIRECTION('center_axis',(0.,0.,-1.)); #33900=DIRECTION('ref_axis',(-0.573748672157349,0.819031416490038,0.)); #33901=DIRECTION('center_axis',(0.,0.,1.)); #33902=DIRECTION('ref_axis',(-0.573748672157349,0.819031416490038,0.)); #33903=DIRECTION('center_axis',(-0.341624922395356,-0.939836375332625,0.)); #33904=DIRECTION('ref_axis',(-0.664564674203487,0.24156529924808,0.707106781186546)); #33905=DIRECTION('center_axis',(-0.341624922395369,-0.93983637533262,0.)); #33906=DIRECTION('ref_axis',(0.,0.,1.)); #33907=DIRECTION('',(0.341624922395356,0.939836375332625,0.)); #33908=DIRECTION('',(-0.341624922395356,-0.939836375332625,0.)); #33909=DIRECTION('center_axis',(0.,0.,-1.)); #33910=DIRECTION('ref_axis',(-1.,0.,0.)); #33911=DIRECTION('center_axis',(2.23792987640976E-14,-1.,0.)); #33912=DIRECTION('ref_axis',(0.,0.,1.)); #33913=DIRECTION('center_axis',(0.,0.,-1.)); #33914=DIRECTION('ref_axis',(-0.98484424538417,0.173441091825685,0.)); #33915=DIRECTION('center_axis',(0.,0.,1.)); #33916=DIRECTION('ref_axis',(-0.984844245384169,0.173441091825685,0.)); #33917=DIRECTION('center_axis',(1.04358631653701E-14,-1.,0.)); #33918=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #33919=DIRECTION('center_axis',(0.,-1.,0.)); #33920=DIRECTION('ref_axis',(0.,0.,1.)); #33921=DIRECTION('',(-1.04358631653701E-14,1.,0.)); #33922=DIRECTION('',(1.04358631653701E-14,-1.,0.)); #33923=DIRECTION('center_axis',(0.,0.,-1.)); #33924=DIRECTION('ref_axis',(-1.,0.,0.)); #33925=DIRECTION('center_axis',(1.,0.,0.)); #33926=DIRECTION('ref_axis',(0.,0.,1.)); #33927=DIRECTION('center_axis',(0.,0.,-1.)); #33928=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #33929=DIRECTION('center_axis',(0.,0.,1.)); #33930=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #33931=DIRECTION('center_axis',(1.,0.,0.)); #33932=DIRECTION('ref_axis',(0.,-0.707106781186558,0.707106781186537)); #33933=DIRECTION('',(-1.,0.,0.)); #33934=DIRECTION('',(1.,0.,0.)); #33935=DIRECTION('center_axis',(0.,0.,1.)); #33936=DIRECTION('ref_axis',(-0.573748672157349,0.819031416490039,0.)); #33937=DIRECTION('',(0.,0.,-1.)); #33938=DIRECTION('center_axis',(0.,0.,-1.)); #33939=DIRECTION('ref_axis',(-0.573748672157349,0.819031416490039,0.)); #33940=DIRECTION('',(0.,0.,1.)); #33941=DIRECTION('center_axis',(-0.939836375332625,0.341624922395356,0.)); #33942=DIRECTION('ref_axis',(-0.341624922395356,-0.939836375332625,0.)); #33943=DIRECTION('',(0.,0.,1.)); #33944=DIRECTION('',(-0.341624922395356,-0.939836375332625,0.)); #33945=DIRECTION('center_axis',(0.,0.,1.)); #33946=DIRECTION('ref_axis',(-0.984844245384169,0.173441091825688,0.)); #33947=DIRECTION('',(0.,0.,-1.)); #33948=DIRECTION('center_axis',(0.,0.,-1.)); #33949=DIRECTION('ref_axis',(-0.984844245384169,0.173441091825688,0.)); #33950=DIRECTION('center_axis',(-1.,-1.04358631653701E-14,0.)); #33951=DIRECTION('ref_axis',(1.04358631653701E-14,-1.,0.)); #33952=DIRECTION('',(0.,0.,1.)); #33953=DIRECTION('',(1.04358631653701E-14,-1.,0.)); #33954=DIRECTION('center_axis',(0.,0.,1.)); #33955=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #33956=DIRECTION('',(0.,0.,-1.)); #33957=DIRECTION('center_axis',(0.,0.,-1.)); #33958=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #33959=DIRECTION('center_axis',(0.,-1.,0.)); #33960=DIRECTION('ref_axis',(1.,0.,0.)); #33961=DIRECTION('',(0.,0.,1.)); #33962=DIRECTION('',(1.,0.,0.)); #33963=DIRECTION('center_axis',(-1.,0.,0.)); #33964=DIRECTION('ref_axis',(0.,-1.,0.)); #33965=DIRECTION('',(0.,0.,1.)); #33966=DIRECTION('',(0.,-1.,0.)); #33967=DIRECTION('center_axis',(0.,0.,1.)); #33968=DIRECTION('ref_axis',(1.,0.,0.)); #33969=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33970=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33971=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33972=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33973=DIRECTION('',(-0.42027804247122,0.0503911705480907,-0.905995086602214)); #33974=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33975=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33976=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #33977=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33978=DIRECTION('',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #33979=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33980=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33981=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33982=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33983=DIRECTION('',(-0.42027804247122,0.0503911705480907,-0.905995086602214)); #33984=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33985=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33986=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #33987=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33988=DIRECTION('',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #33989=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33990=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33991=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33992=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33993=DIRECTION('',(-0.42027804247122,0.0503911705480907,-0.905995086602214)); #33994=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #33995=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33996=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #33997=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #33998=DIRECTION('',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #33999=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34000=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34001=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34002=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34003=DIRECTION('',(-0.42027804247122,0.0503911705480907,-0.905995086602214)); #34004=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34005=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34006=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34007=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34008=DIRECTION('',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34009=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34010=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34011=DIRECTION('',(-0.42027804247122,0.0503911705480907,-0.905995086602214)); #34012=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34013=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34014=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34015=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34016=DIRECTION('',(-0.42027804247122,0.0503911705480907,-0.905995086602214)); #34017=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34018=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34019=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34020=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34021=DIRECTION('',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34022=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34023=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34024=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34025=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34026=DIRECTION('',(-0.42027804247122,0.0503911705480907,-0.905995086602214)); #34027=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34028=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34029=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34030=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34031=DIRECTION('',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34032=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34033=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34034=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34035=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34036=DIRECTION('',(-0.42027804247122,0.0503911705480907,-0.905995086602214)); #34037=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34038=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34039=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34040=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34041=DIRECTION('',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34042=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34043=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34044=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34045=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34046=DIRECTION('',(-0.42027804247122,0.0503911705480907,-0.905995086602214)); #34047=DIRECTION('center_axis',(0.987525939157433,-0.118403968306501,0.103793158640163)); #34048=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34049=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34050=DIRECTION('ref_axis',(0.1030550466447,-0.0123562592057881,-0.994598904191784)); #34051=DIRECTION('',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34052=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34053=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34054=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34055=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34056=DIRECTION('',(0.422321156787056,1.3483791249781E-16,0.906446270073435)); #34057=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34058=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34059=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34060=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34061=DIRECTION('',(0.994487578135737,-3.81560070507059E-18,0.104854455955466)); #34062=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34063=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34064=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34065=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34066=DIRECTION('',(0.422321156787056,1.3483791249781E-16,0.906446270073435)); #34067=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34068=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34069=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34070=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34071=DIRECTION('',(0.994487578135737,-3.81560070507059E-18,0.104854455955466)); #34072=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34073=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34074=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34075=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34076=DIRECTION('',(0.422321156787056,1.3483791249781E-16,0.906446270073435)); #34077=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34078=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34079=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34080=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34081=DIRECTION('',(0.994487578135737,-3.81560070507059E-18,0.104854455955466)); #34082=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34083=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34084=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34085=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34086=DIRECTION('',(0.422321156787056,1.3483791249781E-16,0.906446270073435)); #34087=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34088=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34089=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34090=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34091=DIRECTION('',(0.994487578135737,-3.81560070507059E-18,0.104854455955466)); #34092=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34093=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34094=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34095=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34096=DIRECTION('',(0.422321156787056,1.3483791249781E-16,0.906446270073435)); #34097=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34098=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34099=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34100=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34101=DIRECTION('',(0.994487578135737,-3.81560070507059E-18,0.104854455955466)); #34102=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34103=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34104=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34105=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34106=DIRECTION('',(0.422321156787056,1.3483791249781E-16,0.906446270073435)); #34107=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34108=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34109=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34110=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34111=DIRECTION('',(0.994487578135737,-3.81560070507059E-18,0.104854455955466)); #34112=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34113=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34114=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34115=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34116=DIRECTION('',(0.422321156787056,1.3483791249781E-16,0.906446270073435)); #34117=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34118=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34119=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34120=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34121=DIRECTION('',(0.994487578135737,-3.81560070507059E-18,0.104854455955466)); #34122=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34123=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34124=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34125=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34126=DIRECTION('',(0.422321156787056,1.3483791249781E-16,0.906446270073435)); #34127=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34128=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34129=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34130=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34131=DIRECTION('',(0.994487578135737,-3.81560070507059E-18,0.104854455955466)); #34132=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34133=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34134=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34135=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34136=DIRECTION('',(0.422321156787056,1.3483791249781E-16,0.906446270073435)); #34137=DIRECTION('center_axis',(-0.994487578135737,3.81560070507059E-18, -0.104854455955466)); #34138=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34139=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34140=DIRECTION('ref_axis',(-0.104854455955466,3.71343762176193E-17,0.994487578135737)); #34141=DIRECTION('',(0.994487578135737,-3.81560070507059E-18,0.104854455955466)); #34142=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34143=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34144=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34145=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34146=DIRECTION('',(0.989989212472288,0.137020178146365,0.0338648781075218)); #34147=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34148=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34149=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34150=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34151=DIRECTION('',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34152=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34153=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34154=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34155=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34156=DIRECTION('',(0.989989212472288,0.137020178146365,0.0338648781075218)); #34157=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34158=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34159=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34160=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34161=DIRECTION('',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34162=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34163=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34164=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34165=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34166=DIRECTION('',(0.989989212472288,0.137020178146365,0.0338648781075218)); #34167=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34168=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34169=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34170=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34171=DIRECTION('',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34172=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34173=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34174=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34175=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34176=DIRECTION('',(0.989989212472288,0.137020178146365,0.0338648781075218)); #34177=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34178=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34179=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34180=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34181=DIRECTION('',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34182=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34183=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34184=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34185=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34186=DIRECTION('',(0.989989212472288,0.137020178146365,0.0338648781075218)); #34187=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34188=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34189=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34190=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34191=DIRECTION('',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34192=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34193=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34194=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34195=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34196=DIRECTION('',(0.989989212472288,0.137020178146365,0.0338648781075218)); #34197=DIRECTION('center_axis',(-0.62559023926328,0.777376036985218,-0.0657521836874588)); #34198=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34199=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34200=DIRECTION('ref_axis',(0.779061939731741,0.626946962718073,9.85494441364192E-16)); #34201=DIRECTION('',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34202=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34203=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34204=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34205=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34206=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34207=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34208=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34209=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34210=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34211=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34212=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34213=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34214=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34215=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34216=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34217=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34218=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34219=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34220=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34221=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34222=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34223=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34224=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34225=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34226=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34227=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34228=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34229=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34230=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34231=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34232=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34233=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34234=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34235=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34236=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34237=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34238=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34239=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34240=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34241=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34242=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34243=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34244=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34245=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34246=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34247=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34248=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34249=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34250=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34251=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34252=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34253=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34254=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34255=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34256=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34257=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34258=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34259=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34260=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34261=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34262=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34263=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34264=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34265=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34266=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34267=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34268=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34269=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34270=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34271=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34272=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34273=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34274=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34275=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34276=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34277=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34278=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34279=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34280=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34281=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34282=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34283=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34284=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34285=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34286=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34287=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34288=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34289=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34290=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34291=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34292=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34293=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34294=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34295=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34296=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34297=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34298=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34299=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34300=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34301=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34302=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34303=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34304=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34305=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34306=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34307=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34308=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34309=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34310=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34311=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34312=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34313=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34314=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34315=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34316=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34317=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34318=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34319=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34320=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34321=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34322=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34323=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34324=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34325=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34326=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34327=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34328=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34329=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34330=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34331=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34332=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34333=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34334=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34335=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34336=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34337=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34338=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34339=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34340=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34341=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34342=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34343=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34344=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34345=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34346=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34347=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34348=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34349=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34350=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34351=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34352=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34353=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34354=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34355=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34356=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34357=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34358=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34359=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34360=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34361=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34362=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34363=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34364=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34365=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34366=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34367=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34368=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34369=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34370=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34371=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34372=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34373=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34374=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34375=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34376=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34377=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34378=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34379=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34380=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34381=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34382=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34383=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34384=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34385=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34386=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34387=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34388=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34389=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34390=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34391=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34392=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34393=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34394=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34395=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34396=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34397=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34398=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34399=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34400=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34401=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34402=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34403=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34404=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34405=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34406=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34407=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34408=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34409=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34410=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34411=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34412=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34413=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34414=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34415=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34416=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34417=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34418=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34419=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34420=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34421=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34422=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34423=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34424=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34425=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34426=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34427=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34428=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34429=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34430=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34431=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34432=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34433=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34434=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34435=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34436=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34437=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34438=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34439=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34440=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34441=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34442=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34443=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34444=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34445=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34446=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34447=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34448=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34449=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34450=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34451=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34452=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34453=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34454=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34455=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34456=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34457=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34458=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34459=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34460=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34461=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34462=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34463=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34464=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34465=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34466=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34467=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34468=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34469=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34470=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34471=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34472=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34473=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34474=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34475=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34476=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34477=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34478=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34479=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34480=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34481=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34482=DIRECTION('center_axis',(0.62559023926328,-0.777376036985218,0.0657521836874588)); #34483=DIRECTION('ref_axis',(0.104528463267685,0.,-0.99452189536827)); #34484=DIRECTION('',(0.104854452266983,0.000265243922453031,-0.994487543152478)); #34485=DIRECTION('',(-0.779061939731734,-0.626946962718082,0.)); #34486=DIRECTION('',(-0.104528463267685,-3.03660991025877E-17,0.99452189536827)); #34487=DIRECTION('',(0.779061939731741,0.626946962718073,0.)); #34488=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34489=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34490=DIRECTION('',(-1.,-2.90505553734428E-16,0.)); #34491=DIRECTION('',(0.104528463267655,3.0366099102579E-17,-0.994521895368273)); #34492=DIRECTION('',(0.,0.,-1.)); #34493=DIRECTION('',(1.,2.90505553734428E-16,0.)); #34494=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34495=DIRECTION('ref_axis',(-0.104528463267655,0.,0.994521895368273)); #34496=DIRECTION('',(0.119046952301558,0.992888625752008,0.)); #34497=DIRECTION('center_axis',(-0.987525939157434,0.118403968306501,-0.103793158640163)); #34498=DIRECTION('ref_axis',(-0.107458048667593,-0.0249825887355158,0.993895687704009)); #34499=DIRECTION('',(-0.119046952301551,-0.992888625752009,-6.52486208045017E-14)); #34500=DIRECTION('center_axis',(0.992888625752009,-0.119046952301551,0.)); #34501=DIRECTION('ref_axis',(-0.119046952301551,-0.992888625752009,0.)); #34502=DIRECTION('center_axis',(-0.992888625752009,0.119046952301551,-5.47135113022842E-15)); #34503=DIRECTION('ref_axis',(-0.00460137840125503,-0.0383769276663965,0.999252740171222)); #34504=DIRECTION('',(-0.119046952301551,-0.992888625752009,0.)); #34505=DIRECTION('center_axis',(-0.309016994374949,6.27102027519345E-16, 0.951056516295153)); #34506=DIRECTION('ref_axis',(0.565268847465448,-0.804199956311577,0.1836669822084)); #34507=DIRECTION('center_axis',(0.,0.,-1.)); #34508=DIRECTION('ref_axis',(1.,-1.97863763834307E-15,0.)); #34509=DIRECTION('',(-0.309016994374949,6.27102027519345E-16,0.951056516295153)); #34510=DIRECTION('center_axis',(0.,0.,1.)); #34511=DIRECTION('ref_axis',(1.,-1.97863763834307E-15,0.)); #34512=DIRECTION('center_axis',(0.994487578135737,-3.81560070507057E-18, 0.104854455955466)); #34513=DIRECTION('ref_axis',(0.104854455955466,0.,-0.994487578135737)); #34514=DIRECTION('',(3.83675049237245E-18,1.,0.)); #34515=DIRECTION('',(0.104854455955466,0.,-0.994487578135737)); #34516=DIRECTION('',(7.35390746286763E-16,-1.,0.)); #34517=DIRECTION('center_axis',(0.,-1.,0.)); #34518=DIRECTION('ref_axis',(-1.,0.,0.)); #34519=DIRECTION('',(-1.,0.,0.)); #34520=DIRECTION('',(1.65047328385211E-13,0.,1.)); #34521=DIRECTION('',(1.,0.,0.)); #34522=DIRECTION('center_axis',(1.,0.,-1.65047328385211E-13)); #34523=DIRECTION('ref_axis',(1.65047328385211E-13,0.,1.)); #34524=DIRECTION('',(0.,-1.,0.)); #34525=DIRECTION('',(0.,1.,0.)); #34526=DIRECTION('',(0.,-3.29067613185059E-15,-1.)); #34527=DIRECTION('center_axis',(0.,0.,1.)); #34528=DIRECTION('ref_axis',(1.,0.,0.)); #34529=DIRECTION('',(-1.,-8.0983149672915E-15,0.)); #34530=DIRECTION('center_axis',(0.,0.,1.)); #34531=DIRECTION('ref_axis',(1.,0.,0.)); #34532=DIRECTION('',(1.,8.0983149672915E-15,0.)); #34533=DIRECTION('',(-1.,0.,0.)); #34534=DIRECTION('center_axis',(0.,0.,-1.)); #34535=DIRECTION('ref_axis',(-0.696297102674788,-0.71775367975838,0.)); #34536=DIRECTION('center_axis',(0.,0.,1.)); #34537=DIRECTION('ref_axis',(0.457301775043101,-0.889311580123878,0.)); #34538=DIRECTION('center_axis',(0.,0.,-1.)); #34539=DIRECTION('ref_axis',(0.819866441157378,-0.572554817169444,0.)); #34540=DIRECTION('',(0.119046952301552,0.992888625752009,0.)); #34541=DIRECTION('center_axis',(0.,0.,-1.)); #34542=DIRECTION('ref_axis',(0.748013018704073,0.663684054237572,0.)); #34543=DIRECTION('center_axis',(8.0983149672915E-15,-1.,3.29067613185058E-15)); #34544=DIRECTION('ref_axis',(0.951056516295153,8.718830068379E-15,0.309016994374949)); #34545=DIRECTION('center_axis',(0.,0.,1.)); #34546=DIRECTION('ref_axis',(0.748013018704073,0.663684054237572,0.)); #34547=DIRECTION('',(0.,0.,-1.)); #34548=DIRECTION('',(0.,0.,1.)); #34549=DIRECTION('center_axis',(0.992888625752009,-0.119046952301552,0.)); #34550=DIRECTION('ref_axis',(0.119046952301552,0.992888625752009,0.)); #34551=DIRECTION('',(0.,0.,-1.)); #34552=DIRECTION('center_axis',(0.,0.,1.)); #34553=DIRECTION('ref_axis',(0.819866441157378,-0.572554817169444,0.)); #34554=DIRECTION('',(0.,0.,1.)); #34555=DIRECTION('center_axis',(0.,0.,1.)); #34556=DIRECTION('ref_axis',(0.457301775043101,-0.889311580123878,0.)); #34557=DIRECTION('',(0.,0.,-1.)); #34558=DIRECTION('center_axis',(0.,1.,0.)); #34559=DIRECTION('ref_axis',(-1.,0.,0.)); #34560=DIRECTION('center_axis',(0.,0.,1.)); #34561=DIRECTION('ref_axis',(-0.696297102674788,-0.71775367975838,0.)); #34562=DIRECTION('axis',(0.,0.,1.)); #34563=DIRECTION('refdir',(1.,0.,0.)); #34564=DIRECTION('center_axis',(-1.,0.,0.)); #34565=DIRECTION('ref_axis',(0.,0.,1.)); #34566=DIRECTION('',(0.,1.09099081474976E-13,1.)); #34567=DIRECTION('',(0.,1.,1.49486722213322E-13)); #34568=DIRECTION('',(0.,0.,1.)); #34569=DIRECTION('',(0.,-1.,1.7483827159451E-16)); #34570=DIRECTION('center_axis',(0.,-1.,0.)); #34571=DIRECTION('ref_axis',(-1.,0.,0.)); #34572=DIRECTION('',(1.,0.,0.)); #34573=DIRECTION('',(-0.104528463267574,0.,-0.994521895368282)); #34574=DIRECTION('',(0.104528463267574,0.,-0.994521895368282)); #34575=DIRECTION('',(-1.,0.,0.)); #34576=DIRECTION('center_axis',(0.,1.,0.)); #34577=DIRECTION('ref_axis',(1.,0.,0.)); #34578=DIRECTION('center_axis',(0.,1.,0.)); #34579=DIRECTION('ref_axis',(1.,0.,0.)); #34580=DIRECTION('center_axis',(0.,1.,0.)); #34581=DIRECTION('ref_axis',(1.,0.,0.)); #34582=DIRECTION('center_axis',(0.,1.,0.)); #34583=DIRECTION('ref_axis',(1.,0.,0.)); #34584=DIRECTION('center_axis',(0.,1.,0.)); #34585=DIRECTION('ref_axis',(1.,0.,0.)); #34586=DIRECTION('center_axis',(0.,1.,0.)); #34587=DIRECTION('ref_axis',(1.,0.,0.)); #34588=DIRECTION('center_axis',(0.,1.,0.)); #34589=DIRECTION('ref_axis',(1.,0.,0.)); #34590=DIRECTION('center_axis',(0.,1.,0.)); #34591=DIRECTION('ref_axis',(1.,0.,0.)); #34592=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34593=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34594=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34595=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34596=DIRECTION('',(-0.420278104791915,0.0503907479016482,-0.905995081199908)); #34597=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34598=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34599=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34600=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34601=DIRECTION('',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34602=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34603=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34604=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34605=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34606=DIRECTION('',(-0.420278104791915,0.0503907479016482,-0.905995081199908)); #34607=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34608=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34609=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34610=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34611=DIRECTION('',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34612=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34613=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34614=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34615=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34616=DIRECTION('',(-0.420278104791915,0.0503907479016482,-0.905995081199908)); #34617=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34618=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34619=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34620=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34621=DIRECTION('',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34622=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34623=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34624=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34625=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34626=DIRECTION('',(-0.420278104791915,0.0503907479016482,-0.905995081199908)); #34627=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34628=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34629=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34630=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34631=DIRECTION('',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34632=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34633=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34634=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34635=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34636=DIRECTION('',(-0.420278104791915,0.0503907479016482,-0.905995081199908)); #34637=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34638=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34639=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34640=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34641=DIRECTION('',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34642=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34643=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34644=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34645=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34646=DIRECTION('',(-0.420278104791915,0.0503907479016482,-0.905995081199908)); #34647=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34648=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34649=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34650=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34651=DIRECTION('',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34652=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34653=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34654=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34655=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34656=DIRECTION('',(-0.420278104791915,0.0503907479016482,-0.905995081199908)); #34657=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34658=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34659=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34660=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34661=DIRECTION('',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34662=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34663=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34664=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34665=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34666=DIRECTION('',(-0.420278104791915,0.0503907479016482,-0.905995081199908)); #34667=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34668=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34669=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34670=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34671=DIRECTION('',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34672=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34673=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34674=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34675=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34676=DIRECTION('',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #34677=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34678=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34679=DIRECTION('center_axis',(0.987526059931184,-0.118402972138979,0.103793145946383)); #34680=DIRECTION('ref_axis',(0.103055046507486,-0.012356153721409,-0.994598905516466)); #34681=DIRECTION('',(-0.420278104791915,0.0503907479016482,-0.905995081199908)); #34682=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34683=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34684=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34685=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34686=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34687=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34688=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34689=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34690=DIRECTION('',(-0.596948958710959,0.0715733189324528,-0.799080221699233)); #34691=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34692=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34693=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34694=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34695=DIRECTION('',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34696=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34697=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34698=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34699=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34700=DIRECTION('',(-0.596948958710959,0.0715733189324528,-0.799080221699233)); #34701=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34702=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34703=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34704=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34705=DIRECTION('',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34706=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34707=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34708=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34709=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34710=DIRECTION('',(-0.596948958710959,0.0715733189324528,-0.799080221699233)); #34711=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34712=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34713=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34714=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34715=DIRECTION('',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34716=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34717=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34718=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34719=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34720=DIRECTION('',(-0.596948958710959,0.0715733189324528,-0.799080221699233)); #34721=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34722=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34723=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34724=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34725=DIRECTION('',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34726=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34727=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34728=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34729=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34730=DIRECTION('',(-0.596948958710959,0.0715733189324528,-0.799080221699233)); #34731=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34732=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34733=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34734=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34735=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34736=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34737=DIRECTION('',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34738=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34739=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34740=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34741=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34742=DIRECTION('',(-0.596948958710959,0.0715733189324528,-0.799080221699233)); #34743=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34744=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34745=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34746=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34747=DIRECTION('',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34748=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34749=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34750=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34751=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34752=DIRECTION('',(-0.596948958710959,0.0715733189324528,-0.799080221699233)); #34753=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34754=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34755=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34756=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34757=DIRECTION('',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34758=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34759=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34760=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34761=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34762=DIRECTION('',(-0.596948958710959,0.0715733189324528,-0.799080221699233)); #34763=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34764=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34765=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34766=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34767=DIRECTION('',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34768=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34769=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34770=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34771=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34772=DIRECTION('',(-0.596948958710959,0.0715733189324528,-0.799080221699233)); #34773=DIRECTION('center_axis',(0.987526059931184,-0.118402949884006,-0.103793171333942)); #34774=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34775=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34776=DIRECTION('ref_axis',(-0.103055071989021,0.0123561544541507,-0.994598902867102)); #34777=DIRECTION('',(-0.987526059931184,0.118402949884006,0.103793171333942)); #34778=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34779=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34780=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34781=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34782=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34783=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34784=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34785=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34786=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34787=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34788=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34789=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34790=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34791=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34792=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34793=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34794=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34795=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34796=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34797=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34798=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34799=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34800=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34801=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34802=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34803=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34804=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34805=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34806=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34807=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34808=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34809=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34810=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34811=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34812=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34813=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34814=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34815=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34816=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34817=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34818=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34819=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34820=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34821=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34822=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34823=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34824=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34825=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34826=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34827=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34828=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34829=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34830=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34831=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34832=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34833=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34834=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34835=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34836=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34837=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34838=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34839=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34840=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34841=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34842=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34843=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34844=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34845=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34846=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34847=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34848=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34849=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34850=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34851=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34852=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34853=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34854=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34855=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34856=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34857=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34858=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34859=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34860=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34861=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34862=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34863=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34864=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34865=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34866=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34867=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34868=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34869=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34870=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34871=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34872=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34873=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34874=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34875=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34876=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34877=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34878=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34879=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34880=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34881=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34882=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34883=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34884=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34885=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34886=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34887=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #34888=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34889=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34890=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34891=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34892=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34893=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34894=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34895=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34896=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34897=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34898=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34899=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34900=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34901=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34902=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34903=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34904=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34905=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34906=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34907=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34908=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34909=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34910=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34911=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34912=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34913=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34914=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34915=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34916=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34917=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34918=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34919=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34920=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34921=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34922=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34923=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34924=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34925=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34926=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34927=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34928=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34929=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34930=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34931=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34932=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34933=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34934=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34935=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34936=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34937=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34938=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34939=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34940=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34941=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34942=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34943=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34944=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34945=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34946=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34947=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34948=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34949=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34950=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34951=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34952=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34953=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34954=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34955=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34956=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34957=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34958=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34959=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34960=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34961=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34962=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34963=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34964=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34965=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34966=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34967=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34968=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34969=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34970=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34971=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34972=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34973=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34974=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34975=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34976=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34977=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34978=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34979=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34980=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34981=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34982=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34983=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34984=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34985=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34986=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34987=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34988=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34989=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34990=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34991=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34992=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #34993=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34994=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34995=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #34996=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #34997=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #34998=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #34999=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35000=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35001=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35002=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35003=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35004=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35005=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35006=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35007=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35008=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35009=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35010=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35011=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35012=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35013=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35014=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35015=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35016=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35017=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35018=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35019=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35020=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35021=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35022=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35023=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35024=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35025=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35026=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35027=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35028=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35029=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35030=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35031=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35032=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35033=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35034=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35035=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35036=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35037=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35038=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35039=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35040=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35041=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35042=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35043=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35044=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35045=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35046=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35047=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35048=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35049=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35050=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35051=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35052=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35053=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35054=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35055=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35056=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35057=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35058=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35059=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35060=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35061=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35062=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35063=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35064=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35065=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35066=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35067=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35068=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35069=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35070=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35071=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35072=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35073=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35074=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35075=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35076=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35077=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35078=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35079=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35080=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35081=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35082=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35083=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35084=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35085=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35086=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35087=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35088=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35089=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35090=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35091=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35092=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35093=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35094=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35095=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35096=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35097=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35098=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35099=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35100=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35101=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35102=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35103=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35104=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35105=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35106=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35107=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35108=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35109=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35110=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35111=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35112=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35113=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35114=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35115=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35116=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35117=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35118=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35119=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35120=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35121=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35122=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35123=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35124=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35125=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35126=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35127=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35128=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35129=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35130=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35131=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35132=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35133=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35134=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35135=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35136=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35137=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35138=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35139=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35140=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35141=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35142=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35143=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35144=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35145=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35146=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35147=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35148=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35149=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35150=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35151=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35152=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35153=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35154=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35155=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35156=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35157=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35158=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35159=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35160=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35161=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35162=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35163=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35164=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35165=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35166=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35167=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35168=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35169=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35170=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35171=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35172=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35173=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35174=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35175=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35176=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35177=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35178=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #35179=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35180=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35181=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35182=DIRECTION('',(0.422618261740597,4.35840618092149E-7,-0.906307787036593)); #35183=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35184=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35185=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35186=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35187=DIRECTION('',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35188=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #35189=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35190=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35191=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35192=DIRECTION('',(0.422618261740597,4.35840618092149E-7,-0.906307787036593)); #35193=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35194=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35195=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35196=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35197=DIRECTION('',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35198=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #35199=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35200=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35201=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35202=DIRECTION('',(0.422618261740597,4.35840618092149E-7,-0.906307787036593)); #35203=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35204=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35205=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35206=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35207=DIRECTION('',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35208=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #35209=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35210=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35211=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35212=DIRECTION('',(0.422618261740597,4.35840618092149E-7,-0.906307787036593)); #35213=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35214=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35215=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35216=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35217=DIRECTION('',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35218=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #35219=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35220=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35221=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35222=DIRECTION('',(0.422618261740597,4.35840618092149E-7,-0.906307787036593)); #35223=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35224=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35225=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35226=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35227=DIRECTION('',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35228=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #35229=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35230=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35231=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35232=DIRECTION('',(0.422618261740597,4.35840618092149E-7,-0.906307787036593)); #35233=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35234=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35235=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35236=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35237=DIRECTION('',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35238=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #35239=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35240=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35241=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35242=DIRECTION('',(0.422618261740597,4.35840618092149E-7,-0.906307787036593)); #35243=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35244=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35245=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35246=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35247=DIRECTION('',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35248=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #35249=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35250=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35251=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35252=DIRECTION('',(0.422618261740597,4.35840618092149E-7,-0.906307787036593)); #35253=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35254=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35255=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35256=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35257=DIRECTION('',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35258=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, 0.104528463267519)); #35259=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35260=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35261=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35262=DIRECTION('',(0.422618261740597,4.35840618092149E-7,-0.906307787036593)); #35263=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35264=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35265=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35266=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,-0.994521895368288)); #35267=DIRECTION('',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35268=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #35269=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35270=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35271=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35272=DIRECTION('',(0.989989070328457,0.137021194542085,-0.0338649210313343)); #35273=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35274=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35275=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35276=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35277=DIRECTION('',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35278=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #35279=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35280=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35281=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35282=DIRECTION('',(0.989989070328457,0.137021194542085,-0.0338649210313343)); #35283=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35284=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35285=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35286=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35287=DIRECTION('',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35288=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #35289=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35290=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35291=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35292=DIRECTION('',(0.989989070328457,0.137021194542085,-0.0338649210313343)); #35293=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35294=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35295=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35296=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35297=DIRECTION('',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35298=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #35299=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35300=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35301=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35302=DIRECTION('',(0.989989070328457,0.137021194542085,-0.0338649210313343)); #35303=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35304=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35305=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35306=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35307=DIRECTION('',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35308=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #35309=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35310=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35311=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35312=DIRECTION('',(0.989989070328457,0.137021194542085,-0.0338649210313343)); #35313=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35314=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35315=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35316=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35317=DIRECTION('',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35318=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,0.0657522670285069)); #35319=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35320=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35321=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35322=DIRECTION('',(0.989989070328457,0.137021194542085,-0.0338649210313343)); #35323=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35324=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35325=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35326=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,-4.77390135363326E-16)); #35327=DIRECTION('',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35328=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35329=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35330=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35331=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35332=DIRECTION('',(0.989989070328457,0.137021194542085,0.0338649210313343)); #35333=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35334=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35335=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35336=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35337=DIRECTION('',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35338=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35339=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35340=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35341=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35342=DIRECTION('',(0.989989070328457,0.137021194542085,0.0338649210313343)); #35343=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35344=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35345=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35346=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35347=DIRECTION('',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35348=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35349=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35350=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35351=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35352=DIRECTION('',(0.989989070328457,0.137021194542085,0.0338649210313343)); #35353=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35354=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35355=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35356=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35357=DIRECTION('',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35358=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35359=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35360=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35361=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35362=DIRECTION('',(0.989989070328457,0.137021194542085,0.0338649210313343)); #35363=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35364=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35365=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35366=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35367=DIRECTION('',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35368=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35369=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35370=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35371=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35372=DIRECTION('',(0.989989070328457,0.137021194542085,0.0338649210313343)); #35373=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35374=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35375=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35376=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35377=DIRECTION('',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35378=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35379=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35380=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35381=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35382=DIRECTION('',(0.989989070328457,0.137021194542085,0.0338649210313343)); #35383=DIRECTION('center_axis',(-0.62559103220107,0.777375391821874,-0.0657522670285069)); #35384=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35385=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35386=DIRECTION('ref_axis',(0.779061297456908,0.626947760826019,4.77390135363326E-16)); #35387=DIRECTION('',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35388=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35389=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35390=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35391=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35392=DIRECTION('',(0.422618261740597,4.35840618092149E-7,0.906307787036593)); #35393=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35394=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35395=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35396=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35397=DIRECTION('',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35398=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35399=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35400=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35401=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35402=DIRECTION('',(0.422618261740597,4.35840618092149E-7,0.906307787036593)); #35403=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35404=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35405=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35406=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35407=DIRECTION('',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35408=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35409=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35410=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35411=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35412=DIRECTION('',(0.422618261740597,4.35840618092149E-7,0.906307787036593)); #35413=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35414=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35415=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35416=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35417=DIRECTION('',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35418=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35419=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35420=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35421=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35422=DIRECTION('',(0.422618261740597,4.35840618092149E-7,0.906307787036593)); #35423=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35424=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35425=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35426=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35427=DIRECTION('',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35428=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35429=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35430=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35431=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35432=DIRECTION('',(0.422618261740597,4.35840618092149E-7,0.906307787036593)); #35433=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35434=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35435=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35436=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35437=DIRECTION('',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35438=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35439=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35440=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35441=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35442=DIRECTION('',(0.422618261740597,4.35840618092149E-7,0.906307787036593)); #35443=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35444=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35445=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35446=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35447=DIRECTION('',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35448=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35449=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35450=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35451=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35452=DIRECTION('',(0.422618261740597,4.35840618092149E-7,0.906307787036593)); #35453=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35454=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35455=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35456=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35457=DIRECTION('',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35458=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35459=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35460=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35461=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35462=DIRECTION('',(0.422618261740597,4.35840618092149E-7,0.906307787036593)); #35463=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35464=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35465=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35466=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35467=DIRECTION('',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35468=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35469=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35470=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35471=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35472=DIRECTION('',(0.422618261740597,4.35840618092149E-7,0.906307787036593)); #35473=DIRECTION('center_axis',(-0.994521895367759,-1.02563726354793E-6, -0.104528463267519)); #35474=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35475=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35476=DIRECTION('ref_axis',(-0.104528463267464,-1.07798820266336E-7,0.994521895368288)); #35477=DIRECTION('',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35478=DIRECTION('center_axis',(0.,-1.,0.)); #35479=DIRECTION('ref_axis',(1.,0.,0.)); #35480=DIRECTION('center_axis',(0.,-1.,0.)); #35481=DIRECTION('ref_axis',(1.,0.,0.)); #35482=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #35483=DIRECTION('center_axis',(0.,-1.,0.)); #35484=DIRECTION('ref_axis',(1.,0.,0.)); #35485=DIRECTION('',(0.,1.,0.)); #35486=DIRECTION('center_axis',(0.,-1.,0.)); #35487=DIRECTION('ref_axis',(1.,0.,0.)); #35488=DIRECTION('center_axis',(0.,-1.,0.)); #35489=DIRECTION('ref_axis',(1.,0.,0.)); #35490=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #35491=DIRECTION('center_axis',(0.,-1.,0.)); #35492=DIRECTION('ref_axis',(1.,0.,0.)); #35493=DIRECTION('',(0.,1.,0.)); #35494=DIRECTION('center_axis',(0.,-1.,0.)); #35495=DIRECTION('ref_axis',(1.,0.,0.)); #35496=DIRECTION('center_axis',(0.,-1.,0.)); #35497=DIRECTION('ref_axis',(1.,0.,0.)); #35498=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #35499=DIRECTION('center_axis',(0.,-1.,0.)); #35500=DIRECTION('ref_axis',(1.,0.,0.)); #35501=DIRECTION('',(0.,1.,0.)); #35502=DIRECTION('center_axis',(0.,-1.,0.)); #35503=DIRECTION('ref_axis',(1.,0.,0.)); #35504=DIRECTION('center_axis',(0.,-1.,0.)); #35505=DIRECTION('ref_axis',(1.,0.,0.)); #35506=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #35507=DIRECTION('center_axis',(0.,-1.,0.)); #35508=DIRECTION('ref_axis',(1.,0.,0.)); #35509=DIRECTION('',(0.,1.,0.)); #35510=DIRECTION('center_axis',(0.,-1.,0.)); #35511=DIRECTION('ref_axis',(1.,0.,0.)); #35512=DIRECTION('center_axis',(0.,-1.,0.)); #35513=DIRECTION('ref_axis',(1.,0.,0.)); #35514=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #35515=DIRECTION('center_axis',(0.,-1.,0.)); #35516=DIRECTION('ref_axis',(1.,0.,0.)); #35517=DIRECTION('',(0.,1.,0.)); #35518=DIRECTION('center_axis',(0.,-1.,0.)); #35519=DIRECTION('ref_axis',(1.,0.,0.)); #35520=DIRECTION('center_axis',(0.,-1.,0.)); #35521=DIRECTION('ref_axis',(1.,0.,0.)); #35522=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #35523=DIRECTION('center_axis',(0.,-1.,0.)); #35524=DIRECTION('ref_axis',(1.,0.,0.)); #35525=DIRECTION('',(0.,1.,0.)); #35526=DIRECTION('center_axis',(0.,-1.,0.)); #35527=DIRECTION('ref_axis',(1.,0.,0.)); #35528=DIRECTION('center_axis',(0.,-1.,0.)); #35529=DIRECTION('ref_axis',(1.,0.,0.)); #35530=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #35531=DIRECTION('center_axis',(0.,-1.,0.)); #35532=DIRECTION('ref_axis',(1.,0.,0.)); #35533=DIRECTION('',(0.,1.,0.)); #35534=DIRECTION('center_axis',(0.,-1.,0.)); #35535=DIRECTION('ref_axis',(1.,0.,0.)); #35536=DIRECTION('center_axis',(0.,-1.,0.)); #35537=DIRECTION('ref_axis',(1.,0.,0.)); #35538=DIRECTION('',(0.857167300702112,0.515038074910054,1.04972719113862E-16)); #35539=DIRECTION('center_axis',(0.,-1.,0.)); #35540=DIRECTION('ref_axis',(1.,0.,0.)); #35541=DIRECTION('',(0.,1.,0.)); #35542=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35543=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35544=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35545=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35546=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35547=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35548=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35549=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35550=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35551=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35552=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35553=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35554=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35555=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35556=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35557=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35558=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35559=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35560=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35561=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35562=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35563=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35564=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35565=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35566=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35567=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35568=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35569=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35570=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35571=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35572=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35573=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35574=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35575=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35576=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35577=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35578=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35579=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35580=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35581=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35582=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35583=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35584=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35585=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35586=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35587=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35588=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35589=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35590=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35591=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35592=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35593=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35594=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35595=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35596=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35597=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35598=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35599=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35600=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35601=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35602=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35603=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35604=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35605=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35606=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35607=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35608=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35609=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35610=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35611=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35612=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35613=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35614=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35615=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35616=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35617=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35618=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35619=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35620=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35621=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35622=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35623=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35624=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35625=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35626=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35627=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35628=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35629=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35630=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35631=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35632=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35633=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35634=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35635=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35636=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35637=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35638=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35639=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35640=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35641=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35642=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35643=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35644=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35645=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35646=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35647=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35648=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35649=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35650=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35651=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35652=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35653=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35654=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35655=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35656=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35657=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35658=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35659=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35660=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35661=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35662=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35663=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35664=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35665=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35666=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35667=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35668=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35669=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35670=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35671=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35672=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35673=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35674=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35675=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35676=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35677=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35678=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35679=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35680=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35681=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35682=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35683=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35684=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35685=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35686=DIRECTION('',(0.857167300702113,-0.515038074910054,-1.04972719113862E-16)); #35687=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35688=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35689=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35690=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35691=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35692=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35693=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35694=DIRECTION('center_axis',(2.90505553734428E-16,-1.,0.)); #35695=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35696=DIRECTION('',(2.90505553734428E-16,-1.,0.)); #35697=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,0.104528463267519)); #35698=DIRECTION('ref_axis',(0.104528463267574,0.,-0.994521895368282)); #35699=DIRECTION('',(-0.104528463267574,-1.66024446950028E-15,0.994521895368282)); #35700=DIRECTION('',(-1.0312867603263E-6,0.999999999999468,0.)); #35701=DIRECTION('',(1.0312867603263E-6,-0.999999999999468,0.)); #35702=DIRECTION('center_axis',(0.,0.,1.)); #35703=DIRECTION('ref_axis',(1.,0.,0.)); #35704=DIRECTION('',(0.779061297456908,0.626947760826019,0.)); #35705=DIRECTION('',(1.,0.,0.)); #35706=DIRECTION('',(-0.119045950565867,-0.992888745859207,0.)); #35707=DIRECTION('center_axis',(0.,0.,1.)); #35708=DIRECTION('ref_axis',(1.,5.50751365492214E-15,0.)); #35709=DIRECTION('',(1.,-7.84645488594967E-16,0.)); #35710=DIRECTION('center_axis',(0.,0.,1.)); #35711=DIRECTION('ref_axis',(0.173648177666936,0.984807753012207,0.)); #35712=DIRECTION('',(0.939692620785905,-0.342020143325679,0.)); #35713=DIRECTION('center_axis',(0.,0.,-1.)); #35714=DIRECTION('ref_axis',(-0.145051793107033,-0.989424063441169,0.)); #35715=DIRECTION('center_axis',(0.,0.,1.)); #35716=DIRECTION('ref_axis',(0.453678754751077,-0.8911652975108,0.)); #35717=DIRECTION('center_axis',(0.,0.,1.)); #35718=DIRECTION('ref_axis',(-0.992888625752009,0.119046952301552,0.)); #35719=DIRECTION('',(0.119046952301552,0.992888625752009,0.)); #35720=DIRECTION('center_axis',(0.,0.,1.)); #35721=DIRECTION('ref_axis',(0.,-1.,0.)); #35722=DIRECTION('',(-1.,-2.49189949614184E-16,0.)); #35723=DIRECTION('center_axis',(0.,0.,1.)); #35724=DIRECTION('ref_axis',(0.939841533331517,-0.341610732010958,0.)); #35725=DIRECTION('',(-0.341610732010958,-0.939841533331517,0.)); #35726=DIRECTION('center_axis',(0.,0.,1.)); #35727=DIRECTION('ref_axis',(0.,1.,0.)); #35728=DIRECTION('',(1.,0.,0.)); #35729=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,0.0657522670285069)); #35730=DIRECTION('ref_axis',(0.104528463267572,0.,-0.994521895368282)); #35731=DIRECTION('',(-0.779061297456908,-0.626947760826018,0.)); #35732=DIRECTION('',(-0.104528463267572,-3.03660991025549E-17,0.994521895368282)); #35733=DIRECTION('center_axis',(-2.90505553734428E-16,1.,0.)); #35734=DIRECTION('ref_axis',(1.,2.90505553734428E-16,0.)); #35735=DIRECTION('',(0.104528463267572,3.03660991025549E-17,0.994521895368282)); #35736=DIRECTION('',(1.,2.90505553734428E-16,0.)); #35737=DIRECTION('',(-0.104528463267602,-3.03660991025636E-17,-0.994521895368279)); #35738=DIRECTION('',(0.104528437979547,3.03660917562432E-17,-0.99452189802616)); #35739=DIRECTION('center_axis',(0.62559103220107,-0.777375391821874,-0.0657522670285069)); #35740=DIRECTION('ref_axis',(-0.104528463267572,0.,-0.994521895368282)); #35741=DIRECTION('',(0.104528463267574,1.67809656057017E-15,0.994521895368282)); #35742=DIRECTION('',(-0.779061297456908,-0.626947760826019,0.)); #35743=DIRECTION('center_axis',(0.994521895367759,1.02563726354793E-6,-0.104528463267519)); #35744=DIRECTION('ref_axis',(-0.104528463267574,0.,-0.994521895368282)); #35745=DIRECTION('',(1.0312867603263E-6,-0.999999999999468,0.)); #35746=DIRECTION('center_axis',(0.,0.,1.)); #35747=DIRECTION('ref_axis',(1.,0.,0.)); #35748=DIRECTION('',(-1.,0.,0.)); #35749=DIRECTION('center_axis',(0.,0.,-1.)); #35750=DIRECTION('ref_axis',(1.,-3.83361042428972E-15,0.)); #35751=DIRECTION('',(0.119045928507149,0.992888748504016,0.)); #35752=DIRECTION('center_axis',(0.,0.,-1.)); #35753=DIRECTION('ref_axis',(0.173648177666936,0.984807753012207,0.)); #35754=DIRECTION('',(-1.,0.,0.)); #35755=DIRECTION('center_axis',(0.,0.,-1.)); #35756=DIRECTION('ref_axis',(0.,1.,0.)); #35757=DIRECTION('',(0.341610732010958,0.939841533331517,0.)); #35758=DIRECTION('center_axis',(0.,0.,-1.)); #35759=DIRECTION('ref_axis',(0.939841533331517,-0.341610732010958,0.)); #35760=DIRECTION('',(1.,2.49189949614184E-16,0.)); #35761=DIRECTION('center_axis',(0.,0.,-1.)); #35762=DIRECTION('ref_axis',(0.,-1.,0.)); #35763=DIRECTION('',(-0.119046952301552,-0.992888625752009,0.)); #35764=DIRECTION('center_axis',(0.,0.,-1.)); #35765=DIRECTION('ref_axis',(-0.992888625752009,0.119046952301552,0.)); #35766=DIRECTION('center_axis',(0.,0.,-1.)); #35767=DIRECTION('ref_axis',(0.453678754751077,-0.8911652975108,0.)); #35768=DIRECTION('center_axis',(0.,0.,1.)); #35769=DIRECTION('ref_axis',(-0.145051793107033,-0.989424063441169,0.)); #35770=DIRECTION('',(-0.939692620785905,0.342020143325679,0.)); #35771=DIRECTION('center_axis',(0.,0.,1.)); #35772=DIRECTION('ref_axis',(0.173648177666936,0.984807753012207,0.)); #35773=DIRECTION('',(0.,0.,-1.)); #35774=DIRECTION('',(0.,0.,1.)); #35775=DIRECTION('center_axis',(0.,-1.,0.)); #35776=DIRECTION('ref_axis',(1.,0.,0.)); #35777=DIRECTION('',(0.,0.,-1.)); #35778=DIRECTION('center_axis',(0.,0.,1.)); #35779=DIRECTION('ref_axis',(-0.145051793107033,-0.989424063441169,0.)); #35780=DIRECTION('',(0.,0.,1.)); #35781=DIRECTION('',(0.,0.,-1.)); #35782=DIRECTION('center_axis',(0.342020143325679,0.939692620785905,0.)); #35783=DIRECTION('ref_axis',(-0.939692620785905,0.342020143325679,0.)); #35784=DIRECTION('center_axis',(0.,0.,1.)); #35785=DIRECTION('ref_axis',(0.,1.,0.)); #35786=DIRECTION('',(0.,0.,-1.)); #35787=DIRECTION('center_axis',(0.,0.,1.)); #35788=DIRECTION('ref_axis',(0.,-1.,0.)); #35789=DIRECTION('',(0.,0.,-1.)); #35790=DIRECTION('',(0.,0.,-1.)); #35791=DIRECTION('center_axis',(0.,0.,1.)); #35792=DIRECTION('ref_axis',(-0.992888625752009,0.119046952301552,0.)); #35793=DIRECTION('',(0.,0.,-1.)); #35794=DIRECTION('',(0.,0.,1.)); #35795=DIRECTION('center_axis',(0.,0.,1.)); #35796=DIRECTION('ref_axis',(0.939841533331517,-0.341610732010958,0.)); #35797=DIRECTION('',(0.,0.,-1.)); #35798=DIRECTION('',(0.,0.,-1.)); #35799=DIRECTION('center_axis',(-2.49189949614184E-16,1.,0.)); #35800=DIRECTION('ref_axis',(-1.,-2.49189949614184E-16,0.)); #35801=DIRECTION('center_axis',(-0.987526059931184,0.118402972138979,-0.103793145946383)); #35802=DIRECTION('ref_axis',(0.103055059248253,-0.0123560474585355,-0.994598905516461)); #35803=DIRECTION('',(-0.119045939536509,-0.992888747181606,-1.06445901495563E-7)); #35804=DIRECTION('',(-0.104528436213408,1.48929815919914E-8,0.994521898211789)); #35805=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #35806=DIRECTION('ref_axis',(-0.103055059248255,0.0123562607170245,-0.994598902867096)); #35807=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #35808=DIRECTION('ref_axis',(-0.107457998287407,-0.0249823770453001,-0.993895698472043)); #35809=DIRECTION('',(-0.119045928507149,-0.992888748504016,0.)); #35810=DIRECTION('center_axis',(-0.987526059931184,0.118402949884006,0.103793171333942)); #35811=DIRECTION('ref_axis',(0.101532751961232,-0.0249823770453107,0.994518466955916)); #35812=DIRECTION('center_axis',(-0.104528463267819,-1.77130684153669E-15, 0.994521895368256)); #35813=DIRECTION('ref_axis',(5.50751365492214E-15,-1.,-1.20220068389589E-15)); #35814=DIRECTION('center_axis',(0.,0.,1.)); #35815=DIRECTION('ref_axis',(1.,5.50751365492214E-15,0.)); #35816=DIRECTION('',(-0.104528463267819,-1.77130684153669E-15,0.994521895368256)); #35817=DIRECTION('center_axis',(0.,0.,1.)); #35818=DIRECTION('ref_axis',(1.,0.,0.)); #35819=DIRECTION('center_axis',(0.,0.,-1.)); #35820=DIRECTION('ref_axis',(1.,-3.83361042428972E-15,0.)); #35821=DIRECTION('center_axis',(-7.84645488594967E-16,-1.,-8.42762927876514E-16)); #35822=DIRECTION('ref_axis',(-0.951056516295154,4.85814137966807E-16,0.309016994374947)); #35823=DIRECTION('',(-0.309016994374947,1.04398396478442E-15,-0.951056516295154)); #35824=DIRECTION('center_axis',(-0.309016994374947,1.04398396478442E-15, -0.951056516295154)); #35825=DIRECTION('ref_axis',(0.56526884746545,-0.804199956311576,-0.1836669822084)); #35826=DIRECTION('center_axis',(-0.939841533331517,0.341610732010958,0.)); #35827=DIRECTION('ref_axis',(-0.341610732010958,-0.939841533331517,0.)); #35828=DIRECTION('center_axis',(0.992888625752009,-0.119046952301552,0.)); #35829=DIRECTION('ref_axis',(0.119046952301552,0.992888625752009,0.)); #35830=DIRECTION('center_axis',(0.,0.,1.)); #35831=DIRECTION('ref_axis',(0.453678754751077,-0.8911652975108,0.)); #35832=DIRECTION('axis',(0.,0.,1.)); #35833=DIRECTION('refdir',(1.,0.,0.)); #35834=DIRECTION('center_axis',(0.,0.,1.)); #35835=DIRECTION('ref_axis',(-1.,0.,0.)); #35836=DIRECTION('center_axis',(0.,0.,-1.)); #35837=DIRECTION('ref_axis',(-1.,0.,0.)); #35838=DIRECTION('',(0.,0.,-1.)); #35839=DIRECTION('center_axis',(0.,0.,-1.)); #35840=DIRECTION('ref_axis',(-1.,0.,0.)); #35841=DIRECTION('center_axis',(0.,0.,1.)); #35842=DIRECTION('ref_axis',(-1.,0.,0.)); #35843=DIRECTION('center_axis',(0.,0.,-1.)); #35844=DIRECTION('ref_axis',(-1.,0.,0.)); #35845=DIRECTION('',(0.,0.,-1.)); #35846=DIRECTION('center_axis',(0.,0.,-1.)); #35847=DIRECTION('ref_axis',(-1.,0.,0.)); #35848=DIRECTION('center_axis',(0.,0.,1.)); #35849=DIRECTION('ref_axis',(-1.,0.,0.)); #35850=DIRECTION('center_axis',(0.,0.,-1.)); #35851=DIRECTION('ref_axis',(-1.,0.,0.)); #35852=DIRECTION('',(0.,0.,-1.)); #35853=DIRECTION('center_axis',(0.,0.,-1.)); #35854=DIRECTION('ref_axis',(-1.,0.,0.)); #35855=DIRECTION('center_axis',(0.,0.,1.)); #35856=DIRECTION('ref_axis',(-1.,0.,0.)); #35857=DIRECTION('center_axis',(0.,0.,-1.)); #35858=DIRECTION('ref_axis',(-1.,0.,0.)); #35859=DIRECTION('',(0.,0.,-1.)); #35860=DIRECTION('center_axis',(0.,0.,-1.)); #35861=DIRECTION('ref_axis',(-1.,0.,0.)); #35862=DIRECTION('center_axis',(0.,0.,1.)); #35863=DIRECTION('ref_axis',(-1.,0.,0.)); #35864=DIRECTION('center_axis',(0.,0.,-1.)); #35865=DIRECTION('ref_axis',(-1.,0.,0.)); #35866=DIRECTION('',(0.,0.,-1.)); #35867=DIRECTION('center_axis',(0.,0.,-1.)); #35868=DIRECTION('ref_axis',(-1.,0.,0.)); #35869=DIRECTION('center_axis',(0.,0.,1.)); #35870=DIRECTION('ref_axis',(-1.,0.,0.)); #35871=DIRECTION('center_axis',(0.,0.,-1.)); #35872=DIRECTION('ref_axis',(-1.,0.,0.)); #35873=DIRECTION('',(0.,0.,-1.)); #35874=DIRECTION('center_axis',(0.,0.,-1.)); #35875=DIRECTION('ref_axis',(-1.,0.,0.)); #35876=DIRECTION('center_axis',(0.,0.,1.)); #35877=DIRECTION('ref_axis',(-1.,0.,0.)); #35878=DIRECTION('center_axis',(0.,0.,-1.)); #35879=DIRECTION('ref_axis',(-1.,0.,0.)); #35880=DIRECTION('',(0.,0.,-1.)); #35881=DIRECTION('center_axis',(0.,0.,-1.)); #35882=DIRECTION('ref_axis',(-1.,0.,0.)); #35883=DIRECTION('center_axis',(0.,0.,1.)); #35884=DIRECTION('ref_axis',(-1.,0.,0.)); #35885=DIRECTION('center_axis',(0.,0.,-1.)); #35886=DIRECTION('ref_axis',(-1.,0.,0.)); #35887=DIRECTION('',(0.,0.,-1.)); #35888=DIRECTION('center_axis',(0.,0.,-1.)); #35889=DIRECTION('ref_axis',(-1.,0.,0.)); #35890=DIRECTION('center_axis',(0.,0.,1.)); #35891=DIRECTION('ref_axis',(-1.,0.,0.)); #35892=DIRECTION('center_axis',(0.,0.,-1.)); #35893=DIRECTION('ref_axis',(-1.,0.,0.)); #35894=DIRECTION('',(0.,0.,-1.)); #35895=DIRECTION('center_axis',(0.,0.,-1.)); #35896=DIRECTION('ref_axis',(-1.,0.,0.)); #35897=DIRECTION('center_axis',(0.,0.,1.)); #35898=DIRECTION('ref_axis',(-1.,0.,0.)); #35899=DIRECTION('center_axis',(0.,0.,-1.)); #35900=DIRECTION('ref_axis',(-1.,0.,0.)); #35901=DIRECTION('',(0.,0.,-1.)); #35902=DIRECTION('center_axis',(0.,0.,-1.)); #35903=DIRECTION('ref_axis',(-1.,0.,0.)); #35904=DIRECTION('center_axis',(0.,0.,1.)); #35905=DIRECTION('ref_axis',(-1.,0.,0.)); #35906=DIRECTION('center_axis',(0.,0.,-1.)); #35907=DIRECTION('ref_axis',(-1.,0.,0.)); #35908=DIRECTION('',(0.,0.,-1.)); #35909=DIRECTION('center_axis',(0.,0.,-1.)); #35910=DIRECTION('ref_axis',(-1.,0.,0.)); #35911=DIRECTION('center_axis',(0.,0.,1.)); #35912=DIRECTION('ref_axis',(-1.,0.,0.)); #35913=DIRECTION('center_axis',(0.,0.,-1.)); #35914=DIRECTION('ref_axis',(-1.,0.,0.)); #35915=DIRECTION('',(0.,0.,-1.)); #35916=DIRECTION('center_axis',(0.,0.,-1.)); #35917=DIRECTION('ref_axis',(-1.,0.,0.)); #35918=DIRECTION('center_axis',(0.,0.,1.)); #35919=DIRECTION('ref_axis',(-1.,0.,0.)); #35920=DIRECTION('center_axis',(0.,0.,-1.)); #35921=DIRECTION('ref_axis',(-1.,0.,0.)); #35922=DIRECTION('',(0.,0.,-1.)); #35923=DIRECTION('center_axis',(0.,0.,-1.)); #35924=DIRECTION('ref_axis',(-1.,0.,0.)); #35925=DIRECTION('center_axis',(0.,0.,1.)); #35926=DIRECTION('ref_axis',(-1.,0.,0.)); #35927=DIRECTION('center_axis',(0.,0.,-1.)); #35928=DIRECTION('ref_axis',(-1.,0.,0.)); #35929=DIRECTION('',(0.,0.,-1.)); #35930=DIRECTION('center_axis',(0.,0.,-1.)); #35931=DIRECTION('ref_axis',(-1.,0.,0.)); #35932=DIRECTION('center_axis',(0.,0.,1.)); #35933=DIRECTION('ref_axis',(-1.,0.,0.)); #35934=DIRECTION('center_axis',(0.,0.,-1.)); #35935=DIRECTION('ref_axis',(-1.,0.,0.)); #35936=DIRECTION('',(0.,0.,-1.)); #35937=DIRECTION('center_axis',(0.,0.,-1.)); #35938=DIRECTION('ref_axis',(-1.,0.,0.)); #35939=DIRECTION('center_axis',(0.,0.,1.)); #35940=DIRECTION('ref_axis',(-1.,0.,0.)); #35941=DIRECTION('center_axis',(0.,0.,-1.)); #35942=DIRECTION('ref_axis',(-1.,0.,0.)); #35943=DIRECTION('',(0.,0.,-1.)); #35944=DIRECTION('center_axis',(0.,0.,-1.)); #35945=DIRECTION('ref_axis',(-1.,0.,0.)); #35946=DIRECTION('center_axis',(0.,0.,1.)); #35947=DIRECTION('ref_axis',(-1.,0.,0.)); #35948=DIRECTION('center_axis',(0.,0.,-1.)); #35949=DIRECTION('ref_axis',(-1.,0.,0.)); #35950=DIRECTION('',(0.,0.,-1.)); #35951=DIRECTION('center_axis',(0.,0.,-1.)); #35952=DIRECTION('ref_axis',(-1.,0.,0.)); #35953=DIRECTION('center_axis',(0.,0.,1.)); #35954=DIRECTION('ref_axis',(-1.,0.,0.)); #35955=DIRECTION('center_axis',(0.,0.,-1.)); #35956=DIRECTION('ref_axis',(-1.,0.,0.)); #35957=DIRECTION('',(0.,0.,-1.)); #35958=DIRECTION('center_axis',(0.,0.,-1.)); #35959=DIRECTION('ref_axis',(-1.,0.,0.)); #35960=DIRECTION('center_axis',(0.,0.,1.)); #35961=DIRECTION('ref_axis',(-1.,0.,0.)); #35962=DIRECTION('center_axis',(0.,0.,-1.)); #35963=DIRECTION('ref_axis',(-1.,0.,0.)); #35964=DIRECTION('',(0.,0.,-1.)); #35965=DIRECTION('center_axis',(0.,0.,-1.)); #35966=DIRECTION('ref_axis',(-1.,0.,0.)); #35967=DIRECTION('center_axis',(0.,0.,1.)); #35968=DIRECTION('ref_axis',(-1.,0.,0.)); #35969=DIRECTION('center_axis',(0.,0.,-1.)); #35970=DIRECTION('ref_axis',(-1.,0.,0.)); #35971=DIRECTION('',(0.,0.,-1.)); #35972=DIRECTION('center_axis',(0.,0.,-1.)); #35973=DIRECTION('ref_axis',(-1.,0.,0.)); #35974=DIRECTION('center_axis',(0.,0.,1.)); #35975=DIRECTION('ref_axis',(-1.,0.,0.)); #35976=DIRECTION('center_axis',(0.,0.,-1.)); #35977=DIRECTION('ref_axis',(-1.,0.,0.)); #35978=DIRECTION('',(0.,0.,-1.)); #35979=DIRECTION('center_axis',(0.,0.,-1.)); #35980=DIRECTION('ref_axis',(-1.,0.,0.)); #35981=DIRECTION('center_axis',(0.,0.,1.)); #35982=DIRECTION('ref_axis',(-1.,0.,0.)); #35983=DIRECTION('center_axis',(0.,0.,-1.)); #35984=DIRECTION('ref_axis',(-1.,0.,0.)); #35985=DIRECTION('',(0.,0.,-1.)); #35986=DIRECTION('center_axis',(0.,0.,-1.)); #35987=DIRECTION('ref_axis',(-1.,0.,0.)); #35988=DIRECTION('center_axis',(0.,0.,1.)); #35989=DIRECTION('ref_axis',(-1.,0.,0.)); #35990=DIRECTION('center_axis',(0.,0.,-1.)); #35991=DIRECTION('ref_axis',(-1.,0.,0.)); #35992=DIRECTION('',(0.,0.,-1.)); #35993=DIRECTION('center_axis',(0.,0.,-1.)); #35994=DIRECTION('ref_axis',(-1.,0.,0.)); #35995=DIRECTION('center_axis',(0.,0.,1.)); #35996=DIRECTION('ref_axis',(-1.,0.,0.)); #35997=DIRECTION('center_axis',(0.,0.,-1.)); #35998=DIRECTION('ref_axis',(-1.,0.,0.)); #35999=DIRECTION('',(0.,0.,-1.)); #36000=DIRECTION('center_axis',(0.,0.,-1.)); #36001=DIRECTION('ref_axis',(-1.,0.,0.)); #36002=DIRECTION('center_axis',(0.,0.,1.)); #36003=DIRECTION('ref_axis',(-1.,0.,0.)); #36004=DIRECTION('center_axis',(0.,0.,-1.)); #36005=DIRECTION('ref_axis',(-1.,0.,0.)); #36006=DIRECTION('',(0.,0.,-1.)); #36007=DIRECTION('center_axis',(0.,0.,-1.)); #36008=DIRECTION('ref_axis',(-1.,0.,0.)); #36009=DIRECTION('center_axis',(0.,0.,1.)); #36010=DIRECTION('ref_axis',(-1.,0.,0.)); #36011=DIRECTION('center_axis',(0.,0.,-1.)); #36012=DIRECTION('ref_axis',(-1.,0.,0.)); #36013=DIRECTION('',(0.,0.,-1.)); #36014=DIRECTION('center_axis',(0.,0.,-1.)); #36015=DIRECTION('ref_axis',(-1.,0.,0.)); #36016=DIRECTION('center_axis',(0.,0.,1.)); #36017=DIRECTION('ref_axis',(-1.,0.,0.)); #36018=DIRECTION('center_axis',(0.,0.,-1.)); #36019=DIRECTION('ref_axis',(-1.,0.,0.)); #36020=DIRECTION('',(0.,0.,-1.)); #36021=DIRECTION('center_axis',(0.,0.,-1.)); #36022=DIRECTION('ref_axis',(-1.,0.,0.)); #36023=DIRECTION('center_axis',(0.,0.,1.)); #36024=DIRECTION('ref_axis',(-1.,0.,0.)); #36025=DIRECTION('center_axis',(0.,0.,-1.)); #36026=DIRECTION('ref_axis',(-1.,0.,0.)); #36027=DIRECTION('',(0.,0.,-1.)); #36028=DIRECTION('center_axis',(0.,0.,-1.)); #36029=DIRECTION('ref_axis',(-1.,0.,0.)); #36030=DIRECTION('center_axis',(0.,0.,1.)); #36031=DIRECTION('ref_axis',(-1.,0.,0.)); #36032=DIRECTION('center_axis',(0.,0.,-1.)); #36033=DIRECTION('ref_axis',(-1.,0.,0.)); #36034=DIRECTION('',(0.,0.,-1.)); #36035=DIRECTION('center_axis',(0.,0.,-1.)); #36036=DIRECTION('ref_axis',(-1.,0.,0.)); #36037=DIRECTION('center_axis',(0.,0.,1.)); #36038=DIRECTION('ref_axis',(-1.,0.,0.)); #36039=DIRECTION('center_axis',(0.,0.,-1.)); #36040=DIRECTION('ref_axis',(-1.,0.,0.)); #36041=DIRECTION('',(0.,0.,-1.)); #36042=DIRECTION('center_axis',(0.,0.,-1.)); #36043=DIRECTION('ref_axis',(-1.,0.,0.)); #36044=DIRECTION('center_axis',(0.,0.,1.)); #36045=DIRECTION('ref_axis',(-1.,0.,0.)); #36046=DIRECTION('center_axis',(0.,0.,-1.)); #36047=DIRECTION('ref_axis',(-1.,0.,0.)); #36048=DIRECTION('',(0.,0.,-1.)); #36049=DIRECTION('center_axis',(0.,0.,-1.)); #36050=DIRECTION('ref_axis',(-1.,0.,0.)); #36051=DIRECTION('center_axis',(0.,0.,1.)); #36052=DIRECTION('ref_axis',(-1.,0.,0.)); #36053=DIRECTION('center_axis',(0.,0.,-1.)); #36054=DIRECTION('ref_axis',(-1.,0.,0.)); #36055=DIRECTION('',(0.,0.,-1.)); #36056=DIRECTION('center_axis',(0.,0.,-1.)); #36057=DIRECTION('ref_axis',(-1.,0.,0.)); #36058=DIRECTION('center_axis',(0.,0.,1.)); #36059=DIRECTION('ref_axis',(-1.,0.,0.)); #36060=DIRECTION('center_axis',(0.,0.,-1.)); #36061=DIRECTION('ref_axis',(-1.,0.,0.)); #36062=DIRECTION('',(0.,0.,-1.)); #36063=DIRECTION('center_axis',(0.,0.,-1.)); #36064=DIRECTION('ref_axis',(-1.,0.,0.)); #36065=DIRECTION('center_axis',(0.,0.,1.)); #36066=DIRECTION('ref_axis',(-1.,0.,0.)); #36067=DIRECTION('center_axis',(0.,0.,-1.)); #36068=DIRECTION('ref_axis',(-1.,0.,0.)); #36069=DIRECTION('',(0.,0.,-1.)); #36070=DIRECTION('center_axis',(0.,0.,-1.)); #36071=DIRECTION('ref_axis',(-1.,0.,0.)); #36072=DIRECTION('center_axis',(0.,0.,1.)); #36073=DIRECTION('ref_axis',(-1.,0.,0.)); #36074=DIRECTION('center_axis',(0.,0.,-1.)); #36075=DIRECTION('ref_axis',(-1.,0.,0.)); #36076=DIRECTION('',(0.,0.,-1.)); #36077=DIRECTION('center_axis',(0.,0.,-1.)); #36078=DIRECTION('ref_axis',(-1.,0.,0.)); #36079=DIRECTION('center_axis',(0.,0.,1.)); #36080=DIRECTION('ref_axis',(-1.,0.,0.)); #36081=DIRECTION('center_axis',(0.,0.,-1.)); #36082=DIRECTION('ref_axis',(-1.,0.,0.)); #36083=DIRECTION('',(0.,0.,-1.)); #36084=DIRECTION('center_axis',(0.,0.,-1.)); #36085=DIRECTION('ref_axis',(-1.,0.,0.)); #36086=DIRECTION('center_axis',(0.,0.,1.)); #36087=DIRECTION('ref_axis',(-1.,0.,0.)); #36088=DIRECTION('center_axis',(0.,0.,-1.)); #36089=DIRECTION('ref_axis',(-1.,0.,0.)); #36090=DIRECTION('',(0.,0.,-1.)); #36091=DIRECTION('center_axis',(0.,0.,-1.)); #36092=DIRECTION('ref_axis',(-1.,0.,0.)); #36093=DIRECTION('center_axis',(0.,0.,1.)); #36094=DIRECTION('ref_axis',(-1.,0.,0.)); #36095=DIRECTION('center_axis',(0.,0.,-1.)); #36096=DIRECTION('ref_axis',(-1.,0.,0.)); #36097=DIRECTION('',(0.,0.,-1.)); #36098=DIRECTION('center_axis',(0.,0.,-1.)); #36099=DIRECTION('ref_axis',(-1.,0.,0.)); #36100=DIRECTION('center_axis',(0.,0.,1.)); #36101=DIRECTION('ref_axis',(-1.,0.,0.)); #36102=DIRECTION('center_axis',(0.,0.,-1.)); #36103=DIRECTION('ref_axis',(-1.,0.,0.)); #36104=DIRECTION('',(0.,0.,-1.)); #36105=DIRECTION('center_axis',(0.,0.,-1.)); #36106=DIRECTION('ref_axis',(-1.,0.,0.)); #36107=DIRECTION('center_axis',(0.,0.,1.)); #36108=DIRECTION('ref_axis',(-1.,0.,0.)); #36109=DIRECTION('center_axis',(0.,0.,-1.)); #36110=DIRECTION('ref_axis',(-1.,0.,0.)); #36111=DIRECTION('',(0.,0.,-1.)); #36112=DIRECTION('center_axis',(0.,0.,-1.)); #36113=DIRECTION('ref_axis',(-1.,0.,0.)); #36114=DIRECTION('center_axis',(0.,0.,1.)); #36115=DIRECTION('ref_axis',(-1.,0.,0.)); #36116=DIRECTION('center_axis',(0.,0.,-1.)); #36117=DIRECTION('ref_axis',(-1.,0.,0.)); #36118=DIRECTION('',(0.,0.,-1.)); #36119=DIRECTION('center_axis',(0.,0.,-1.)); #36120=DIRECTION('ref_axis',(-1.,0.,0.)); #36121=DIRECTION('center_axis',(0.,0.,1.)); #36122=DIRECTION('ref_axis',(-1.,0.,0.)); #36123=DIRECTION('center_axis',(0.,0.,-1.)); #36124=DIRECTION('ref_axis',(-1.,0.,0.)); #36125=DIRECTION('',(0.,0.,-1.)); #36126=DIRECTION('center_axis',(0.,0.,-1.)); #36127=DIRECTION('ref_axis',(-1.,0.,0.)); #36128=DIRECTION('center_axis',(0.,0.,1.)); #36129=DIRECTION('ref_axis',(-1.,0.,0.)); #36130=DIRECTION('center_axis',(0.,0.,-1.)); #36131=DIRECTION('ref_axis',(-1.,0.,0.)); #36132=DIRECTION('',(0.,0.,-1.)); #36133=DIRECTION('center_axis',(0.,0.,-1.)); #36134=DIRECTION('ref_axis',(-1.,0.,0.)); #36135=DIRECTION('center_axis',(0.,0.,1.)); #36136=DIRECTION('ref_axis',(-1.,0.,0.)); #36137=DIRECTION('center_axis',(0.,0.,-1.)); #36138=DIRECTION('ref_axis',(-1.,0.,0.)); #36139=DIRECTION('',(0.,0.,-1.)); #36140=DIRECTION('center_axis',(0.,0.,-1.)); #36141=DIRECTION('ref_axis',(-1.,0.,0.)); #36142=DIRECTION('center_axis',(0.,0.,1.)); #36143=DIRECTION('ref_axis',(-1.,0.,0.)); #36144=DIRECTION('center_axis',(0.,0.,-1.)); #36145=DIRECTION('ref_axis',(-1.,0.,0.)); #36146=DIRECTION('',(0.,0.,-1.)); #36147=DIRECTION('center_axis',(0.,0.,-1.)); #36148=DIRECTION('ref_axis',(-1.,0.,0.)); #36149=DIRECTION('center_axis',(0.,0.,1.)); #36150=DIRECTION('ref_axis',(-1.,0.,0.)); #36151=DIRECTION('center_axis',(0.,0.,-1.)); #36152=DIRECTION('ref_axis',(-1.,0.,0.)); #36153=DIRECTION('',(0.,0.,-1.)); #36154=DIRECTION('center_axis',(0.,0.,-1.)); #36155=DIRECTION('ref_axis',(-1.,0.,0.)); #36156=DIRECTION('center_axis',(0.,0.,1.)); #36157=DIRECTION('ref_axis',(-1.,0.,0.)); #36158=DIRECTION('center_axis',(0.,0.,-1.)); #36159=DIRECTION('ref_axis',(-1.,0.,0.)); #36160=DIRECTION('',(0.,0.,-1.)); #36161=DIRECTION('center_axis',(0.,0.,-1.)); #36162=DIRECTION('ref_axis',(-1.,0.,0.)); #36163=DIRECTION('center_axis',(0.,0.,1.)); #36164=DIRECTION('ref_axis',(-1.,0.,0.)); #36165=DIRECTION('center_axis',(0.,0.,-1.)); #36166=DIRECTION('ref_axis',(-1.,0.,0.)); #36167=DIRECTION('',(0.,0.,-1.)); #36168=DIRECTION('center_axis',(0.,0.,-1.)); #36169=DIRECTION('ref_axis',(-1.,0.,0.)); #36170=DIRECTION('center_axis',(0.,0.,1.)); #36171=DIRECTION('ref_axis',(-1.,0.,0.)); #36172=DIRECTION('center_axis',(0.,0.,-1.)); #36173=DIRECTION('ref_axis',(-1.,0.,0.)); #36174=DIRECTION('',(0.,0.,-1.)); #36175=DIRECTION('center_axis',(0.,0.,-1.)); #36176=DIRECTION('ref_axis',(-1.,0.,0.)); #36177=DIRECTION('center_axis',(0.,0.,1.)); #36178=DIRECTION('ref_axis',(-1.,0.,0.)); #36179=DIRECTION('center_axis',(0.,0.,-1.)); #36180=DIRECTION('ref_axis',(-1.,0.,0.)); #36181=DIRECTION('',(0.,0.,-1.)); #36182=DIRECTION('center_axis',(0.,0.,-1.)); #36183=DIRECTION('ref_axis',(-1.,0.,0.)); #36184=DIRECTION('center_axis',(0.,0.,1.)); #36185=DIRECTION('ref_axis',(-1.,0.,0.)); #36186=DIRECTION('center_axis',(0.,0.,-1.)); #36187=DIRECTION('ref_axis',(-1.,0.,0.)); #36188=DIRECTION('',(0.,0.,-1.)); #36189=DIRECTION('center_axis',(0.,0.,-1.)); #36190=DIRECTION('ref_axis',(-1.,0.,0.)); #36191=DIRECTION('center_axis',(0.,0.,1.)); #36192=DIRECTION('ref_axis',(-1.,0.,0.)); #36193=DIRECTION('center_axis',(0.,0.,-1.)); #36194=DIRECTION('ref_axis',(-1.,0.,0.)); #36195=DIRECTION('',(0.,0.,-1.)); #36196=DIRECTION('center_axis',(0.,0.,-1.)); #36197=DIRECTION('ref_axis',(-1.,0.,0.)); #36198=DIRECTION('center_axis',(0.,0.,1.)); #36199=DIRECTION('ref_axis',(-1.,0.,0.)); #36200=DIRECTION('center_axis',(0.,0.,-1.)); #36201=DIRECTION('ref_axis',(-1.,0.,0.)); #36202=DIRECTION('',(0.,0.,-1.)); #36203=DIRECTION('center_axis',(0.,0.,-1.)); #36204=DIRECTION('ref_axis',(-1.,0.,0.)); #36205=DIRECTION('center_axis',(0.,0.,1.)); #36206=DIRECTION('ref_axis',(-1.,0.,0.)); #36207=DIRECTION('center_axis',(0.,0.,-1.)); #36208=DIRECTION('ref_axis',(-1.,0.,0.)); #36209=DIRECTION('',(0.,0.,-1.)); #36210=DIRECTION('center_axis',(0.,0.,-1.)); #36211=DIRECTION('ref_axis',(-1.,0.,0.)); #36212=DIRECTION('center_axis',(0.,0.,1.)); #36213=DIRECTION('ref_axis',(-1.,0.,0.)); #36214=DIRECTION('center_axis',(0.,0.,-1.)); #36215=DIRECTION('ref_axis',(-1.,0.,0.)); #36216=DIRECTION('',(0.,0.,-1.)); #36217=DIRECTION('center_axis',(0.,0.,-1.)); #36218=DIRECTION('ref_axis',(-1.,0.,0.)); #36219=DIRECTION('center_axis',(0.,0.,1.)); #36220=DIRECTION('ref_axis',(-1.,0.,0.)); #36221=DIRECTION('center_axis',(0.,0.,-1.)); #36222=DIRECTION('ref_axis',(-1.,0.,0.)); #36223=DIRECTION('',(0.,0.,-1.)); #36224=DIRECTION('center_axis',(0.,0.,-1.)); #36225=DIRECTION('ref_axis',(-1.,0.,0.)); #36226=DIRECTION('center_axis',(0.,0.,1.)); #36227=DIRECTION('ref_axis',(-1.,0.,0.)); #36228=DIRECTION('center_axis',(0.,0.,-1.)); #36229=DIRECTION('ref_axis',(-1.,0.,0.)); #36230=DIRECTION('',(0.,0.,-1.)); #36231=DIRECTION('center_axis',(0.,0.,-1.)); #36232=DIRECTION('ref_axis',(-1.,0.,0.)); #36233=DIRECTION('center_axis',(0.,0.,1.)); #36234=DIRECTION('ref_axis',(-1.,0.,0.)); #36235=DIRECTION('center_axis',(0.,0.,-1.)); #36236=DIRECTION('ref_axis',(-1.,0.,0.)); #36237=DIRECTION('',(0.,0.,-1.)); #36238=DIRECTION('center_axis',(0.,0.,-1.)); #36239=DIRECTION('ref_axis',(-1.,0.,0.)); #36240=DIRECTION('center_axis',(0.,0.,1.)); #36241=DIRECTION('ref_axis',(-1.,0.,0.)); #36242=DIRECTION('center_axis',(0.,0.,-1.)); #36243=DIRECTION('ref_axis',(-1.,0.,0.)); #36244=DIRECTION('',(0.,0.,-1.)); #36245=DIRECTION('center_axis',(0.,0.,-1.)); #36246=DIRECTION('ref_axis',(-1.,0.,0.)); #36247=DIRECTION('center_axis',(0.,0.,1.)); #36248=DIRECTION('ref_axis',(-1.,0.,0.)); #36249=DIRECTION('center_axis',(0.,0.,-1.)); #36250=DIRECTION('ref_axis',(-1.,0.,0.)); #36251=DIRECTION('',(0.,0.,-1.)); #36252=DIRECTION('center_axis',(0.,0.,-1.)); #36253=DIRECTION('ref_axis',(-1.,0.,0.)); #36254=DIRECTION('center_axis',(0.,0.,1.)); #36255=DIRECTION('ref_axis',(-1.,0.,0.)); #36256=DIRECTION('center_axis',(0.,0.,-1.)); #36257=DIRECTION('ref_axis',(-1.,0.,0.)); #36258=DIRECTION('',(0.,0.,-1.)); #36259=DIRECTION('center_axis',(0.,0.,-1.)); #36260=DIRECTION('ref_axis',(-1.,0.,0.)); #36261=DIRECTION('center_axis',(0.,0.,1.)); #36262=DIRECTION('ref_axis',(-1.,0.,0.)); #36263=DIRECTION('center_axis',(0.,0.,-1.)); #36264=DIRECTION('ref_axis',(-1.,0.,0.)); #36265=DIRECTION('',(0.,0.,-1.)); #36266=DIRECTION('center_axis',(0.,0.,-1.)); #36267=DIRECTION('ref_axis',(-1.,0.,0.)); #36268=DIRECTION('center_axis',(0.,0.,1.)); #36269=DIRECTION('ref_axis',(-1.,0.,0.)); #36270=DIRECTION('center_axis',(0.,0.,-1.)); #36271=DIRECTION('ref_axis',(-1.,0.,0.)); #36272=DIRECTION('',(0.,0.,-1.)); #36273=DIRECTION('center_axis',(0.,0.,-1.)); #36274=DIRECTION('ref_axis',(-1.,0.,0.)); #36275=DIRECTION('center_axis',(0.,0.,1.)); #36276=DIRECTION('ref_axis',(-1.,0.,0.)); #36277=DIRECTION('center_axis',(0.,0.,-1.)); #36278=DIRECTION('ref_axis',(-1.,0.,0.)); #36279=DIRECTION('',(0.,0.,-1.)); #36280=DIRECTION('center_axis',(0.,0.,-1.)); #36281=DIRECTION('ref_axis',(-1.,0.,0.)); #36282=DIRECTION('center_axis',(0.,0.,1.)); #36283=DIRECTION('ref_axis',(-1.,0.,0.)); #36284=DIRECTION('center_axis',(0.,0.,-1.)); #36285=DIRECTION('ref_axis',(-1.,0.,0.)); #36286=DIRECTION('',(0.,0.,-1.)); #36287=DIRECTION('center_axis',(0.,0.,-1.)); #36288=DIRECTION('ref_axis',(-1.,0.,0.)); #36289=DIRECTION('center_axis',(0.,0.,1.)); #36290=DIRECTION('ref_axis',(-1.,0.,0.)); #36291=DIRECTION('center_axis',(0.,0.,-1.)); #36292=DIRECTION('ref_axis',(-1.,0.,0.)); #36293=DIRECTION('',(0.,0.,-1.)); #36294=DIRECTION('center_axis',(0.,0.,-1.)); #36295=DIRECTION('ref_axis',(-1.,0.,0.)); #36296=DIRECTION('center_axis',(0.,0.,1.)); #36297=DIRECTION('ref_axis',(-1.,0.,0.)); #36298=DIRECTION('center_axis',(0.,0.,-1.)); #36299=DIRECTION('ref_axis',(-1.,0.,0.)); #36300=DIRECTION('',(0.,0.,-1.)); #36301=DIRECTION('center_axis',(0.,0.,-1.)); #36302=DIRECTION('ref_axis',(-1.,0.,0.)); #36303=DIRECTION('center_axis',(0.,0.,1.)); #36304=DIRECTION('ref_axis',(-1.,0.,0.)); #36305=DIRECTION('center_axis',(0.,0.,-1.)); #36306=DIRECTION('ref_axis',(-1.,0.,0.)); #36307=DIRECTION('',(0.,0.,-1.)); #36308=DIRECTION('center_axis',(0.,0.,-1.)); #36309=DIRECTION('ref_axis',(-1.,0.,0.)); #36310=DIRECTION('center_axis',(0.,0.,1.)); #36311=DIRECTION('ref_axis',(-1.,0.,0.)); #36312=DIRECTION('center_axis',(0.,0.,-1.)); #36313=DIRECTION('ref_axis',(-1.,0.,0.)); #36314=DIRECTION('',(0.,0.,-1.)); #36315=DIRECTION('center_axis',(0.,0.,-1.)); #36316=DIRECTION('ref_axis',(-1.,0.,0.)); #36317=DIRECTION('center_axis',(0.,0.,1.)); #36318=DIRECTION('ref_axis',(-1.,0.,0.)); #36319=DIRECTION('center_axis',(0.,0.,-1.)); #36320=DIRECTION('ref_axis',(-1.,0.,0.)); #36321=DIRECTION('',(0.,0.,-1.)); #36322=DIRECTION('center_axis',(0.,0.,-1.)); #36323=DIRECTION('ref_axis',(-1.,0.,0.)); #36324=DIRECTION('center_axis',(0.,0.,1.)); #36325=DIRECTION('ref_axis',(-1.,0.,0.)); #36326=DIRECTION('center_axis',(0.,0.,-1.)); #36327=DIRECTION('ref_axis',(-1.,0.,0.)); #36328=DIRECTION('',(0.,0.,-1.)); #36329=DIRECTION('center_axis',(0.,0.,-1.)); #36330=DIRECTION('ref_axis',(-1.,0.,0.)); #36331=DIRECTION('center_axis',(0.,0.,1.)); #36332=DIRECTION('ref_axis',(-1.,0.,0.)); #36333=DIRECTION('center_axis',(0.,0.,-1.)); #36334=DIRECTION('ref_axis',(-1.,0.,0.)); #36335=DIRECTION('',(0.,0.,-1.)); #36336=DIRECTION('center_axis',(0.,0.,-1.)); #36337=DIRECTION('ref_axis',(-1.,0.,0.)); #36338=DIRECTION('center_axis',(0.,0.,1.)); #36339=DIRECTION('ref_axis',(-1.,0.,0.)); #36340=DIRECTION('center_axis',(0.,0.,-1.)); #36341=DIRECTION('ref_axis',(-1.,0.,0.)); #36342=DIRECTION('',(0.,0.,-1.)); #36343=DIRECTION('center_axis',(0.,0.,-1.)); #36344=DIRECTION('ref_axis',(-1.,0.,0.)); #36345=DIRECTION('center_axis',(0.,0.,1.)); #36346=DIRECTION('ref_axis',(-1.,0.,0.)); #36347=DIRECTION('center_axis',(0.,0.,-1.)); #36348=DIRECTION('ref_axis',(-1.,0.,0.)); #36349=DIRECTION('',(0.,0.,-1.)); #36350=DIRECTION('center_axis',(0.,0.,-1.)); #36351=DIRECTION('ref_axis',(-1.,0.,0.)); #36352=DIRECTION('center_axis',(0.,0.,1.)); #36353=DIRECTION('ref_axis',(-1.,0.,0.)); #36354=DIRECTION('center_axis',(0.,0.,-1.)); #36355=DIRECTION('ref_axis',(-1.,0.,0.)); #36356=DIRECTION('',(0.,0.,-1.)); #36357=DIRECTION('center_axis',(0.,0.,-1.)); #36358=DIRECTION('ref_axis',(-1.,0.,0.)); #36359=DIRECTION('center_axis',(0.,0.,1.)); #36360=DIRECTION('ref_axis',(-1.,0.,0.)); #36361=DIRECTION('center_axis',(0.,0.,-1.)); #36362=DIRECTION('ref_axis',(-1.,0.,0.)); #36363=DIRECTION('',(0.,0.,-1.)); #36364=DIRECTION('center_axis',(0.,0.,-1.)); #36365=DIRECTION('ref_axis',(-1.,0.,0.)); #36366=DIRECTION('center_axis',(0.,0.,1.)); #36367=DIRECTION('ref_axis',(-1.,0.,0.)); #36368=DIRECTION('center_axis',(0.,0.,-1.)); #36369=DIRECTION('ref_axis',(-1.,0.,0.)); #36370=DIRECTION('',(0.,0.,-1.)); #36371=DIRECTION('center_axis',(0.,0.,-1.)); #36372=DIRECTION('ref_axis',(-1.,0.,0.)); #36373=DIRECTION('center_axis',(0.,0.,1.)); #36374=DIRECTION('ref_axis',(-1.,0.,0.)); #36375=DIRECTION('center_axis',(0.,0.,-1.)); #36376=DIRECTION('ref_axis',(-1.,0.,0.)); #36377=DIRECTION('',(0.,0.,-1.)); #36378=DIRECTION('center_axis',(0.,0.,-1.)); #36379=DIRECTION('ref_axis',(-1.,0.,0.)); #36380=DIRECTION('center_axis',(0.,0.,1.)); #36381=DIRECTION('ref_axis',(-1.,0.,0.)); #36382=DIRECTION('center_axis',(0.,0.,-1.)); #36383=DIRECTION('ref_axis',(-1.,0.,0.)); #36384=DIRECTION('',(0.,0.,-1.)); #36385=DIRECTION('center_axis',(0.,0.,-1.)); #36386=DIRECTION('ref_axis',(-1.,0.,0.)); #36387=DIRECTION('center_axis',(0.,0.,1.)); #36388=DIRECTION('ref_axis',(-1.,0.,0.)); #36389=DIRECTION('center_axis',(0.,0.,-1.)); #36390=DIRECTION('ref_axis',(-1.,0.,0.)); #36391=DIRECTION('',(0.,0.,-1.)); #36392=DIRECTION('center_axis',(0.,0.,-1.)); #36393=DIRECTION('ref_axis',(-1.,0.,0.)); #36394=DIRECTION('center_axis',(0.,0.,1.)); #36395=DIRECTION('ref_axis',(-1.,0.,0.)); #36396=DIRECTION('center_axis',(0.,0.,-1.)); #36397=DIRECTION('ref_axis',(-1.,0.,0.)); #36398=DIRECTION('',(0.,0.,-1.)); #36399=DIRECTION('center_axis',(0.,0.,-1.)); #36400=DIRECTION('ref_axis',(-1.,0.,0.)); #36401=DIRECTION('center_axis',(0.,0.,1.)); #36402=DIRECTION('ref_axis',(-1.,0.,0.)); #36403=DIRECTION('center_axis',(0.,0.,-1.)); #36404=DIRECTION('ref_axis',(-1.,0.,0.)); #36405=DIRECTION('',(0.,0.,-1.)); #36406=DIRECTION('center_axis',(0.,0.,-1.)); #36407=DIRECTION('ref_axis',(-1.,0.,0.)); #36408=DIRECTION('center_axis',(0.,0.,1.)); #36409=DIRECTION('ref_axis',(-1.,0.,0.)); #36410=DIRECTION('center_axis',(0.,0.,-1.)); #36411=DIRECTION('ref_axis',(-1.,0.,0.)); #36412=DIRECTION('',(0.,0.,-1.)); #36413=DIRECTION('center_axis',(0.,0.,-1.)); #36414=DIRECTION('ref_axis',(-1.,0.,0.)); #36415=DIRECTION('center_axis',(0.,0.,1.)); #36416=DIRECTION('ref_axis',(-1.,0.,0.)); #36417=DIRECTION('center_axis',(0.,0.,-1.)); #36418=DIRECTION('ref_axis',(-1.,0.,0.)); #36419=DIRECTION('',(0.,0.,-1.)); #36420=DIRECTION('center_axis',(0.,0.,-1.)); #36421=DIRECTION('ref_axis',(-1.,0.,0.)); #36422=DIRECTION('center_axis',(0.,0.,1.)); #36423=DIRECTION('ref_axis',(-1.,0.,0.)); #36424=DIRECTION('center_axis',(0.,0.,-1.)); #36425=DIRECTION('ref_axis',(-1.,0.,0.)); #36426=DIRECTION('',(0.,0.,-1.)); #36427=DIRECTION('center_axis',(0.,0.,-1.)); #36428=DIRECTION('ref_axis',(-1.,0.,0.)); #36429=DIRECTION('center_axis',(0.,0.,1.)); #36430=DIRECTION('ref_axis',(-1.,0.,0.)); #36431=DIRECTION('center_axis',(0.,0.,-1.)); #36432=DIRECTION('ref_axis',(-1.,0.,0.)); #36433=DIRECTION('',(0.,0.,-1.)); #36434=DIRECTION('center_axis',(0.,0.,-1.)); #36435=DIRECTION('ref_axis',(-1.,0.,0.)); #36436=DIRECTION('center_axis',(0.,0.,1.)); #36437=DIRECTION('ref_axis',(-1.,0.,0.)); #36438=DIRECTION('center_axis',(0.,0.,-1.)); #36439=DIRECTION('ref_axis',(-1.,0.,0.)); #36440=DIRECTION('',(0.,0.,-1.)); #36441=DIRECTION('center_axis',(0.,0.,-1.)); #36442=DIRECTION('ref_axis',(-1.,0.,0.)); #36443=DIRECTION('center_axis',(0.,0.,1.)); #36444=DIRECTION('ref_axis',(-1.,0.,0.)); #36445=DIRECTION('center_axis',(0.,0.,-1.)); #36446=DIRECTION('ref_axis',(-1.,0.,0.)); #36447=DIRECTION('',(0.,0.,-1.)); #36448=DIRECTION('center_axis',(0.,0.,-1.)); #36449=DIRECTION('ref_axis',(-1.,0.,0.)); #36450=DIRECTION('center_axis',(0.,0.,1.)); #36451=DIRECTION('ref_axis',(-1.,0.,0.)); #36452=DIRECTION('center_axis',(0.,0.,-1.)); #36453=DIRECTION('ref_axis',(-1.,0.,0.)); #36454=DIRECTION('',(0.,0.,-1.)); #36455=DIRECTION('center_axis',(0.,0.,-1.)); #36456=DIRECTION('ref_axis',(-1.,0.,0.)); #36457=DIRECTION('center_axis',(0.,0.,1.)); #36458=DIRECTION('ref_axis',(-1.,0.,0.)); #36459=DIRECTION('center_axis',(0.,0.,-1.)); #36460=DIRECTION('ref_axis',(-1.,0.,0.)); #36461=DIRECTION('',(0.,0.,-1.)); #36462=DIRECTION('center_axis',(0.,0.,-1.)); #36463=DIRECTION('ref_axis',(-1.,0.,0.)); #36464=DIRECTION('center_axis',(0.,0.,1.)); #36465=DIRECTION('ref_axis',(-1.,0.,0.)); #36466=DIRECTION('center_axis',(0.,0.,-1.)); #36467=DIRECTION('ref_axis',(-1.,0.,0.)); #36468=DIRECTION('',(0.,0.,-1.)); #36469=DIRECTION('center_axis',(0.,0.,-1.)); #36470=DIRECTION('ref_axis',(-1.,0.,0.)); #36471=DIRECTION('center_axis',(0.,0.,1.)); #36472=DIRECTION('ref_axis',(-1.,0.,0.)); #36473=DIRECTION('center_axis',(0.,0.,-1.)); #36474=DIRECTION('ref_axis',(-1.,0.,0.)); #36475=DIRECTION('',(0.,0.,-1.)); #36476=DIRECTION('center_axis',(0.,0.,-1.)); #36477=DIRECTION('ref_axis',(-1.,0.,0.)); #36478=DIRECTION('center_axis',(0.,0.,1.)); #36479=DIRECTION('ref_axis',(-1.,0.,0.)); #36480=DIRECTION('center_axis',(0.,0.,-1.)); #36481=DIRECTION('ref_axis',(-1.,0.,0.)); #36482=DIRECTION('',(0.,0.,-1.)); #36483=DIRECTION('center_axis',(0.,0.,-1.)); #36484=DIRECTION('ref_axis',(-1.,0.,0.)); #36485=DIRECTION('center_axis',(0.,0.,1.)); #36486=DIRECTION('ref_axis',(-1.,0.,0.)); #36487=DIRECTION('center_axis',(0.,0.,-1.)); #36488=DIRECTION('ref_axis',(-1.,0.,0.)); #36489=DIRECTION('',(0.,0.,-1.)); #36490=DIRECTION('center_axis',(0.,0.,-1.)); #36491=DIRECTION('ref_axis',(-1.,0.,0.)); #36492=DIRECTION('center_axis',(0.,0.,1.)); #36493=DIRECTION('ref_axis',(-1.,0.,0.)); #36494=DIRECTION('center_axis',(0.,0.,-1.)); #36495=DIRECTION('ref_axis',(-1.,0.,0.)); #36496=DIRECTION('',(0.,0.,-1.)); #36497=DIRECTION('center_axis',(0.,0.,-1.)); #36498=DIRECTION('ref_axis',(-1.,0.,0.)); #36499=DIRECTION('center_axis',(0.,0.,1.)); #36500=DIRECTION('ref_axis',(-1.,0.,0.)); #36501=DIRECTION('center_axis',(0.,0.,-1.)); #36502=DIRECTION('ref_axis',(-1.,0.,0.)); #36503=DIRECTION('',(0.,0.,-1.)); #36504=DIRECTION('center_axis',(0.,0.,-1.)); #36505=DIRECTION('ref_axis',(-1.,0.,0.)); #36506=DIRECTION('center_axis',(0.,0.,1.)); #36507=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #36508=DIRECTION('center_axis',(0.,0.,-1.)); #36509=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #36510=DIRECTION('',(0.,0.,1.)); #36511=DIRECTION('center_axis',(0.,0.,-1.)); #36512=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #36513=DIRECTION('',(0.,0.,1.)); #36514=DIRECTION('center_axis',(0.309016994374948,-0.951056516295154,0.)); #36515=DIRECTION('ref_axis',(0.951056516295154,0.309016994374948,0.)); #36516=DIRECTION('',(0.951056516295154,0.309016994374948,0.)); #36517=DIRECTION('',(0.951056516295154,0.309016994374948,0.)); #36518=DIRECTION('',(0.,0.,1.)); #36519=DIRECTION('center_axis',(0.,0.,1.)); #36520=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #36521=DIRECTION('center_axis',(0.,0.,1.)); #36522=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #36523=DIRECTION('center_axis',(0.,0.,1.)); #36524=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #36525=DIRECTION('',(0.,0.,1.)); #36526=DIRECTION('center_axis',(0.309016994374948,0.951056516295154,0.)); #36527=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374948,0.)); #36528=DIRECTION('',(-0.951056516295154,0.309016994374948,0.)); #36529=DIRECTION('',(-0.951056516295154,0.309016994374948,0.)); #36530=DIRECTION('center_axis',(0.,0.,1.)); #36531=DIRECTION('ref_axis',(1.,0.,0.)); #36532=DIRECTION('center_axis',(0.,0.,1.)); #36533=DIRECTION('ref_axis',(1.,0.,0.)); #36534=DIRECTION('axis',(0.,0.,1.)); #36535=DIRECTION('refdir',(1.,0.,0.)); #36536=DIRECTION('center_axis',(0.,0.,1.)); #36537=DIRECTION('ref_axis',(1.,0.,0.)); #36538=DIRECTION('center_axis',(0.,0.,-1.)); #36539=DIRECTION('ref_axis',(1.,0.,0.)); #36540=DIRECTION('',(0.,0.,-1.)); #36541=DIRECTION('center_axis',(0.,0.,1.)); #36542=DIRECTION('ref_axis',(1.,0.,0.)); #36543=DIRECTION('center_axis',(0.,0.,1.)); #36544=DIRECTION('ref_axis',(1.,0.,0.)); #36545=DIRECTION('center_axis',(0.,0.,-1.)); #36546=DIRECTION('ref_axis',(1.,0.,0.)); #36547=DIRECTION('',(0.,0.,-1.)); #36548=DIRECTION('center_axis',(0.,0.,1.)); #36549=DIRECTION('ref_axis',(1.,0.,0.)); #36550=DIRECTION('center_axis',(0.,0.,1.)); #36551=DIRECTION('ref_axis',(1.,0.,0.)); #36552=DIRECTION('center_axis',(0.,0.,-1.)); #36553=DIRECTION('ref_axis',(1.,0.,0.)); #36554=DIRECTION('',(0.,0.,-1.)); #36555=DIRECTION('center_axis',(0.,0.,1.)); #36556=DIRECTION('ref_axis',(1.,0.,0.)); #36557=DIRECTION('center_axis',(0.,0.,1.)); #36558=DIRECTION('ref_axis',(1.,0.,0.)); #36559=DIRECTION('center_axis',(0.,0.,-1.)); #36560=DIRECTION('ref_axis',(1.,0.,0.)); #36561=DIRECTION('',(0.,0.,-1.)); #36562=DIRECTION('center_axis',(0.,0.,1.)); #36563=DIRECTION('ref_axis',(1.,0.,0.)); #36564=DIRECTION('center_axis',(0.,0.,-1.)); #36565=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36566=DIRECTION('center_axis',(0.,0.,-1.)); #36567=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36568=DIRECTION('center_axis',(0.,0.,-1.)); #36569=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36570=DIRECTION('center_axis',(0.,0.,-1.)); #36571=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36572=DIRECTION('center_axis',(0.,0.,-1.)); #36573=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36574=DIRECTION('',(0.,0.,-1.)); #36575=DIRECTION('center_axis',(0.,0.,-1.)); #36576=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36577=DIRECTION('',(0.,0.,-1.)); #36578=DIRECTION('center_axis',(0.,0.,1.)); #36579=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36580=DIRECTION('center_axis',(0.,0.,-1.)); #36581=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36582=DIRECTION('center_axis',(0.,0.,-1.)); #36583=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36584=DIRECTION('center_axis',(0.,0.,-1.)); #36585=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36586=DIRECTION('center_axis',(0.,0.,-1.)); #36587=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36588=DIRECTION('center_axis',(0.,0.,-1.)); #36589=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36590=DIRECTION('',(0.,0.,-1.)); #36591=DIRECTION('center_axis',(0.,0.,-1.)); #36592=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36593=DIRECTION('',(0.,0.,-1.)); #36594=DIRECTION('center_axis',(0.,0.,1.)); #36595=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36596=DIRECTION('center_axis',(0.,0.,-1.)); #36597=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36598=DIRECTION('center_axis',(0.,0.,-1.)); #36599=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36600=DIRECTION('',(0.,0.,-1.)); #36601=DIRECTION('center_axis',(0.,0.,1.)); #36602=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36603=DIRECTION('center_axis',(0.,0.,-1.)); #36604=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36605=DIRECTION('center_axis',(0.,0.,-1.)); #36606=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36607=DIRECTION('',(0.,0.,-1.)); #36608=DIRECTION('center_axis',(0.,0.,-1.)); #36609=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36610=DIRECTION('center_axis',(0.,0.,-1.)); #36611=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36612=DIRECTION('center_axis',(0.,0.,-1.)); #36613=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36614=DIRECTION('center_axis',(0.,0.,-1.)); #36615=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36616=DIRECTION('center_axis',(0.,0.,-1.)); #36617=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36618=DIRECTION('center_axis',(0.,0.,-1.)); #36619=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36620=DIRECTION('center_axis',(0.,0.,-1.)); #36621=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36622=DIRECTION('',(0.,0.,-1.)); #36623=DIRECTION('center_axis',(0.,0.,-1.)); #36624=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36625=DIRECTION('',(0.,0.,-1.)); #36626=DIRECTION('center_axis',(0.,0.,1.)); #36627=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36628=DIRECTION('center_axis',(0.,0.,-1.)); #36629=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36630=DIRECTION('center_axis',(0.,0.,-1.)); #36631=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36632=DIRECTION('',(0.,0.,-1.)); #36633=DIRECTION('center_axis',(0.,0.,1.)); #36634=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36635=DIRECTION('center_axis',(0.,0.,-1.)); #36636=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36637=DIRECTION('center_axis',(0.,0.,-1.)); #36638=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36639=DIRECTION('',(0.,0.,-1.)); #36640=DIRECTION('center_axis',(0.,0.,-1.)); #36641=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36642=DIRECTION('center_axis',(0.,0.,-1.)); #36643=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36644=DIRECTION('center_axis',(0.,0.,-1.)); #36645=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36646=DIRECTION('center_axis',(0.,0.,-1.)); #36647=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36648=DIRECTION('center_axis',(0.,0.,-1.)); #36649=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36650=DIRECTION('center_axis',(0.,0.,-1.)); #36651=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36652=DIRECTION('center_axis',(0.,0.,-1.)); #36653=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36654=DIRECTION('',(0.,0.,-1.)); #36655=DIRECTION('center_axis',(0.,0.,-1.)); #36656=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36657=DIRECTION('',(0.,0.,-1.)); #36658=DIRECTION('center_axis',(0.,0.,1.)); #36659=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36660=DIRECTION('center_axis',(0.,0.,-1.)); #36661=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36662=DIRECTION('center_axis',(0.,0.,-1.)); #36663=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36664=DIRECTION('',(0.,0.,-1.)); #36665=DIRECTION('center_axis',(0.,0.,1.)); #36666=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36667=DIRECTION('center_axis',(0.,0.,-1.)); #36668=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36669=DIRECTION('center_axis',(0.,0.,-1.)); #36670=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36671=DIRECTION('',(0.,0.,-1.)); #36672=DIRECTION('center_axis',(0.,0.,-1.)); #36673=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36674=DIRECTION('center_axis',(0.,0.,-1.)); #36675=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36676=DIRECTION('center_axis',(0.,0.,-1.)); #36677=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36678=DIRECTION('center_axis',(0.,0.,-1.)); #36679=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36680=DIRECTION('center_axis',(0.,0.,-1.)); #36681=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36682=DIRECTION('center_axis',(0.,0.,-1.)); #36683=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36684=DIRECTION('center_axis',(0.,0.,-1.)); #36685=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36686=DIRECTION('',(0.,0.,-1.)); #36687=DIRECTION('center_axis',(0.,0.,-1.)); #36688=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36689=DIRECTION('',(0.,0.,-1.)); #36690=DIRECTION('center_axis',(0.,0.,1.)); #36691=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36692=DIRECTION('center_axis',(0.,0.,-1.)); #36693=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36694=DIRECTION('center_axis',(0.,0.,-1.)); #36695=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36696=DIRECTION('',(0.,0.,-1.)); #36697=DIRECTION('center_axis',(0.,0.,1.)); #36698=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36699=DIRECTION('center_axis',(0.,0.,-1.)); #36700=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36701=DIRECTION('center_axis',(0.,0.,-1.)); #36702=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36703=DIRECTION('',(0.,0.,-1.)); #36704=DIRECTION('center_axis',(0.,0.,-1.)); #36705=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36706=DIRECTION('center_axis',(0.,0.,-1.)); #36707=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36708=DIRECTION('center_axis',(0.,0.,-1.)); #36709=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36710=DIRECTION('center_axis',(0.,0.,-1.)); #36711=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36712=DIRECTION('center_axis',(0.,0.,-1.)); #36713=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36714=DIRECTION('center_axis',(0.,0.,-1.)); #36715=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36716=DIRECTION('center_axis',(0.,0.,-1.)); #36717=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36718=DIRECTION('',(0.,0.,-1.)); #36719=DIRECTION('center_axis',(0.,0.,-1.)); #36720=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36721=DIRECTION('',(0.,0.,-1.)); #36722=DIRECTION('center_axis',(0.,0.,1.)); #36723=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36724=DIRECTION('center_axis',(0.,0.,-1.)); #36725=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36726=DIRECTION('center_axis',(0.,0.,-1.)); #36727=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36728=DIRECTION('',(0.,0.,-1.)); #36729=DIRECTION('center_axis',(0.,0.,1.)); #36730=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36731=DIRECTION('center_axis',(0.,0.,-1.)); #36732=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36733=DIRECTION('center_axis',(0.,0.,-1.)); #36734=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36735=DIRECTION('',(0.,0.,-1.)); #36736=DIRECTION('center_axis',(0.,0.,-1.)); #36737=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36738=DIRECTION('center_axis',(0.,0.,-1.)); #36739=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36740=DIRECTION('center_axis',(0.,0.,-1.)); #36741=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36742=DIRECTION('center_axis',(0.,0.,-1.)); #36743=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36744=DIRECTION('center_axis',(0.,0.,-1.)); #36745=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36746=DIRECTION('center_axis',(0.,0.,-1.)); #36747=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36748=DIRECTION('center_axis',(0.,0.,-1.)); #36749=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36750=DIRECTION('',(0.,0.,-1.)); #36751=DIRECTION('center_axis',(0.,0.,-1.)); #36752=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36753=DIRECTION('',(0.,0.,-1.)); #36754=DIRECTION('center_axis',(0.,0.,1.)); #36755=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36756=DIRECTION('center_axis',(0.,0.,-1.)); #36757=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36758=DIRECTION('center_axis',(0.,0.,-1.)); #36759=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36760=DIRECTION('',(0.,0.,-1.)); #36761=DIRECTION('center_axis',(0.,0.,1.)); #36762=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36763=DIRECTION('center_axis',(0.,0.,-1.)); #36764=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36765=DIRECTION('center_axis',(0.,0.,-1.)); #36766=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36767=DIRECTION('',(0.,0.,-1.)); #36768=DIRECTION('center_axis',(0.,0.,-1.)); #36769=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36770=DIRECTION('center_axis',(0.,0.,-1.)); #36771=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36772=DIRECTION('center_axis',(0.,0.,-1.)); #36773=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36774=DIRECTION('center_axis',(0.,0.,-1.)); #36775=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36776=DIRECTION('center_axis',(0.,0.,-1.)); #36777=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36778=DIRECTION('center_axis',(0.,0.,-1.)); #36779=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36780=DIRECTION('center_axis',(0.,0.,-1.)); #36781=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36782=DIRECTION('',(0.,0.,-1.)); #36783=DIRECTION('center_axis',(0.,0.,-1.)); #36784=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36785=DIRECTION('',(0.,0.,-1.)); #36786=DIRECTION('center_axis',(0.,0.,1.)); #36787=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36788=DIRECTION('center_axis',(0.,0.,-1.)); #36789=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36790=DIRECTION('center_axis',(0.,0.,-1.)); #36791=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36792=DIRECTION('',(0.,0.,-1.)); #36793=DIRECTION('center_axis',(0.,0.,1.)); #36794=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36795=DIRECTION('center_axis',(0.,0.,-1.)); #36796=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36797=DIRECTION('center_axis',(0.,0.,-1.)); #36798=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36799=DIRECTION('',(0.,0.,-1.)); #36800=DIRECTION('center_axis',(0.,0.,-1.)); #36801=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36802=DIRECTION('center_axis',(0.,0.,-1.)); #36803=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #36804=DIRECTION('center_axis',(0.,0.,1.)); #36805=DIRECTION('ref_axis',(1.,0.,0.)); #36806=DIRECTION('center_axis',(0.,0.,1.)); #36807=DIRECTION('ref_axis',(1.,0.,0.)); #36808=DIRECTION('center_axis',(0.,0.,1.)); #36809=DIRECTION('ref_axis',(1.,0.,0.)); #36810=DIRECTION('center_axis',(0.,0.,1.)); #36811=DIRECTION('ref_axis',(1.,0.,0.)); #36812=DIRECTION('center_axis',(0.,0.,-1.)); #36813=DIRECTION('ref_axis',(1.,0.,0.)); #36814=DIRECTION('',(0.,0.,-1.)); #36815=DIRECTION('center_axis',(0.,0.,1.)); #36816=DIRECTION('ref_axis',(1.,0.,0.)); #36817=DIRECTION('',(0.,0.,-1.)); #36818=DIRECTION('center_axis',(0.,0.,1.)); #36819=DIRECTION('ref_axis',(1.,0.,0.)); #36820=DIRECTION('center_axis',(0.,0.,1.)); #36821=DIRECTION('ref_axis',(1.,0.,0.)); #36822=DIRECTION('center_axis',(0.,0.,1.)); #36823=DIRECTION('ref_axis',(1.,0.,0.)); #36824=DIRECTION('center_axis',(0.,0.,1.)); #36825=DIRECTION('ref_axis',(1.,0.,0.)); #36826=DIRECTION('center_axis',(0.,0.,1.)); #36827=DIRECTION('ref_axis',(1.,0.,0.)); #36828=DIRECTION('center_axis',(0.,0.,-1.)); #36829=DIRECTION('ref_axis',(1.,0.,0.)); #36830=DIRECTION('',(0.,0.,-1.)); #36831=DIRECTION('center_axis',(0.,0.,1.)); #36832=DIRECTION('ref_axis',(1.,0.,0.)); #36833=DIRECTION('',(0.,0.,-1.)); #36834=DIRECTION('center_axis',(0.,0.,1.)); #36835=DIRECTION('ref_axis',(1.,0.,0.)); #36836=DIRECTION('center_axis',(0.,0.,1.)); #36837=DIRECTION('ref_axis',(1.,0.,0.)); #36838=DIRECTION('center_axis',(0.,0.,1.)); #36839=DIRECTION('ref_axis',(1.,0.,0.)); #36840=DIRECTION('center_axis',(0.,0.,1.)); #36841=DIRECTION('ref_axis',(1.,0.,0.)); #36842=DIRECTION('center_axis',(0.,0.,1.)); #36843=DIRECTION('ref_axis',(1.,0.,0.)); #36844=DIRECTION('center_axis',(0.,0.,-1.)); #36845=DIRECTION('ref_axis',(1.,0.,0.)); #36846=DIRECTION('',(0.,0.,-1.)); #36847=DIRECTION('center_axis',(0.,0.,1.)); #36848=DIRECTION('ref_axis',(1.,0.,0.)); #36849=DIRECTION('',(0.,0.,-1.)); #36850=DIRECTION('center_axis',(0.,0.,1.)); #36851=DIRECTION('ref_axis',(1.,0.,0.)); #36852=DIRECTION('center_axis',(0.,0.,1.)); #36853=DIRECTION('ref_axis',(1.,0.,0.)); #36854=DIRECTION('center_axis',(0.,0.,1.)); #36855=DIRECTION('ref_axis',(1.,0.,0.)); #36856=DIRECTION('center_axis',(0.,0.,1.)); #36857=DIRECTION('ref_axis',(1.,0.,0.)); #36858=DIRECTION('center_axis',(0.,0.,1.)); #36859=DIRECTION('ref_axis',(1.,0.,0.)); #36860=DIRECTION('center_axis',(0.,0.,-1.)); #36861=DIRECTION('ref_axis',(1.,0.,0.)); #36862=DIRECTION('',(0.,0.,-1.)); #36863=DIRECTION('center_axis',(0.,0.,1.)); #36864=DIRECTION('ref_axis',(1.,0.,0.)); #36865=DIRECTION('',(0.,0.,-1.)); #36866=DIRECTION('center_axis',(0.,0.,1.)); #36867=DIRECTION('ref_axis',(1.,0.,0.)); #36868=DIRECTION('center_axis',(0.,0.,1.)); #36869=DIRECTION('ref_axis',(1.,0.,0.)); #36870=DIRECTION('center_axis',(0.,0.,1.)); #36871=DIRECTION('ref_axis',(1.,0.,0.)); #36872=DIRECTION('center_axis',(0.,0.,1.)); #36873=DIRECTION('ref_axis',(1.,0.,0.)); #36874=DIRECTION('center_axis',(0.,0.,1.)); #36875=DIRECTION('ref_axis',(1.,0.,0.)); #36876=DIRECTION('center_axis',(0.,0.,-1.)); #36877=DIRECTION('ref_axis',(1.,0.,0.)); #36878=DIRECTION('',(0.,0.,-1.)); #36879=DIRECTION('center_axis',(0.,0.,1.)); #36880=DIRECTION('ref_axis',(1.,0.,0.)); #36881=DIRECTION('',(0.,0.,-1.)); #36882=DIRECTION('center_axis',(0.,0.,1.)); #36883=DIRECTION('ref_axis',(1.,0.,0.)); #36884=DIRECTION('center_axis',(0.,0.,1.)); #36885=DIRECTION('ref_axis',(1.,0.,0.)); #36886=DIRECTION('center_axis',(0.,0.,1.)); #36887=DIRECTION('ref_axis',(1.,0.,0.)); #36888=DIRECTION('center_axis',(0.,0.,1.)); #36889=DIRECTION('ref_axis',(1.,0.,0.)); #36890=DIRECTION('center_axis',(0.,0.,1.)); #36891=DIRECTION('ref_axis',(1.,0.,0.)); #36892=DIRECTION('center_axis',(0.,0.,-1.)); #36893=DIRECTION('ref_axis',(1.,0.,0.)); #36894=DIRECTION('',(0.,0.,-1.)); #36895=DIRECTION('center_axis',(0.,0.,1.)); #36896=DIRECTION('ref_axis',(1.,0.,0.)); #36897=DIRECTION('',(0.,0.,-1.)); #36898=DIRECTION('center_axis',(0.,0.,1.)); #36899=DIRECTION('ref_axis',(1.,0.,0.)); #36900=DIRECTION('center_axis',(0.,0.,1.)); #36901=DIRECTION('ref_axis',(1.,0.,0.)); #36902=DIRECTION('center_axis',(0.,0.,1.)); #36903=DIRECTION('ref_axis',(1.,0.,0.)); #36904=DIRECTION('center_axis',(0.,0.,1.)); #36905=DIRECTION('ref_axis',(1.,0.,0.)); #36906=DIRECTION('center_axis',(0.,0.,1.)); #36907=DIRECTION('ref_axis',(1.,0.,0.)); #36908=DIRECTION('center_axis',(0.,0.,-1.)); #36909=DIRECTION('ref_axis',(1.,0.,0.)); #36910=DIRECTION('',(0.,0.,-1.)); #36911=DIRECTION('center_axis',(0.,0.,1.)); #36912=DIRECTION('ref_axis',(1.,0.,0.)); #36913=DIRECTION('',(0.,0.,-1.)); #36914=DIRECTION('center_axis',(0.,0.,1.)); #36915=DIRECTION('ref_axis',(1.,0.,0.)); #36916=DIRECTION('center_axis',(0.,0.,1.)); #36917=DIRECTION('ref_axis',(1.,0.,0.)); #36918=DIRECTION('center_axis',(0.,0.,1.)); #36919=DIRECTION('ref_axis',(1.,0.,0.)); #36920=DIRECTION('center_axis',(0.,0.,1.)); #36921=DIRECTION('ref_axis',(1.,0.,0.)); #36922=DIRECTION('center_axis',(0.,0.,1.)); #36923=DIRECTION('ref_axis',(1.,0.,0.)); #36924=DIRECTION('center_axis',(0.,0.,-1.)); #36925=DIRECTION('ref_axis',(1.,0.,0.)); #36926=DIRECTION('',(0.,0.,-1.)); #36927=DIRECTION('center_axis',(0.,0.,1.)); #36928=DIRECTION('ref_axis',(1.,0.,0.)); #36929=DIRECTION('',(0.,0.,-1.)); #36930=DIRECTION('center_axis',(0.,0.,1.)); #36931=DIRECTION('ref_axis',(1.,0.,0.)); #36932=DIRECTION('center_axis',(0.,0.,1.)); #36933=DIRECTION('ref_axis',(1.,0.,0.)); #36934=DIRECTION('center_axis',(0.,0.,1.)); #36935=DIRECTION('ref_axis',(1.,0.,0.)); #36936=DIRECTION('center_axis',(0.,0.,1.)); #36937=DIRECTION('ref_axis',(1.,0.,0.)); #36938=DIRECTION('center_axis',(0.,0.,1.)); #36939=DIRECTION('ref_axis',(1.,0.,0.)); #36940=DIRECTION('center_axis',(0.,0.,-1.)); #36941=DIRECTION('ref_axis',(1.,0.,0.)); #36942=DIRECTION('',(0.,0.,-1.)); #36943=DIRECTION('center_axis',(0.,0.,1.)); #36944=DIRECTION('ref_axis',(1.,0.,0.)); #36945=DIRECTION('',(0.,0.,-1.)); #36946=DIRECTION('center_axis',(0.,0.,1.)); #36947=DIRECTION('ref_axis',(1.,0.,0.)); #36948=DIRECTION('center_axis',(0.,0.,1.)); #36949=DIRECTION('ref_axis',(1.,0.,0.)); #36950=DIRECTION('center_axis',(0.,0.,1.)); #36951=DIRECTION('ref_axis',(1.,0.,0.)); #36952=DIRECTION('center_axis',(0.,0.,1.)); #36953=DIRECTION('ref_axis',(1.,0.,0.)); #36954=DIRECTION('center_axis',(0.,0.,1.)); #36955=DIRECTION('ref_axis',(1.,0.,0.)); #36956=DIRECTION('center_axis',(0.,0.,-1.)); #36957=DIRECTION('ref_axis',(1.,0.,0.)); #36958=DIRECTION('',(0.,0.,-1.)); #36959=DIRECTION('center_axis',(0.,0.,1.)); #36960=DIRECTION('ref_axis',(1.,0.,0.)); #36961=DIRECTION('',(0.,0.,-1.)); #36962=DIRECTION('center_axis',(0.,0.,1.)); #36963=DIRECTION('ref_axis',(1.,0.,0.)); #36964=DIRECTION('center_axis',(0.,0.,1.)); #36965=DIRECTION('ref_axis',(1.,0.,0.)); #36966=DIRECTION('center_axis',(0.,0.,1.)); #36967=DIRECTION('ref_axis',(1.,0.,0.)); #36968=DIRECTION('center_axis',(0.,0.,1.)); #36969=DIRECTION('ref_axis',(1.,0.,0.)); #36970=DIRECTION('center_axis',(0.,0.,1.)); #36971=DIRECTION('ref_axis',(1.,0.,0.)); #36972=DIRECTION('center_axis',(0.,0.,-1.)); #36973=DIRECTION('ref_axis',(1.,0.,0.)); #36974=DIRECTION('',(0.,0.,-1.)); #36975=DIRECTION('center_axis',(0.,0.,1.)); #36976=DIRECTION('ref_axis',(1.,0.,0.)); #36977=DIRECTION('',(0.,0.,-1.)); #36978=DIRECTION('center_axis',(0.,0.,1.)); #36979=DIRECTION('ref_axis',(1.,0.,0.)); #36980=DIRECTION('center_axis',(0.,0.,1.)); #36981=DIRECTION('ref_axis',(1.,0.,0.)); #36982=DIRECTION('center_axis',(0.,0.,1.)); #36983=DIRECTION('ref_axis',(1.,0.,0.)); #36984=DIRECTION('center_axis',(0.,0.,1.)); #36985=DIRECTION('ref_axis',(1.,0.,0.)); #36986=DIRECTION('center_axis',(0.,0.,1.)); #36987=DIRECTION('ref_axis',(1.,0.,0.)); #36988=DIRECTION('center_axis',(0.,0.,-1.)); #36989=DIRECTION('ref_axis',(1.,0.,0.)); #36990=DIRECTION('',(0.,0.,-1.)); #36991=DIRECTION('center_axis',(0.,0.,1.)); #36992=DIRECTION('ref_axis',(1.,0.,0.)); #36993=DIRECTION('',(0.,0.,-1.)); #36994=DIRECTION('center_axis',(0.,0.,1.)); #36995=DIRECTION('ref_axis',(1.,0.,0.)); #36996=DIRECTION('center_axis',(0.,0.,1.)); #36997=DIRECTION('ref_axis',(1.,0.,0.)); #36998=DIRECTION('center_axis',(0.,0.,1.)); #36999=DIRECTION('ref_axis',(1.,0.,0.)); #37000=DIRECTION('center_axis',(0.,0.,1.)); #37001=DIRECTION('ref_axis',(1.,0.,0.)); #37002=DIRECTION('center_axis',(0.,0.,1.)); #37003=DIRECTION('ref_axis',(1.,0.,0.)); #37004=DIRECTION('center_axis',(0.,0.,-1.)); #37005=DIRECTION('ref_axis',(1.,0.,0.)); #37006=DIRECTION('',(0.,0.,-1.)); #37007=DIRECTION('center_axis',(0.,0.,1.)); #37008=DIRECTION('ref_axis',(1.,0.,0.)); #37009=DIRECTION('',(0.,0.,-1.)); #37010=DIRECTION('center_axis',(0.,0.,1.)); #37011=DIRECTION('ref_axis',(1.,0.,0.)); #37012=DIRECTION('center_axis',(0.,0.,1.)); #37013=DIRECTION('ref_axis',(1.,0.,0.)); #37014=DIRECTION('center_axis',(0.,0.,1.)); #37015=DIRECTION('ref_axis',(1.,0.,0.)); #37016=DIRECTION('center_axis',(0.,0.,1.)); #37017=DIRECTION('ref_axis',(1.,0.,0.)); #37018=DIRECTION('center_axis',(0.,0.,1.)); #37019=DIRECTION('ref_axis',(1.,0.,0.)); #37020=DIRECTION('center_axis',(0.,0.,-1.)); #37021=DIRECTION('ref_axis',(1.,0.,0.)); #37022=DIRECTION('',(0.,0.,-1.)); #37023=DIRECTION('center_axis',(0.,0.,1.)); #37024=DIRECTION('ref_axis',(1.,0.,0.)); #37025=DIRECTION('',(0.,0.,-1.)); #37026=DIRECTION('center_axis',(0.,0.,1.)); #37027=DIRECTION('ref_axis',(1.,0.,0.)); #37028=DIRECTION('center_axis',(0.,0.,1.)); #37029=DIRECTION('ref_axis',(1.,0.,0.)); #37030=DIRECTION('center_axis',(0.,0.,1.)); #37031=DIRECTION('ref_axis',(1.,0.,0.)); #37032=DIRECTION('center_axis',(0.,0.,1.)); #37033=DIRECTION('ref_axis',(1.,0.,0.)); #37034=DIRECTION('center_axis',(0.,0.,1.)); #37035=DIRECTION('ref_axis',(1.,0.,0.)); #37036=DIRECTION('center_axis',(0.,0.,-1.)); #37037=DIRECTION('ref_axis',(1.,0.,0.)); #37038=DIRECTION('',(0.,0.,-1.)); #37039=DIRECTION('center_axis',(0.,0.,1.)); #37040=DIRECTION('ref_axis',(1.,0.,0.)); #37041=DIRECTION('',(0.,0.,-1.)); #37042=DIRECTION('center_axis',(0.,0.,1.)); #37043=DIRECTION('ref_axis',(1.,0.,0.)); #37044=DIRECTION('center_axis',(0.,0.,1.)); #37045=DIRECTION('ref_axis',(1.,0.,0.)); #37046=DIRECTION('center_axis',(0.,0.,-1.)); #37047=DIRECTION('ref_axis',(1.,0.,0.)); #37048=DIRECTION('',(0.,0.,-1.)); #37049=DIRECTION('center_axis',(0.,0.,1.)); #37050=DIRECTION('ref_axis',(1.,0.,0.)); #37051=DIRECTION('center_axis',(0.,0.,1.)); #37052=DIRECTION('ref_axis',(1.,0.,0.)); #37053=DIRECTION('center_axis',(0.,0.,-1.)); #37054=DIRECTION('ref_axis',(1.,0.,0.)); #37055=DIRECTION('',(0.,0.,-1.)); #37056=DIRECTION('center_axis',(0.,0.,1.)); #37057=DIRECTION('ref_axis',(1.,0.,0.)); #37058=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37059=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37060=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37061=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37062=DIRECTION('',(0.96867805093258,-0.205898875871448,0.138810974192719)); #37063=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37064=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37065=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37066=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37067=DIRECTION('',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37068=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37069=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37070=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37071=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37072=DIRECTION('',(0.96867805093258,-0.205898875871448,0.138810974192719)); #37073=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37074=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37075=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37076=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37077=DIRECTION('',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37078=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37079=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37080=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37081=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37082=DIRECTION('',(0.96867805093258,-0.205898875871448,0.138810974192719)); #37083=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37084=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37085=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37086=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37087=DIRECTION('',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37088=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37089=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37090=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37091=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37092=DIRECTION('',(0.96867805093258,-0.205898875871448,0.138810974192719)); #37093=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37094=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37095=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37096=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37097=DIRECTION('',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37098=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37099=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37100=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37101=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37102=DIRECTION('',(0.96867805093258,-0.205898875871448,0.138810974192719)); #37103=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37104=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37105=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37106=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37107=DIRECTION('',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37108=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37109=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37110=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37111=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37112=DIRECTION('',(0.96867805093258,-0.205898875871448,0.138810974192719)); #37113=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37114=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37115=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37116=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37117=DIRECTION('',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37118=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37119=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37120=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37121=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37122=DIRECTION('',(0.990318894823111,3.15656212923612E-17,0.13881097419272)); #37123=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37124=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37125=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #37126=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37127=DIRECTION('',(0.629036165193351,2.77555756156289E-17,-0.777376036985218)); #37128=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37129=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37130=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37131=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37132=DIRECTION('',(0.990318894823111,3.15656212923612E-17,0.13881097419272)); #37133=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37134=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37135=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #37136=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37137=DIRECTION('',(0.629036165193351,2.77555756156289E-17,-0.777376036985218)); #37138=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37139=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37140=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37141=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37142=DIRECTION('',(0.990318894823111,3.15656212923612E-17,0.13881097419272)); #37143=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37144=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37145=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #37146=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37147=DIRECTION('',(0.629036165193351,2.77555756156289E-17,-0.777376036985218)); #37148=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37149=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37150=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37151=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37152=DIRECTION('',(0.990318894823111,3.15656212923612E-17,0.13881097419272)); #37153=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37154=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37155=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #37156=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37157=DIRECTION('',(0.629036165193351,2.77555756156289E-17,-0.777376036985218)); #37158=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37159=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37160=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37161=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37162=DIRECTION('',(0.990318894823111,3.15656212923612E-17,0.13881097419272)); #37163=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37164=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37165=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #37166=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37167=DIRECTION('',(0.629036165193351,2.77555756156289E-17,-0.777376036985218)); #37168=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37169=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37170=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37171=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37172=DIRECTION('',(0.990318894823111,3.15656212923612E-17,0.13881097419272)); #37173=DIRECTION('center_axis',(-0.629036165193351,-2.77555756156289E-17, 0.777376036985218)); #37174=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37175=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #37176=DIRECTION('ref_axis',(0.777376036985218,-1.02316404257088E-16,0.629036165193352)); #37177=DIRECTION('',(0.629036165193351,2.77555756156289E-17,-0.777376036985218)); #37178=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37179=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37180=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37181=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37182=DIRECTION('',(0.96867805093258,-0.205898875871448,0.138810974192719)); #37183=DIRECTION('center_axis',(-0.615290215758671,0.130783972690869,0.777376036985218)); #37184=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37185=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37186=DIRECTION('ref_axis',(0.760388505445045,-0.161625566250806,0.629036165193351)); #37187=DIRECTION('',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #37188=DIRECTION('center_axis',(-0.629036165193352,0.,0.777376036985218)); #37189=DIRECTION('ref_axis',(0.777376036985218,-1.22779685108506E-16,0.629036165193351)); #37190=DIRECTION('center_axis',(-0.629036165193352,0.,0.777376036985218)); #37191=DIRECTION('ref_axis',(0.777376036985218,-1.22779685108506E-16,0.629036165193351)); #37192=DIRECTION('',(0.990318894823111,-2.70012151651717E-19,0.138810974192719)); #37193=DIRECTION('center_axis',(-0.629036165193352,0.,0.777376036985218)); #37194=DIRECTION('ref_axis',(0.777376036985218,-1.22779685108506E-16,0.629036165193351)); #37195=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #37196=DIRECTION('ref_axis',(0.777376036985218,-1.22779685108506E-16,0.629036165193351)); #37197=DIRECTION('',(0.629036165193352,0.,-0.777376036985218)); #37198=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37199=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37200=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37201=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37202=DIRECTION('',(0.96867805093258,0.205898875871448,0.138810974192719)); #37203=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37204=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37205=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37206=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37207=DIRECTION('',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37208=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37209=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37210=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37211=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37212=DIRECTION('',(0.96867805093258,0.205898875871448,0.138810974192719)); #37213=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37214=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37215=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37216=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37217=DIRECTION('',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37218=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37219=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37220=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37221=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37222=DIRECTION('',(0.96867805093258,0.205898875871448,0.138810974192719)); #37223=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37224=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37225=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37226=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37227=DIRECTION('',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37228=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37229=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37230=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37231=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37232=DIRECTION('',(0.96867805093258,0.205898875871448,0.138810974192719)); #37233=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37234=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37235=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37236=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37237=DIRECTION('',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37238=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37239=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37240=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37241=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37242=DIRECTION('',(0.96867805093258,0.205898875871448,0.138810974192719)); #37243=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37244=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37245=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37246=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37247=DIRECTION('',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37248=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37249=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37250=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37251=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37252=DIRECTION('',(0.96867805093258,0.205898875871448,0.138810974192719)); #37253=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37254=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37255=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37256=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37257=DIRECTION('',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37258=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37259=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37260=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37261=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37262=DIRECTION('',(0.96867805093258,0.205898875871448,0.138810974192719)); #37263=DIRECTION('center_axis',(-0.615290215758671,-0.130783972690869,0.777376036985218)); #37264=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37265=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37266=DIRECTION('ref_axis',(0.760388505445045,0.161625566250806,0.629036165193351)); #37267=DIRECTION('',(0.615290215758671,0.130783972690869,-0.777376036985218)); #37268=DIRECTION('center_axis',(0.,0.,-1.)); #37269=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37270=DIRECTION('center_axis',(0.,0.,-1.)); #37271=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37272=DIRECTION('',(0.,0.,-1.)); #37273=DIRECTION('center_axis',(0.,0.,1.)); #37274=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37275=DIRECTION('center_axis',(0.,0.,-1.)); #37276=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37277=DIRECTION('center_axis',(0.,0.,-1.)); #37278=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37279=DIRECTION('',(0.,0.,-1.)); #37280=DIRECTION('center_axis',(0.,0.,1.)); #37281=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37282=DIRECTION('center_axis',(0.,0.,-1.)); #37283=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37284=DIRECTION('center_axis',(0.,0.,-1.)); #37285=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37286=DIRECTION('',(0.,0.,-1.)); #37287=DIRECTION('center_axis',(0.,0.,1.)); #37288=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37289=DIRECTION('center_axis',(0.,0.,-1.)); #37290=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37291=DIRECTION('center_axis',(0.,0.,-1.)); #37292=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37293=DIRECTION('',(0.,0.,-1.)); #37294=DIRECTION('center_axis',(0.,0.,1.)); #37295=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37296=DIRECTION('center_axis',(0.,0.,-1.)); #37297=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37298=DIRECTION('center_axis',(0.,0.,-1.)); #37299=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37300=DIRECTION('',(0.,0.,-1.)); #37301=DIRECTION('center_axis',(0.,0.,1.)); #37302=DIRECTION('ref_axis',(1.,-1.4432899320127E-15,0.)); #37303=DIRECTION('center_axis',(0.,0.,1.)); #37304=DIRECTION('ref_axis',(1.,0.,0.)); #37305=DIRECTION('center_axis',(0.,0.,-1.)); #37306=DIRECTION('ref_axis',(1.,0.,0.)); #37307=DIRECTION('',(0.,0.,-1.)); #37308=DIRECTION('center_axis',(0.,0.,1.)); #37309=DIRECTION('ref_axis',(1.,0.,0.)); #37310=DIRECTION('center_axis',(0.,0.,1.)); #37311=DIRECTION('ref_axis',(1.,0.,0.)); #37312=DIRECTION('center_axis',(0.,0.,-1.)); #37313=DIRECTION('ref_axis',(1.,0.,0.)); #37314=DIRECTION('',(0.,0.,-1.)); #37315=DIRECTION('center_axis',(0.,0.,1.)); #37316=DIRECTION('ref_axis',(1.,0.,0.)); #37317=DIRECTION('center_axis',(0.,0.,1.)); #37318=DIRECTION('ref_axis',(1.,0.,0.)); #37319=DIRECTION('center_axis',(0.,0.,-1.)); #37320=DIRECTION('ref_axis',(1.,0.,0.)); #37321=DIRECTION('',(0.,0.,-1.)); #37322=DIRECTION('center_axis',(0.,0.,1.)); #37323=DIRECTION('ref_axis',(1.,0.,0.)); #37324=DIRECTION('center_axis',(0.,0.,1.)); #37325=DIRECTION('ref_axis',(1.,0.,0.)); #37326=DIRECTION('center_axis',(0.,0.,-1.)); #37327=DIRECTION('ref_axis',(1.,0.,0.)); #37328=DIRECTION('',(0.,0.,-1.)); #37329=DIRECTION('center_axis',(0.,0.,1.)); #37330=DIRECTION('ref_axis',(1.,0.,0.)); #37331=DIRECTION('center_axis',(0.,0.,1.)); #37332=DIRECTION('ref_axis',(1.,0.,0.)); #37333=DIRECTION('center_axis',(0.,0.,-1.)); #37334=DIRECTION('ref_axis',(1.,0.,0.)); #37335=DIRECTION('',(0.,0.,-1.)); #37336=DIRECTION('center_axis',(0.,0.,1.)); #37337=DIRECTION('ref_axis',(1.,0.,0.)); #37338=DIRECTION('center_axis',(0.,0.,-1.)); #37339=DIRECTION('ref_axis',(-1.,0.,0.)); #37340=DIRECTION('center_axis',(0.244470387776183,0.969656758600982,0.)); #37341=DIRECTION('ref_axis',(0.,0.,1.)); #37342=DIRECTION('center_axis',(0.,0.,-1.)); #37343=DIRECTION('ref_axis',(-0.483660225543755,0.875255840441504,0.)); #37344=DIRECTION('center_axis',(-0.951056516295176,0.309016994374879,0.)); #37345=DIRECTION('ref_axis',(-0.309016994374879,-0.951056516295176,0.)); #37346=DIRECTION('center_axis',(0.,0.,1.)); #37347=DIRECTION('ref_axis',(-0.483660225543755,0.875255840441504,0.)); #37348=DIRECTION('center_axis',(0.951056516295169,-0.309016994374899,0.)); #37349=DIRECTION('ref_axis',(-0.218508012224353,-0.672498511964008,0.707106781186517)); #37350=DIRECTION('center_axis',(-0.951056516295176,0.309016994374879,0.)); #37351=DIRECTION('ref_axis',(-0.309016994374879,-0.951056516295176,0.)); #37352=DIRECTION('',(-0.951056516295169,0.309016994374899,0.)); #37353=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #37354=DIRECTION('center_axis',(0.,0.,-1.)); #37355=DIRECTION('ref_axis',(0.860367691602644,0.509673851836974,0.)); #37356=DIRECTION('center_axis',(0.185937314266845,0.982561608838465,0.)); #37357=DIRECTION('ref_axis',(-0.982561608838465,0.185937314266845,0.)); #37358=DIRECTION('center_axis',(0.,0.,1.)); #37359=DIRECTION('ref_axis',(0.860367691602645,0.509673851836972,0.)); #37360=DIRECTION('center_axis',(0.,0.,-1.)); #37361=DIRECTION('ref_axis',(0.860367691602645,0.509673851836972,0.)); #37362=DIRECTION('center_axis',(0.,0.,1.)); #37363=DIRECTION('ref_axis',(-1.,-4.51176344429119E-15,0.)); #37364=DIRECTION('center_axis',(-0.185937314266885,0.982561608838457,0.)); #37365=DIRECTION('ref_axis',(-0.982561608838457,-0.185937314266885,0.)); #37366=DIRECTION('center_axis',(0.,0.,-1.)); #37367=DIRECTION('ref_axis',(-1.,-4.50569808148918E-15,0.)); #37368=DIRECTION('center_axis',(0.,0.,1.)); #37369=DIRECTION('ref_axis',(-1.,-4.50569808148918E-15,0.)); #37370=DIRECTION('center_axis',(0.,0.,-1.)); #37371=DIRECTION('ref_axis',(0.860367691602661,-0.509673851836945,0.)); #37372=DIRECTION('center_axis',(0.951056516295176,0.309016994374879,0.)); #37373=DIRECTION('ref_axis',(-0.309016994374879,0.951056516295176,0.)); #37374=DIRECTION('center_axis',(0.,0.,1.)); #37375=DIRECTION('ref_axis',(0.860367691602661,-0.509673851836944,0.)); #37376=DIRECTION('center_axis',(0.,0.,-1.)); #37377=DIRECTION('ref_axis',(0.860367691602661,-0.509673851836944,0.)); #37378=DIRECTION('center_axis',(-0.951056516295152,-0.309016994374951,0.)); #37379=DIRECTION('ref_axis',(-0.218508012224423,0.672498511963964,0.707106781186538)); #37380=DIRECTION('center_axis',(0.951056516295149,0.30901699437496,0.)); #37381=DIRECTION('ref_axis',(-0.30901699437496,0.951056516295149,0.)); #37382=DIRECTION('',(0.951056516295152,0.309016994374951,0.)); #37383=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #37384=DIRECTION('center_axis',(0.,0.,-1.)); #37385=DIRECTION('ref_axis',(-1.,0.,0.)); #37386=DIRECTION('center_axis',(0.244470387776221,-0.969656758600972,0.)); #37387=DIRECTION('ref_axis',(0.969656758600972,0.244470387776221,0.)); #37388=DIRECTION('center_axis',(0.,0.,1.)); #37389=DIRECTION('ref_axis',(-0.483660225543719,-0.875255840441524,0.)); #37390=DIRECTION('center_axis',(0.,0.,-1.)); #37391=DIRECTION('ref_axis',(-0.483660225543719,-0.875255840441524,0.)); #37392=DIRECTION('center_axis',(0.,0.,-1.)); #37393=DIRECTION('ref_axis',(-1.,0.,0.)); #37394=DIRECTION('center_axis',(0.,0.,1.)); #37395=DIRECTION('ref_axis',(-1.,-1.54446506308473E-14,0.)); #37396=DIRECTION('center_axis',(0.,0.,-1.)); #37397=DIRECTION('ref_axis',(-1.,-1.54446506308473E-14,0.)); #37398=DIRECTION('center_axis',(0.,0.,-1.)); #37399=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #37400=DIRECTION('',(0.,0.,-1.)); #37401=DIRECTION('center_axis',(0.,0.,-1.)); #37402=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #37403=DIRECTION('',(0.,0.,-1.)); #37404=DIRECTION('center_axis',(0.,0.,-1.)); #37405=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #37406=DIRECTION('',(0.,0.,-1.)); #37407=DIRECTION('center_axis',(0.,0.,1.)); #37408=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #37409=DIRECTION('center_axis',(0.309016994374899,0.951056516295169,0.)); #37410=DIRECTION('ref_axis',(0.951056516295169,-0.309016994374899,0.)); #37411=DIRECTION('',(0.,0.,-1.)); #37412=DIRECTION('',(-0.951056516295169,0.309016994374899,0.)); #37413=DIRECTION('center_axis',(0.,0.,-1.)); #37414=DIRECTION('ref_axis',(-0.309016994374899,-0.951056516295169,0.)); #37415=DIRECTION('',(0.,0.,-1.)); #37416=DIRECTION('center_axis',(0.,0.,1.)); #37417=DIRECTION('ref_axis',(-0.309016994374899,-0.951056516295169,0.)); #37418=DIRECTION('center_axis',(0.,0.,1.)); #37419=DIRECTION('ref_axis',(1.,0.,0.)); #37420=DIRECTION('center_axis',(0.,0.,1.)); #37421=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266838,0.)); #37422=DIRECTION('',(-0.951056516295169,0.309016994374899,0.)); #37423=DIRECTION('center_axis',(0.,0.,1.)); #37424=DIRECTION('ref_axis',(-0.309016994374898,-0.95105651629517,0.)); #37425=DIRECTION('center_axis',(0.,0.,1.)); #37426=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #37427=DIRECTION('center_axis',(0.,0.,1.)); #37428=DIRECTION('ref_axis',(0.969656758600975,0.244470387776208,0.)); #37429=DIRECTION('',(0.951056516295152,0.309016994374951,0.)); #37430=DIRECTION('center_axis',(0.,0.,1.)); #37431=DIRECTION('ref_axis',(-0.30901699437495,0.951056516295153,0.)); #37432=DIRECTION('center_axis',(0.,0.,-1.)); #37433=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #37434=DIRECTION('center_axis',(0.,0.,-1.)); #37435=DIRECTION('ref_axis',(-0.309016994374952,0.951056516295152,0.)); #37436=DIRECTION('center_axis',(0.,0.,1.)); #37437=DIRECTION('ref_axis',(-0.309016994374952,0.951056516295152,0.)); #37438=DIRECTION('',(0.,0.,-1.)); #37439=DIRECTION('center_axis',(0.309016994374951,-0.951056516295152,0.)); #37440=DIRECTION('ref_axis',(-0.951056516295152,-0.309016994374951,0.)); #37441=DIRECTION('',(0.951056516295152,0.309016994374951,0.)); #37442=DIRECTION('',(0.,0.,-1.)); #37443=DIRECTION('center_axis',(0.,0.,-1.)); #37444=DIRECTION('ref_axis',(0.969656758600976,0.244470387776208,0.)); #37445=DIRECTION('center_axis',(0.,0.,1.)); #37446=DIRECTION('ref_axis',(0.969656758600976,0.244470387776208,0.)); #37447=DIRECTION('',(0.,0.,-1.)); #37448=DIRECTION('center_axis',(0.,0.,-1.)); #37449=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #37450=DIRECTION('center_axis',(0.,0.,1.)); #37451=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #37452=DIRECTION('center_axis',(0.,0.,1.)); #37453=DIRECTION('ref_axis',(1.,0.,0.)); #37454=DIRECTION('center_axis',(0.,0.,-1.)); #37455=DIRECTION('ref_axis',(1.,0.,0.)); #37456=DIRECTION('',(0.,0.,-1.)); #37457=DIRECTION('center_axis',(0.,0.,1.)); #37458=DIRECTION('ref_axis',(1.,0.,0.)); #37459=DIRECTION('center_axis',(0.,0.,1.)); #37460=DIRECTION('ref_axis',(1.,0.,0.)); #37461=DIRECTION('center_axis',(0.,0.,-1.)); #37462=DIRECTION('ref_axis',(1.,0.,0.)); #37463=DIRECTION('',(0.,0.,-1.)); #37464=DIRECTION('center_axis',(0.,0.,1.)); #37465=DIRECTION('ref_axis',(1.,0.,0.)); #37466=DIRECTION('center_axis',(0.,0.,1.)); #37467=DIRECTION('ref_axis',(1.,0.,0.)); #37468=DIRECTION('center_axis',(0.,0.,-1.)); #37469=DIRECTION('ref_axis',(1.,0.,0.)); #37470=DIRECTION('',(0.,0.,-1.)); #37471=DIRECTION('center_axis',(0.,0.,1.)); #37472=DIRECTION('ref_axis',(1.,0.,0.)); #37473=DIRECTION('center_axis',(0.,0.,1.)); #37474=DIRECTION('ref_axis',(1.,0.,0.)); #37475=DIRECTION('center_axis',(0.,0.,-1.)); #37476=DIRECTION('ref_axis',(1.,0.,0.)); #37477=DIRECTION('',(0.,0.,-1.)); #37478=DIRECTION('center_axis',(0.,0.,1.)); #37479=DIRECTION('ref_axis',(1.,0.,0.)); #37480=DIRECTION('center_axis',(0.,0.,1.)); #37481=DIRECTION('ref_axis',(1.,0.,0.)); #37482=DIRECTION('center_axis',(0.,0.,-1.)); #37483=DIRECTION('ref_axis',(1.,0.,0.)); #37484=DIRECTION('',(0.,0.,-1.)); #37485=DIRECTION('center_axis',(0.,0.,1.)); #37486=DIRECTION('ref_axis',(1.,0.,0.)); #37487=DIRECTION('center_axis',(0.,0.,1.)); #37488=DIRECTION('ref_axis',(1.,0.,0.)); #37489=DIRECTION('center_axis',(0.,0.,-1.)); #37490=DIRECTION('ref_axis',(1.,0.,0.)); #37491=DIRECTION('',(0.,0.,-1.)); #37492=DIRECTION('center_axis',(0.,0.,1.)); #37493=DIRECTION('ref_axis',(1.,0.,0.)); #37494=DIRECTION('center_axis',(0.,0.,1.)); #37495=DIRECTION('ref_axis',(1.,0.,0.)); #37496=DIRECTION('center_axis',(0.,0.,-1.)); #37497=DIRECTION('ref_axis',(1.,0.,0.)); #37498=DIRECTION('',(0.,0.,-1.)); #37499=DIRECTION('center_axis',(0.,0.,1.)); #37500=DIRECTION('ref_axis',(1.,0.,0.)); #37501=DIRECTION('center_axis',(0.,0.,1.)); #37502=DIRECTION('ref_axis',(1.,0.,0.)); #37503=DIRECTION('center_axis',(0.,0.,-1.)); #37504=DIRECTION('ref_axis',(1.,0.,0.)); #37505=DIRECTION('',(0.,0.,-1.)); #37506=DIRECTION('center_axis',(0.,0.,1.)); #37507=DIRECTION('ref_axis',(1.,0.,0.)); #37508=DIRECTION('center_axis',(0.,0.,1.)); #37509=DIRECTION('ref_axis',(1.,0.,0.)); #37510=DIRECTION('center_axis',(0.,0.,-1.)); #37511=DIRECTION('ref_axis',(1.,0.,0.)); #37512=DIRECTION('',(0.,0.,-1.)); #37513=DIRECTION('center_axis',(0.,0.,1.)); #37514=DIRECTION('ref_axis',(1.,0.,0.)); #37515=DIRECTION('center_axis',(0.,0.,1.)); #37516=DIRECTION('ref_axis',(1.,0.,0.)); #37517=DIRECTION('center_axis',(0.,0.,-1.)); #37518=DIRECTION('ref_axis',(1.,0.,0.)); #37519=DIRECTION('',(0.,0.,-1.)); #37520=DIRECTION('center_axis',(0.,0.,1.)); #37521=DIRECTION('ref_axis',(1.,0.,0.)); #37522=DIRECTION('center_axis',(0.,0.,1.)); #37523=DIRECTION('ref_axis',(1.,0.,0.)); #37524=DIRECTION('center_axis',(0.,0.,-1.)); #37525=DIRECTION('ref_axis',(1.,0.,0.)); #37526=DIRECTION('',(0.,0.,-1.)); #37527=DIRECTION('center_axis',(0.,0.,1.)); #37528=DIRECTION('ref_axis',(1.,0.,0.)); #37529=DIRECTION('center_axis',(0.,0.,1.)); #37530=DIRECTION('ref_axis',(1.,0.,0.)); #37531=DIRECTION('center_axis',(0.,0.,-1.)); #37532=DIRECTION('ref_axis',(1.,0.,0.)); #37533=DIRECTION('',(0.,0.,-1.)); #37534=DIRECTION('center_axis',(0.,0.,1.)); #37535=DIRECTION('ref_axis',(1.,0.,0.)); #37536=DIRECTION('center_axis',(0.,0.,1.)); #37537=DIRECTION('ref_axis',(1.,0.,0.)); #37538=DIRECTION('center_axis',(0.,0.,-1.)); #37539=DIRECTION('ref_axis',(1.,0.,0.)); #37540=DIRECTION('',(0.,0.,-1.)); #37541=DIRECTION('center_axis',(0.,0.,1.)); #37542=DIRECTION('ref_axis',(1.,0.,0.)); #37543=DIRECTION('center_axis',(0.,0.,1.)); #37544=DIRECTION('ref_axis',(1.,0.,0.)); #37545=DIRECTION('center_axis',(0.,0.,-1.)); #37546=DIRECTION('ref_axis',(1.,0.,0.)); #37547=DIRECTION('',(0.,0.,-1.)); #37548=DIRECTION('center_axis',(0.,0.,1.)); #37549=DIRECTION('ref_axis',(1.,0.,0.)); #37550=DIRECTION('center_axis',(0.,0.,1.)); #37551=DIRECTION('ref_axis',(1.,0.,0.)); #37552=DIRECTION('center_axis',(0.,0.,-1.)); #37553=DIRECTION('ref_axis',(1.,0.,0.)); #37554=DIRECTION('',(0.,0.,-1.)); #37555=DIRECTION('center_axis',(0.,0.,1.)); #37556=DIRECTION('ref_axis',(1.,0.,0.)); #37557=DIRECTION('center_axis',(0.,0.,1.)); #37558=DIRECTION('ref_axis',(1.,0.,0.)); #37559=DIRECTION('center_axis',(0.,0.,-1.)); #37560=DIRECTION('ref_axis',(1.,0.,0.)); #37561=DIRECTION('',(0.,0.,-1.)); #37562=DIRECTION('center_axis',(0.,0.,1.)); #37563=DIRECTION('ref_axis',(1.,0.,0.)); #37564=DIRECTION('center_axis',(0.,0.,1.)); #37565=DIRECTION('ref_axis',(1.,0.,0.)); #37566=DIRECTION('center_axis',(0.,0.,-1.)); #37567=DIRECTION('ref_axis',(1.,0.,0.)); #37568=DIRECTION('',(0.,0.,-1.)); #37569=DIRECTION('center_axis',(0.,0.,1.)); #37570=DIRECTION('ref_axis',(1.,0.,0.)); #37571=DIRECTION('center_axis',(0.,0.,1.)); #37572=DIRECTION('ref_axis',(1.,0.,0.)); #37573=DIRECTION('center_axis',(0.,0.,-1.)); #37574=DIRECTION('ref_axis',(1.,0.,0.)); #37575=DIRECTION('',(0.,0.,-1.)); #37576=DIRECTION('center_axis',(0.,0.,1.)); #37577=DIRECTION('ref_axis',(1.,0.,0.)); #37578=DIRECTION('center_axis',(0.,0.,1.)); #37579=DIRECTION('ref_axis',(1.,0.,0.)); #37580=DIRECTION('center_axis',(0.,0.,-1.)); #37581=DIRECTION('ref_axis',(1.,0.,0.)); #37582=DIRECTION('',(0.,0.,-1.)); #37583=DIRECTION('center_axis',(0.,0.,1.)); #37584=DIRECTION('ref_axis',(1.,0.,0.)); #37585=DIRECTION('center_axis',(0.,0.,1.)); #37586=DIRECTION('ref_axis',(1.,0.,0.)); #37587=DIRECTION('center_axis',(0.,0.,-1.)); #37588=DIRECTION('ref_axis',(1.,0.,0.)); #37589=DIRECTION('',(0.,0.,-1.)); #37590=DIRECTION('center_axis',(0.,0.,1.)); #37591=DIRECTION('ref_axis',(1.,0.,0.)); #37592=DIRECTION('center_axis',(0.,0.,1.)); #37593=DIRECTION('ref_axis',(1.,0.,0.)); #37594=DIRECTION('center_axis',(0.,0.,-1.)); #37595=DIRECTION('ref_axis',(1.,0.,0.)); #37596=DIRECTION('',(0.,0.,-1.)); #37597=DIRECTION('center_axis',(0.,0.,1.)); #37598=DIRECTION('ref_axis',(1.,0.,0.)); #37599=DIRECTION('center_axis',(0.,0.,1.)); #37600=DIRECTION('ref_axis',(1.,0.,0.)); #37601=DIRECTION('center_axis',(0.,0.,-1.)); #37602=DIRECTION('ref_axis',(1.,0.,0.)); #37603=DIRECTION('',(0.,0.,-1.)); #37604=DIRECTION('center_axis',(0.,0.,1.)); #37605=DIRECTION('ref_axis',(1.,0.,0.)); #37606=DIRECTION('center_axis',(0.,0.,1.)); #37607=DIRECTION('ref_axis',(1.,0.,0.)); #37608=DIRECTION('center_axis',(0.,0.,-1.)); #37609=DIRECTION('ref_axis',(1.,0.,0.)); #37610=DIRECTION('',(0.,0.,-1.)); #37611=DIRECTION('center_axis',(0.,0.,1.)); #37612=DIRECTION('ref_axis',(1.,0.,0.)); #37613=DIRECTION('center_axis',(0.,0.,1.)); #37614=DIRECTION('ref_axis',(1.,0.,0.)); #37615=DIRECTION('center_axis',(0.,0.,-1.)); #37616=DIRECTION('ref_axis',(1.,0.,0.)); #37617=DIRECTION('',(0.,0.,-1.)); #37618=DIRECTION('center_axis',(0.,0.,1.)); #37619=DIRECTION('ref_axis',(1.,0.,0.)); #37620=DIRECTION('center_axis',(0.,0.,1.)); #37621=DIRECTION('ref_axis',(1.,0.,0.)); #37622=DIRECTION('center_axis',(0.,0.,-1.)); #37623=DIRECTION('ref_axis',(1.,0.,0.)); #37624=DIRECTION('',(0.,0.,-1.)); #37625=DIRECTION('center_axis',(0.,0.,1.)); #37626=DIRECTION('ref_axis',(1.,0.,0.)); #37627=DIRECTION('center_axis',(0.,0.,1.)); #37628=DIRECTION('ref_axis',(1.,0.,0.)); #37629=DIRECTION('center_axis',(0.,0.,-1.)); #37630=DIRECTION('ref_axis',(1.,0.,0.)); #37631=DIRECTION('',(0.,0.,-1.)); #37632=DIRECTION('center_axis',(0.,0.,1.)); #37633=DIRECTION('ref_axis',(1.,0.,0.)); #37634=DIRECTION('center_axis',(0.,0.,1.)); #37635=DIRECTION('ref_axis',(1.,0.,0.)); #37636=DIRECTION('center_axis',(0.,0.,-1.)); #37637=DIRECTION('ref_axis',(1.,0.,0.)); #37638=DIRECTION('',(0.,0.,-1.)); #37639=DIRECTION('center_axis',(0.,0.,1.)); #37640=DIRECTION('ref_axis',(1.,0.,0.)); #37641=DIRECTION('center_axis',(0.,0.,1.)); #37642=DIRECTION('ref_axis',(1.,0.,0.)); #37643=DIRECTION('center_axis',(0.,0.,-1.)); #37644=DIRECTION('ref_axis',(1.,0.,0.)); #37645=DIRECTION('',(0.,0.,-1.)); #37646=DIRECTION('center_axis',(0.,0.,1.)); #37647=DIRECTION('ref_axis',(1.,0.,0.)); #37648=DIRECTION('center_axis',(0.,0.,1.)); #37649=DIRECTION('ref_axis',(1.,0.,0.)); #37650=DIRECTION('center_axis',(0.,0.,-1.)); #37651=DIRECTION('ref_axis',(1.,0.,0.)); #37652=DIRECTION('',(0.,0.,-1.)); #37653=DIRECTION('center_axis',(0.,0.,1.)); #37654=DIRECTION('ref_axis',(1.,0.,0.)); #37655=DIRECTION('center_axis',(0.,0.,1.)); #37656=DIRECTION('ref_axis',(1.,0.,0.)); #37657=DIRECTION('center_axis',(0.,0.,-1.)); #37658=DIRECTION('ref_axis',(1.,0.,0.)); #37659=DIRECTION('',(0.,0.,-1.)); #37660=DIRECTION('center_axis',(0.,0.,1.)); #37661=DIRECTION('ref_axis',(1.,0.,0.)); #37662=DIRECTION('center_axis',(0.,0.,1.)); #37663=DIRECTION('ref_axis',(1.,0.,0.)); #37664=DIRECTION('center_axis',(0.,0.,-1.)); #37665=DIRECTION('ref_axis',(1.,0.,0.)); #37666=DIRECTION('',(0.,0.,-1.)); #37667=DIRECTION('center_axis',(0.,0.,1.)); #37668=DIRECTION('ref_axis',(1.,0.,0.)); #37669=DIRECTION('center_axis',(0.,0.,1.)); #37670=DIRECTION('ref_axis',(1.,0.,0.)); #37671=DIRECTION('center_axis',(0.,0.,-1.)); #37672=DIRECTION('ref_axis',(1.,0.,0.)); #37673=DIRECTION('',(0.,0.,-1.)); #37674=DIRECTION('center_axis',(0.,0.,1.)); #37675=DIRECTION('ref_axis',(1.,0.,0.)); #37676=DIRECTION('center_axis',(0.,0.,1.)); #37677=DIRECTION('ref_axis',(1.,0.,0.)); #37678=DIRECTION('center_axis',(0.,0.,-1.)); #37679=DIRECTION('ref_axis',(1.,0.,0.)); #37680=DIRECTION('',(0.,0.,-1.)); #37681=DIRECTION('center_axis',(0.,0.,1.)); #37682=DIRECTION('ref_axis',(1.,0.,0.)); #37683=DIRECTION('center_axis',(0.,0.,1.)); #37684=DIRECTION('ref_axis',(1.,0.,0.)); #37685=DIRECTION('center_axis',(0.,0.,-1.)); #37686=DIRECTION('ref_axis',(1.,0.,0.)); #37687=DIRECTION('',(0.,0.,-1.)); #37688=DIRECTION('center_axis',(0.,0.,1.)); #37689=DIRECTION('ref_axis',(1.,0.,0.)); #37690=DIRECTION('center_axis',(0.,0.,1.)); #37691=DIRECTION('ref_axis',(1.,0.,0.)); #37692=DIRECTION('center_axis',(0.,0.,-1.)); #37693=DIRECTION('ref_axis',(1.,0.,0.)); #37694=DIRECTION('',(0.,0.,-1.)); #37695=DIRECTION('center_axis',(0.,0.,1.)); #37696=DIRECTION('ref_axis',(1.,0.,0.)); #37697=DIRECTION('center_axis',(0.,0.,1.)); #37698=DIRECTION('ref_axis',(1.,0.,0.)); #37699=DIRECTION('center_axis',(0.,0.,-1.)); #37700=DIRECTION('ref_axis',(1.,0.,0.)); #37701=DIRECTION('',(0.,0.,-1.)); #37702=DIRECTION('center_axis',(0.,0.,1.)); #37703=DIRECTION('ref_axis',(1.,0.,0.)); #37704=DIRECTION('center_axis',(0.,0.,1.)); #37705=DIRECTION('ref_axis',(1.,0.,0.)); #37706=DIRECTION('center_axis',(0.,0.,-1.)); #37707=DIRECTION('ref_axis',(1.,0.,0.)); #37708=DIRECTION('',(0.,0.,-1.)); #37709=DIRECTION('center_axis',(0.,0.,1.)); #37710=DIRECTION('ref_axis',(1.,0.,0.)); #37711=DIRECTION('center_axis',(0.,0.,1.)); #37712=DIRECTION('ref_axis',(1.,0.,0.)); #37713=DIRECTION('center_axis',(0.,0.,-1.)); #37714=DIRECTION('ref_axis',(1.,0.,0.)); #37715=DIRECTION('',(0.,0.,-1.)); #37716=DIRECTION('center_axis',(0.,0.,1.)); #37717=DIRECTION('ref_axis',(1.,0.,0.)); #37718=DIRECTION('center_axis',(0.,0.,1.)); #37719=DIRECTION('ref_axis',(1.,0.,0.)); #37720=DIRECTION('center_axis',(0.,0.,-1.)); #37721=DIRECTION('ref_axis',(1.,0.,0.)); #37722=DIRECTION('',(0.,0.,-1.)); #37723=DIRECTION('center_axis',(0.,0.,1.)); #37724=DIRECTION('ref_axis',(1.,0.,0.)); #37725=DIRECTION('center_axis',(0.,0.,1.)); #37726=DIRECTION('ref_axis',(1.,0.,0.)); #37727=DIRECTION('center_axis',(0.,0.,-1.)); #37728=DIRECTION('ref_axis',(1.,0.,0.)); #37729=DIRECTION('',(0.,0.,-1.)); #37730=DIRECTION('center_axis',(0.,0.,1.)); #37731=DIRECTION('ref_axis',(1.,0.,0.)); #37732=DIRECTION('center_axis',(0.,0.,1.)); #37733=DIRECTION('ref_axis',(1.,0.,0.)); #37734=DIRECTION('center_axis',(0.,0.,-1.)); #37735=DIRECTION('ref_axis',(1.,0.,0.)); #37736=DIRECTION('',(0.,0.,-1.)); #37737=DIRECTION('center_axis',(0.,0.,1.)); #37738=DIRECTION('ref_axis',(1.,0.,0.)); #37739=DIRECTION('center_axis',(0.,0.,1.)); #37740=DIRECTION('ref_axis',(1.,0.,0.)); #37741=DIRECTION('center_axis',(0.,0.,-1.)); #37742=DIRECTION('ref_axis',(1.,0.,0.)); #37743=DIRECTION('',(0.,0.,-1.)); #37744=DIRECTION('center_axis',(0.,0.,1.)); #37745=DIRECTION('ref_axis',(1.,0.,0.)); #37746=DIRECTION('center_axis',(0.,0.,1.)); #37747=DIRECTION('ref_axis',(1.,0.,0.)); #37748=DIRECTION('center_axis',(0.,0.,-1.)); #37749=DIRECTION('ref_axis',(1.,0.,0.)); #37750=DIRECTION('',(0.,0.,-1.)); #37751=DIRECTION('center_axis',(0.,0.,1.)); #37752=DIRECTION('ref_axis',(1.,0.,0.)); #37753=DIRECTION('center_axis',(0.,0.,1.)); #37754=DIRECTION('ref_axis',(1.,0.,0.)); #37755=DIRECTION('center_axis',(0.,0.,-1.)); #37756=DIRECTION('ref_axis',(1.,0.,0.)); #37757=DIRECTION('',(0.,0.,-1.)); #37758=DIRECTION('center_axis',(0.,0.,1.)); #37759=DIRECTION('ref_axis',(1.,0.,0.)); #37760=DIRECTION('center_axis',(0.,0.,1.)); #37761=DIRECTION('ref_axis',(1.,0.,0.)); #37762=DIRECTION('center_axis',(0.,0.,-1.)); #37763=DIRECTION('ref_axis',(1.,0.,0.)); #37764=DIRECTION('',(0.,0.,-1.)); #37765=DIRECTION('center_axis',(0.,0.,1.)); #37766=DIRECTION('ref_axis',(1.,0.,0.)); #37767=DIRECTION('center_axis',(0.,0.,1.)); #37768=DIRECTION('ref_axis',(1.,0.,0.)); #37769=DIRECTION('center_axis',(0.,0.,-1.)); #37770=DIRECTION('ref_axis',(1.,0.,0.)); #37771=DIRECTION('',(0.,0.,-1.)); #37772=DIRECTION('center_axis',(0.,0.,1.)); #37773=DIRECTION('ref_axis',(1.,0.,0.)); #37774=DIRECTION('center_axis',(0.,0.,1.)); #37775=DIRECTION('ref_axis',(1.,0.,0.)); #37776=DIRECTION('center_axis',(0.,0.,-1.)); #37777=DIRECTION('ref_axis',(1.,0.,0.)); #37778=DIRECTION('',(0.,0.,-1.)); #37779=DIRECTION('center_axis',(0.,0.,1.)); #37780=DIRECTION('ref_axis',(1.,0.,0.)); #37781=DIRECTION('center_axis',(0.,0.,1.)); #37782=DIRECTION('ref_axis',(1.,0.,0.)); #37783=DIRECTION('center_axis',(0.,0.,-1.)); #37784=DIRECTION('ref_axis',(1.,0.,0.)); #37785=DIRECTION('',(0.,0.,-1.)); #37786=DIRECTION('center_axis',(0.,0.,1.)); #37787=DIRECTION('ref_axis',(1.,0.,0.)); #37788=DIRECTION('center_axis',(0.,0.,1.)); #37789=DIRECTION('ref_axis',(1.,0.,0.)); #37790=DIRECTION('center_axis',(0.,0.,-1.)); #37791=DIRECTION('ref_axis',(1.,0.,0.)); #37792=DIRECTION('',(0.,0.,-1.)); #37793=DIRECTION('center_axis',(0.,0.,1.)); #37794=DIRECTION('ref_axis',(1.,0.,0.)); #37795=DIRECTION('center_axis',(0.,0.,1.)); #37796=DIRECTION('ref_axis',(1.,0.,0.)); #37797=DIRECTION('center_axis',(0.,0.,-1.)); #37798=DIRECTION('ref_axis',(1.,0.,0.)); #37799=DIRECTION('',(0.,0.,-1.)); #37800=DIRECTION('center_axis',(0.,0.,1.)); #37801=DIRECTION('ref_axis',(1.,0.,0.)); #37802=DIRECTION('center_axis',(0.,0.,1.)); #37803=DIRECTION('ref_axis',(1.,0.,0.)); #37804=DIRECTION('center_axis',(0.,0.,-1.)); #37805=DIRECTION('ref_axis',(1.,0.,0.)); #37806=DIRECTION('',(0.,0.,-1.)); #37807=DIRECTION('center_axis',(0.,0.,1.)); #37808=DIRECTION('ref_axis',(1.,0.,0.)); #37809=DIRECTION('center_axis',(0.,0.,1.)); #37810=DIRECTION('ref_axis',(1.,0.,0.)); #37811=DIRECTION('center_axis',(0.,0.,-1.)); #37812=DIRECTION('ref_axis',(1.,0.,0.)); #37813=DIRECTION('',(0.,0.,-1.)); #37814=DIRECTION('center_axis',(0.,0.,1.)); #37815=DIRECTION('ref_axis',(1.,0.,0.)); #37816=DIRECTION('center_axis',(0.,0.,1.)); #37817=DIRECTION('ref_axis',(1.,0.,0.)); #37818=DIRECTION('center_axis',(0.,0.,-1.)); #37819=DIRECTION('ref_axis',(1.,0.,0.)); #37820=DIRECTION('',(0.,0.,-1.)); #37821=DIRECTION('center_axis',(0.,0.,1.)); #37822=DIRECTION('ref_axis',(1.,0.,0.)); #37823=DIRECTION('center_axis',(0.,0.,1.)); #37824=DIRECTION('ref_axis',(1.,0.,0.)); #37825=DIRECTION('center_axis',(0.,0.,-1.)); #37826=DIRECTION('ref_axis',(1.,0.,0.)); #37827=DIRECTION('',(0.,0.,-1.)); #37828=DIRECTION('center_axis',(0.,0.,1.)); #37829=DIRECTION('ref_axis',(1.,0.,0.)); #37830=DIRECTION('center_axis',(0.,0.,1.)); #37831=DIRECTION('ref_axis',(1.,0.,0.)); #37832=DIRECTION('center_axis',(0.,0.,-1.)); #37833=DIRECTION('ref_axis',(1.,0.,0.)); #37834=DIRECTION('',(0.,0.,-1.)); #37835=DIRECTION('center_axis',(0.,0.,1.)); #37836=DIRECTION('ref_axis',(1.,0.,0.)); #37837=DIRECTION('center_axis',(0.,0.,-1.)); #37838=DIRECTION('ref_axis',(0.969656758600975,0.244470387776208,0.)); #37839=DIRECTION('center_axis',(0.,0.,-1.)); #37840=DIRECTION('ref_axis',(0.969656758600975,0.244470387776208,0.)); #37841=DIRECTION('',(0.,0.,1.)); #37842=DIRECTION('center_axis',(-8.24480130503167E-18,-6.35231017624189E-18, -1.)); #37843=DIRECTION('ref_axis',(0.309016994374942,-0.951056516295155,6.05395677266327E-18)); #37844=DIRECTION('',(0.,0.,1.)); #37845=DIRECTION('center_axis',(0.,0.,-1.)); #37846=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #37847=DIRECTION('center_axis',(0.,0.,-1.)); #37848=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #37849=DIRECTION('center_axis',(6.69113093339049E-33,-1.27359879375532E-18, -1.)); #37850=DIRECTION('ref_axis',(-0.969656758600976,-0.244470387776207,3.11357190980656E-19)); #37851=DIRECTION('',(0.,0.,1.)); #37852=DIRECTION('center_axis',(0.,0.,-1.)); #37853=DIRECTION('ref_axis',(-0.309016994374898,-0.95105651629517,0.)); #37854=DIRECTION('center_axis',(0.,0.,-1.)); #37855=DIRECTION('ref_axis',(-0.309016994374898,-0.95105651629517,0.)); #37856=DIRECTION('center_axis',(-8.24480130503296E-18,6.35231017624295E-18, -1.)); #37857=DIRECTION('ref_axis',(-0.969656758600976,0.244470387776206,1.21079135453281E-17)); #37858=DIRECTION('',(0.,0.,1.)); #37859=DIRECTION('center_axis',(0.309016994374899,0.951056516295169,0.)); #37860=DIRECTION('ref_axis',(0.,0.,1.)); #37861=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #37862=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #37863=DIRECTION('',(0.,0.,1.)); #37864=DIRECTION('center_axis',(0.,0.,-1.)); #37865=DIRECTION('ref_axis',(0.969656758600975,0.244470387776208,0.)); #37866=DIRECTION('',(0.,0.,-1.)); #37867=DIRECTION('center_axis',(-8.62716890178675E-18,-6.64691006847336E-18, -1.)); #37868=DIRECTION('ref_axis',(0.30901699437494,-0.951056516295156,6.3347199853085E-18)); #37869=DIRECTION('',(0.,0.,-1.)); #37870=DIRECTION('center_axis',(-0.309016994374951,0.951056516295153,0.)); #37871=DIRECTION('ref_axis',(0.,0.,-1.)); #37872=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #37873=DIRECTION('',(0.,0.,-1.)); #37874=DIRECTION('center_axis',(0.,0.,-1.)); #37875=DIRECTION('ref_axis',(-0.30901699437495,0.951056516295153,0.)); #37876=DIRECTION('center_axis',(1.33695892132488E-17,-2.34855700628114E-18, -1.)); #37877=DIRECTION('ref_axis',(0.982561608838466,0.185937314266841,1.26694399706169E-17)); #37878=DIRECTION('',(0.,0.,-1.)); #37879=DIRECTION('center_axis',(0.,0.,1.)); #37880=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #37881=DIRECTION('center_axis',(1.97023395686904E-17,1.84781148179411E-18, 1.)); #37882=DIRECTION('ref_axis',(-0.982561608838466,0.18593731426684,1.92403178349881E-17)); #37883=DIRECTION('',(0.,0.,1.)); #37884=DIRECTION('center_axis',(0.,0.,-1.)); #37885=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266838,0.)); #37886=DIRECTION('center_axis',(0.,0.,-1.)); #37887=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266838,0.)); #37888=DIRECTION('center_axis',(0.,0.,-1.)); #37889=DIRECTION('ref_axis',(0.309016994374905,0.951056516295167,0.)); #37890=DIRECTION('',(0.,0.,-1.)); #37891=DIRECTION('center_axis',(0.,0.,-1.)); #37892=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266838,0.)); #37893=DIRECTION('center_axis',(0.,0.,-1.)); #37894=DIRECTION('ref_axis',(0.309016994374906,0.951056516295167,0.)); #37895=DIRECTION('',(0.,0.,-1.)); #37896=DIRECTION('center_axis',(-0.309016994374899,-0.951056516295169,0.)); #37897=DIRECTION('ref_axis',(0.,0.,-1.)); #37898=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #37899=DIRECTION('',(0.,0.,-1.)); #37900=DIRECTION('center_axis',(0.,0.,-1.)); #37901=DIRECTION('ref_axis',(-0.309016994374898,-0.95105651629517,0.)); #37902=DIRECTION('center_axis',(-8.62716890178814E-18,6.64691006847454E-18, -1.)); #37903=DIRECTION('ref_axis',(-0.969656758600975,0.244470387776208,1.26694399706187E-17)); #37904=DIRECTION('',(0.,0.,-1.)); #37905=DIRECTION('center_axis',(0.,0.,-1.)); #37906=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #37907=DIRECTION('center_axis',(5.88152242091016E-33,-1.27650854653977E-18, -1.)); #37908=DIRECTION('ref_axis',(-0.969656758600975,-0.244470387776208,3.12068539372204E-19)); #37909=DIRECTION('center_axis',(0.309016994374951,-0.951056516295153,0.)); #37910=DIRECTION('ref_axis',(0.,0.,1.)); #37911=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #37912=DIRECTION('',(0.,0.,1.)); #37913=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #37914=DIRECTION('center_axis',(0.,0.,-1.)); #37915=DIRECTION('ref_axis',(0.309016994374962,-0.951056516295149,0.)); #37916=DIRECTION('center_axis',(1.27770312425786E-17,-2.2444658370277E-18, -1.)); #37917=DIRECTION('ref_axis',(0.982561608838466,0.185937314266841,1.21079135453264E-17)); #37918=DIRECTION('center_axis',(1.98019571037054E-17,1.85715425168938E-18, 1.)); #37919=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266841,1.93375993293479E-17)); #37920=DIRECTION('center_axis',(0.,0.,-1.)); #37921=DIRECTION('ref_axis',(-0.30901699437495,0.951056516295153,0.)); #37922=DIRECTION('center_axis',(0.,0.,-1.)); #37923=DIRECTION('ref_axis',(-0.30901699437495,0.951056516295153,0.)); #37924=DIRECTION('',(0.,0.,1.)); #37925=DIRECTION('center_axis',(0.,0.,1.)); #37926=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #37927=DIRECTION('center_axis',(0.,0.,1.)); #37928=DIRECTION('ref_axis',(-0.982561608838466,0.185937314266839,0.)); #37929=DIRECTION('center_axis',(0.,0.,1.)); #37930=DIRECTION('ref_axis',(1.,0.,0.)); #37931=DIRECTION('center_axis',(0.,0.,1.)); #37932=DIRECTION('ref_axis',(1.,0.,0.)); #37933=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #37934=DIRECTION('center_axis',(0.,0.,1.)); #37935=DIRECTION('ref_axis',(1.,0.,0.)); #37936=DIRECTION('center_axis',(0.,0.,-1.)); #37937=DIRECTION('ref_axis',(1.,0.,0.)); #37938=DIRECTION('',(0.,0.,-1.)); #37939=DIRECTION('center_axis',(0.,0.,1.)); #37940=DIRECTION('ref_axis',(1.,0.,0.)); #37941=DIRECTION('center_axis',(0.,0.,1.)); #37942=DIRECTION('ref_axis',(1.,0.,0.)); #37943=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #37944=DIRECTION('center_axis',(0.,0.,1.)); #37945=DIRECTION('ref_axis',(1.,0.,0.)); #37946=DIRECTION('center_axis',(0.,0.,-1.)); #37947=DIRECTION('ref_axis',(1.,0.,0.)); #37948=DIRECTION('',(0.,0.,-1.)); #37949=DIRECTION('center_axis',(0.,0.,1.)); #37950=DIRECTION('ref_axis',(1.,0.,0.)); #37951=DIRECTION('center_axis',(0.,0.,1.)); #37952=DIRECTION('ref_axis',(1.,0.,0.)); #37953=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #37954=DIRECTION('center_axis',(0.,0.,1.)); #37955=DIRECTION('ref_axis',(1.,0.,0.)); #37956=DIRECTION('center_axis',(0.,0.,-1.)); #37957=DIRECTION('ref_axis',(1.,0.,0.)); #37958=DIRECTION('',(0.,0.,-1.)); #37959=DIRECTION('center_axis',(0.,0.,1.)); #37960=DIRECTION('ref_axis',(1.,0.,0.)); #37961=DIRECTION('center_axis',(0.,0.,1.)); #37962=DIRECTION('ref_axis',(1.,0.,0.)); #37963=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #37964=DIRECTION('center_axis',(0.,0.,1.)); #37965=DIRECTION('ref_axis',(1.,0.,0.)); #37966=DIRECTION('center_axis',(0.,0.,-1.)); #37967=DIRECTION('ref_axis',(1.,0.,0.)); #37968=DIRECTION('',(0.,0.,-1.)); #37969=DIRECTION('center_axis',(0.,0.,1.)); #37970=DIRECTION('ref_axis',(1.,0.,0.)); #37971=DIRECTION('center_axis',(0.,0.,1.)); #37972=DIRECTION('ref_axis',(1.,0.,0.)); #37973=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #37974=DIRECTION('center_axis',(0.,0.,1.)); #37975=DIRECTION('ref_axis',(1.,0.,0.)); #37976=DIRECTION('center_axis',(0.,0.,-1.)); #37977=DIRECTION('ref_axis',(1.,0.,0.)); #37978=DIRECTION('',(0.,0.,-1.)); #37979=DIRECTION('center_axis',(0.,0.,1.)); #37980=DIRECTION('ref_axis',(1.,0.,0.)); #37981=DIRECTION('center_axis',(0.,0.,1.)); #37982=DIRECTION('ref_axis',(1.,0.,0.)); #37983=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #37984=DIRECTION('center_axis',(0.,0.,1.)); #37985=DIRECTION('ref_axis',(1.,0.,0.)); #37986=DIRECTION('center_axis',(0.,0.,-1.)); #37987=DIRECTION('ref_axis',(1.,0.,0.)); #37988=DIRECTION('',(0.,0.,-1.)); #37989=DIRECTION('center_axis',(0.,0.,1.)); #37990=DIRECTION('ref_axis',(1.,0.,0.)); #37991=DIRECTION('center_axis',(0.,0.,1.)); #37992=DIRECTION('ref_axis',(1.,0.,0.)); #37993=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #37994=DIRECTION('center_axis',(0.,0.,1.)); #37995=DIRECTION('ref_axis',(1.,0.,0.)); #37996=DIRECTION('center_axis',(0.,0.,-1.)); #37997=DIRECTION('ref_axis',(1.,0.,0.)); #37998=DIRECTION('',(0.,0.,-1.)); #37999=DIRECTION('center_axis',(0.,0.,1.)); #38000=DIRECTION('ref_axis',(1.,0.,0.)); #38001=DIRECTION('center_axis',(0.,0.,1.)); #38002=DIRECTION('ref_axis',(1.,0.,0.)); #38003=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38004=DIRECTION('center_axis',(0.,0.,1.)); #38005=DIRECTION('ref_axis',(1.,0.,0.)); #38006=DIRECTION('center_axis',(0.,0.,-1.)); #38007=DIRECTION('ref_axis',(1.,0.,0.)); #38008=DIRECTION('',(0.,0.,-1.)); #38009=DIRECTION('center_axis',(0.,0.,1.)); #38010=DIRECTION('ref_axis',(1.,0.,0.)); #38011=DIRECTION('center_axis',(0.,0.,1.)); #38012=DIRECTION('ref_axis',(1.,0.,0.)); #38013=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38014=DIRECTION('center_axis',(0.,0.,1.)); #38015=DIRECTION('ref_axis',(1.,0.,0.)); #38016=DIRECTION('center_axis',(0.,0.,-1.)); #38017=DIRECTION('ref_axis',(1.,0.,0.)); #38018=DIRECTION('',(0.,0.,-1.)); #38019=DIRECTION('center_axis',(0.,0.,1.)); #38020=DIRECTION('ref_axis',(1.,0.,0.)); #38021=DIRECTION('center_axis',(0.,0.,1.)); #38022=DIRECTION('ref_axis',(1.,0.,0.)); #38023=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38024=DIRECTION('center_axis',(0.,0.,1.)); #38025=DIRECTION('ref_axis',(1.,0.,0.)); #38026=DIRECTION('center_axis',(0.,0.,-1.)); #38027=DIRECTION('ref_axis',(1.,0.,0.)); #38028=DIRECTION('',(0.,0.,-1.)); #38029=DIRECTION('center_axis',(0.,0.,1.)); #38030=DIRECTION('ref_axis',(1.,0.,0.)); #38031=DIRECTION('center_axis',(0.,0.,1.)); #38032=DIRECTION('ref_axis',(1.,0.,0.)); #38033=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38034=DIRECTION('center_axis',(0.,0.,1.)); #38035=DIRECTION('ref_axis',(1.,0.,0.)); #38036=DIRECTION('center_axis',(0.,0.,-1.)); #38037=DIRECTION('ref_axis',(1.,0.,0.)); #38038=DIRECTION('',(0.,0.,-1.)); #38039=DIRECTION('center_axis',(0.,0.,1.)); #38040=DIRECTION('ref_axis',(1.,0.,0.)); #38041=DIRECTION('center_axis',(0.,0.,1.)); #38042=DIRECTION('ref_axis',(1.,0.,0.)); #38043=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38044=DIRECTION('center_axis',(0.,0.,1.)); #38045=DIRECTION('ref_axis',(1.,0.,0.)); #38046=DIRECTION('center_axis',(0.,0.,-1.)); #38047=DIRECTION('ref_axis',(1.,0.,0.)); #38048=DIRECTION('',(0.,0.,-1.)); #38049=DIRECTION('center_axis',(0.,0.,1.)); #38050=DIRECTION('ref_axis',(1.,0.,0.)); #38051=DIRECTION('center_axis',(0.,0.,1.)); #38052=DIRECTION('ref_axis',(1.,0.,0.)); #38053=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38054=DIRECTION('center_axis',(0.,0.,1.)); #38055=DIRECTION('ref_axis',(1.,0.,0.)); #38056=DIRECTION('center_axis',(0.,0.,-1.)); #38057=DIRECTION('ref_axis',(1.,0.,0.)); #38058=DIRECTION('',(0.,0.,-1.)); #38059=DIRECTION('center_axis',(0.,0.,1.)); #38060=DIRECTION('ref_axis',(1.,0.,0.)); #38061=DIRECTION('center_axis',(0.,0.,1.)); #38062=DIRECTION('ref_axis',(1.,0.,0.)); #38063=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38064=DIRECTION('center_axis',(0.,0.,1.)); #38065=DIRECTION('ref_axis',(1.,0.,0.)); #38066=DIRECTION('center_axis',(0.,0.,-1.)); #38067=DIRECTION('ref_axis',(1.,0.,0.)); #38068=DIRECTION('',(0.,0.,-1.)); #38069=DIRECTION('center_axis',(0.,0.,1.)); #38070=DIRECTION('ref_axis',(1.,0.,0.)); #38071=DIRECTION('center_axis',(0.,0.,1.)); #38072=DIRECTION('ref_axis',(1.,0.,0.)); #38073=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38074=DIRECTION('center_axis',(0.,0.,1.)); #38075=DIRECTION('ref_axis',(1.,0.,0.)); #38076=DIRECTION('center_axis',(0.,0.,-1.)); #38077=DIRECTION('ref_axis',(1.,0.,0.)); #38078=DIRECTION('',(0.,0.,-1.)); #38079=DIRECTION('center_axis',(0.,0.,1.)); #38080=DIRECTION('ref_axis',(1.,0.,0.)); #38081=DIRECTION('center_axis',(0.,0.,1.)); #38082=DIRECTION('ref_axis',(1.,0.,0.)); #38083=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38084=DIRECTION('center_axis',(0.,0.,1.)); #38085=DIRECTION('ref_axis',(1.,0.,0.)); #38086=DIRECTION('center_axis',(0.,0.,-1.)); #38087=DIRECTION('ref_axis',(1.,0.,0.)); #38088=DIRECTION('',(0.,0.,-1.)); #38089=DIRECTION('center_axis',(0.,0.,1.)); #38090=DIRECTION('ref_axis',(1.,0.,0.)); #38091=DIRECTION('center_axis',(0.,0.,1.)); #38092=DIRECTION('ref_axis',(1.,0.,0.)); #38093=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38094=DIRECTION('center_axis',(0.,0.,1.)); #38095=DIRECTION('ref_axis',(1.,0.,0.)); #38096=DIRECTION('center_axis',(0.,0.,-1.)); #38097=DIRECTION('ref_axis',(1.,0.,0.)); #38098=DIRECTION('',(0.,0.,-1.)); #38099=DIRECTION('center_axis',(0.,0.,1.)); #38100=DIRECTION('ref_axis',(1.,0.,0.)); #38101=DIRECTION('center_axis',(0.,0.,1.)); #38102=DIRECTION('ref_axis',(1.,0.,0.)); #38103=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38104=DIRECTION('center_axis',(0.,0.,1.)); #38105=DIRECTION('ref_axis',(1.,0.,0.)); #38106=DIRECTION('center_axis',(0.,0.,-1.)); #38107=DIRECTION('ref_axis',(1.,0.,0.)); #38108=DIRECTION('',(0.,0.,-1.)); #38109=DIRECTION('center_axis',(0.,0.,1.)); #38110=DIRECTION('ref_axis',(1.,0.,0.)); #38111=DIRECTION('center_axis',(0.,0.,1.)); #38112=DIRECTION('ref_axis',(1.,0.,0.)); #38113=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38114=DIRECTION('center_axis',(0.,0.,1.)); #38115=DIRECTION('ref_axis',(1.,0.,0.)); #38116=DIRECTION('center_axis',(0.,0.,-1.)); #38117=DIRECTION('ref_axis',(1.,0.,0.)); #38118=DIRECTION('',(0.,0.,-1.)); #38119=DIRECTION('center_axis',(0.,0.,1.)); #38120=DIRECTION('ref_axis',(1.,0.,0.)); #38121=DIRECTION('center_axis',(0.,0.,1.)); #38122=DIRECTION('ref_axis',(1.,0.,0.)); #38123=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38124=DIRECTION('center_axis',(0.,0.,1.)); #38125=DIRECTION('ref_axis',(1.,0.,0.)); #38126=DIRECTION('center_axis',(0.,0.,-1.)); #38127=DIRECTION('ref_axis',(1.,0.,0.)); #38128=DIRECTION('',(0.,0.,-1.)); #38129=DIRECTION('center_axis',(0.,0.,1.)); #38130=DIRECTION('ref_axis',(1.,0.,0.)); #38131=DIRECTION('center_axis',(0.,0.,1.)); #38132=DIRECTION('ref_axis',(1.,0.,0.)); #38133=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38134=DIRECTION('center_axis',(0.,0.,1.)); #38135=DIRECTION('ref_axis',(1.,0.,0.)); #38136=DIRECTION('center_axis',(0.,0.,-1.)); #38137=DIRECTION('ref_axis',(1.,0.,0.)); #38138=DIRECTION('',(0.,0.,-1.)); #38139=DIRECTION('center_axis',(0.,0.,1.)); #38140=DIRECTION('ref_axis',(1.,0.,0.)); #38141=DIRECTION('center_axis',(0.,0.,1.)); #38142=DIRECTION('ref_axis',(1.,0.,0.)); #38143=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38144=DIRECTION('center_axis',(0.,0.,1.)); #38145=DIRECTION('ref_axis',(1.,0.,0.)); #38146=DIRECTION('center_axis',(0.,0.,-1.)); #38147=DIRECTION('ref_axis',(1.,0.,0.)); #38148=DIRECTION('',(0.,0.,-1.)); #38149=DIRECTION('center_axis',(0.,0.,1.)); #38150=DIRECTION('ref_axis',(1.,0.,0.)); #38151=DIRECTION('center_axis',(0.,0.,1.)); #38152=DIRECTION('ref_axis',(1.,0.,0.)); #38153=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38154=DIRECTION('center_axis',(0.,0.,1.)); #38155=DIRECTION('ref_axis',(1.,0.,0.)); #38156=DIRECTION('center_axis',(0.,0.,-1.)); #38157=DIRECTION('ref_axis',(1.,0.,0.)); #38158=DIRECTION('',(0.,0.,-1.)); #38159=DIRECTION('center_axis',(0.,0.,1.)); #38160=DIRECTION('ref_axis',(1.,0.,0.)); #38161=DIRECTION('center_axis',(0.,0.,1.)); #38162=DIRECTION('ref_axis',(1.,0.,0.)); #38163=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38164=DIRECTION('center_axis',(0.,0.,1.)); #38165=DIRECTION('ref_axis',(1.,0.,0.)); #38166=DIRECTION('center_axis',(0.,0.,-1.)); #38167=DIRECTION('ref_axis',(1.,0.,0.)); #38168=DIRECTION('',(0.,0.,-1.)); #38169=DIRECTION('center_axis',(0.,0.,1.)); #38170=DIRECTION('ref_axis',(1.,0.,0.)); #38171=DIRECTION('center_axis',(0.,0.,1.)); #38172=DIRECTION('ref_axis',(1.,0.,0.)); #38173=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38174=DIRECTION('center_axis',(0.,0.,1.)); #38175=DIRECTION('ref_axis',(1.,0.,0.)); #38176=DIRECTION('center_axis',(0.,0.,-1.)); #38177=DIRECTION('ref_axis',(1.,0.,0.)); #38178=DIRECTION('',(0.,0.,-1.)); #38179=DIRECTION('center_axis',(0.,0.,1.)); #38180=DIRECTION('ref_axis',(1.,0.,0.)); #38181=DIRECTION('center_axis',(0.,0.,1.)); #38182=DIRECTION('ref_axis',(1.,0.,0.)); #38183=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38184=DIRECTION('center_axis',(0.,0.,1.)); #38185=DIRECTION('ref_axis',(1.,0.,0.)); #38186=DIRECTION('center_axis',(0.,0.,-1.)); #38187=DIRECTION('ref_axis',(1.,0.,0.)); #38188=DIRECTION('',(0.,0.,-1.)); #38189=DIRECTION('center_axis',(0.,0.,1.)); #38190=DIRECTION('ref_axis',(1.,0.,0.)); #38191=DIRECTION('center_axis',(0.,0.,1.)); #38192=DIRECTION('ref_axis',(1.,0.,0.)); #38193=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38194=DIRECTION('center_axis',(0.,0.,1.)); #38195=DIRECTION('ref_axis',(1.,0.,0.)); #38196=DIRECTION('center_axis',(0.,0.,-1.)); #38197=DIRECTION('ref_axis',(1.,0.,0.)); #38198=DIRECTION('',(0.,0.,-1.)); #38199=DIRECTION('center_axis',(0.,0.,1.)); #38200=DIRECTION('ref_axis',(1.,0.,0.)); #38201=DIRECTION('center_axis',(0.,0.,1.)); #38202=DIRECTION('ref_axis',(1.,0.,0.)); #38203=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38204=DIRECTION('center_axis',(0.,0.,1.)); #38205=DIRECTION('ref_axis',(1.,0.,0.)); #38206=DIRECTION('center_axis',(0.,0.,-1.)); #38207=DIRECTION('ref_axis',(1.,0.,0.)); #38208=DIRECTION('',(0.,0.,-1.)); #38209=DIRECTION('center_axis',(0.,0.,1.)); #38210=DIRECTION('ref_axis',(1.,0.,0.)); #38211=DIRECTION('center_axis',(0.,0.,1.)); #38212=DIRECTION('ref_axis',(1.,0.,0.)); #38213=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38214=DIRECTION('center_axis',(0.,0.,1.)); #38215=DIRECTION('ref_axis',(1.,0.,0.)); #38216=DIRECTION('center_axis',(0.,0.,-1.)); #38217=DIRECTION('ref_axis',(1.,0.,0.)); #38218=DIRECTION('',(0.,0.,-1.)); #38219=DIRECTION('center_axis',(0.,0.,1.)); #38220=DIRECTION('ref_axis',(1.,0.,0.)); #38221=DIRECTION('center_axis',(0.,0.,1.)); #38222=DIRECTION('ref_axis',(1.,0.,0.)); #38223=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38224=DIRECTION('center_axis',(0.,0.,1.)); #38225=DIRECTION('ref_axis',(1.,0.,0.)); #38226=DIRECTION('center_axis',(0.,0.,-1.)); #38227=DIRECTION('ref_axis',(1.,0.,0.)); #38228=DIRECTION('',(0.,0.,-1.)); #38229=DIRECTION('center_axis',(0.,0.,1.)); #38230=DIRECTION('ref_axis',(1.,0.,0.)); #38231=DIRECTION('center_axis',(0.,0.,1.)); #38232=DIRECTION('ref_axis',(1.,0.,0.)); #38233=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38234=DIRECTION('center_axis',(0.,0.,1.)); #38235=DIRECTION('ref_axis',(1.,0.,0.)); #38236=DIRECTION('center_axis',(0.,0.,-1.)); #38237=DIRECTION('ref_axis',(1.,0.,0.)); #38238=DIRECTION('',(0.,0.,-1.)); #38239=DIRECTION('center_axis',(0.,0.,1.)); #38240=DIRECTION('ref_axis',(1.,0.,0.)); #38241=DIRECTION('center_axis',(0.,0.,1.)); #38242=DIRECTION('ref_axis',(1.,0.,0.)); #38243=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38244=DIRECTION('center_axis',(0.,0.,1.)); #38245=DIRECTION('ref_axis',(1.,0.,0.)); #38246=DIRECTION('center_axis',(0.,0.,-1.)); #38247=DIRECTION('ref_axis',(1.,0.,0.)); #38248=DIRECTION('',(0.,0.,-1.)); #38249=DIRECTION('center_axis',(0.,0.,1.)); #38250=DIRECTION('ref_axis',(1.,0.,0.)); #38251=DIRECTION('center_axis',(0.,0.,1.)); #38252=DIRECTION('ref_axis',(1.,0.,0.)); #38253=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38254=DIRECTION('center_axis',(0.,0.,1.)); #38255=DIRECTION('ref_axis',(1.,0.,0.)); #38256=DIRECTION('center_axis',(0.,0.,-1.)); #38257=DIRECTION('ref_axis',(1.,0.,0.)); #38258=DIRECTION('',(0.,0.,-1.)); #38259=DIRECTION('center_axis',(0.,0.,1.)); #38260=DIRECTION('ref_axis',(1.,0.,0.)); #38261=DIRECTION('center_axis',(0.,0.,1.)); #38262=DIRECTION('ref_axis',(1.,0.,0.)); #38263=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38264=DIRECTION('center_axis',(0.,0.,1.)); #38265=DIRECTION('ref_axis',(1.,0.,0.)); #38266=DIRECTION('center_axis',(0.,0.,-1.)); #38267=DIRECTION('ref_axis',(1.,0.,0.)); #38268=DIRECTION('',(0.,0.,-1.)); #38269=DIRECTION('center_axis',(0.,0.,1.)); #38270=DIRECTION('ref_axis',(1.,0.,0.)); #38271=DIRECTION('center_axis',(0.,0.,1.)); #38272=DIRECTION('ref_axis',(1.,0.,0.)); #38273=DIRECTION('',(0.857167300702112,1.04972719113862E-16,-0.515038074910054)); #38274=DIRECTION('center_axis',(0.,0.,1.)); #38275=DIRECTION('ref_axis',(1.,0.,0.)); #38276=DIRECTION('center_axis',(0.,0.,-1.)); #38277=DIRECTION('ref_axis',(1.,0.,0.)); #38278=DIRECTION('',(0.,0.,-1.)); #38279=DIRECTION('center_axis',(0.615290215758671,0.130783972690869,-0.777376036985218)); #38280=DIRECTION('ref_axis',(-0.784110851468563,0.,-0.620620796146282)); #38281=DIRECTION('',(0.207911690817759,-0.978147600733806,0.)); #38282=DIRECTION('',(0.774794156911293,0.0814341473504765,0.626946962718075)); #38283=DIRECTION('',(-0.207911690817759,0.978147600733806,0.)); #38284=DIRECTION('',(-0.740931934379413,-0.240743379047819,-0.626946962718075)); #38285=DIRECTION('center_axis',(0.,0.,1.)); #38286=DIRECTION('ref_axis',(1.,0.,0.)); #38287=DIRECTION('',(0.,1.,0.)); #38288=DIRECTION('',(0.207911690817759,0.978147600733806,0.)); #38289=DIRECTION('',(0.951056516295154,-0.309016994374947,0.)); #38290=DIRECTION('center_axis',(0.,0.,1.)); #38291=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #38292=DIRECTION('',(-0.951056516295154,-0.309016994374948,0.)); #38293=DIRECTION('center_axis',(0.629036165193352,0.,-0.777376036985218)); #38294=DIRECTION('ref_axis',(-0.777376036985218,0.,-0.629036165193352)); #38295=DIRECTION('',(0.,-1.,0.)); #38296=DIRECTION('',(-0.774794156911293,0.0814341473504777,-0.626946962718075)); #38297=DIRECTION('center_axis',(0.615290215758671,-0.130783972690869,-0.777376036985218)); #38298=DIRECTION('ref_axis',(-0.784110851468563,0.,-0.620620796146282)); #38299=DIRECTION('',(-0.207911690817759,-0.978147600733806,0.)); #38300=DIRECTION('',(0.740931934379413,-0.240743379047819,0.626946962718075)); #38301=DIRECTION('center_axis',(0.309016994374948,0.951056516295154,0.)); #38302=DIRECTION('ref_axis',(0.951056516295153,-0.309016994374948,0.)); #38303=DIRECTION('',(-0.951056516295153,0.309016994374948,0.)); #38304=DIRECTION('',(0.,0.,-1.)); #38305=DIRECTION('center_axis',(0.,0.,1.)); #38306=DIRECTION('ref_axis',(1.,0.,0.)); #38307=DIRECTION('',(0.951056516295154,0.309016994374948,0.)); #38308=DIRECTION('center_axis',(0.,0.,-1.)); #38309=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #38310=DIRECTION('center_axis',(0.,0.,-1.)); #38311=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #38312=DIRECTION('',(0.,0.,-1.)); #38313=DIRECTION('center_axis',(0.309016994374948,-0.951056516295154,0.)); #38314=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374948,0.)); #38315=DIRECTION('axis',(0.,0.,1.)); #38316=DIRECTION('refdir',(1.,0.,0.)); #38317=DIRECTION('center_axis',(0.,0.,-1.)); #38318=DIRECTION('ref_axis',(-1.,0.,0.)); #38319=DIRECTION('center_axis',(0.,0.,1.)); #38320=DIRECTION('ref_axis',(-1.,0.,0.)); #38321=DIRECTION('',(0.,0.,1.)); #38322=DIRECTION('center_axis',(0.,0.,-1.)); #38323=DIRECTION('ref_axis',(-1.,0.,0.)); #38324=DIRECTION('center_axis',(0.,0.,-1.)); #38325=DIRECTION('ref_axis',(-1.,0.,0.)); #38326=DIRECTION('center_axis',(0.,0.,1.)); #38327=DIRECTION('ref_axis',(-1.,0.,0.)); #38328=DIRECTION('',(0.,0.,1.)); #38329=DIRECTION('center_axis',(0.,0.,-1.)); #38330=DIRECTION('ref_axis',(-1.,0.,0.)); #38331=DIRECTION('center_axis',(0.,0.,-1.)); #38332=DIRECTION('ref_axis',(-1.,0.,0.)); #38333=DIRECTION('center_axis',(0.,0.,1.)); #38334=DIRECTION('ref_axis',(-1.,0.,0.)); #38335=DIRECTION('',(0.,0.,1.)); #38336=DIRECTION('center_axis',(0.,0.,-1.)); #38337=DIRECTION('ref_axis',(-1.,0.,0.)); #38338=DIRECTION('center_axis',(0.,0.,-1.)); #38339=DIRECTION('ref_axis',(-1.,0.,0.)); #38340=DIRECTION('center_axis',(0.,0.,1.)); #38341=DIRECTION('ref_axis',(-1.,0.,0.)); #38342=DIRECTION('',(0.,0.,1.)); #38343=DIRECTION('center_axis',(0.,0.,-1.)); #38344=DIRECTION('ref_axis',(-1.,0.,0.)); #38345=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38346=DIRECTION('ref_axis',(0.,0.,-1.)); #38347=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38348=DIRECTION('ref_axis',(0.,0.,-1.)); #38349=DIRECTION('',(-0.515038074910054,-3.14184127822948E-14,-0.857167300702112)); #38350=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38351=DIRECTION('ref_axis',(0.,0.,-1.)); #38352=DIRECTION('center_axis',(-1.,-6.12059322156209E-14,0.)); #38353=DIRECTION('ref_axis',(0.,0.,-1.)); #38354=DIRECTION('',(-1.,-6.12059322156209E-14,0.)); #38355=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38356=DIRECTION('ref_axis',(0.,0.,-1.)); #38357=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38358=DIRECTION('ref_axis',(0.,0.,-1.)); #38359=DIRECTION('',(-0.515038074910054,-3.14184127822948E-14,-0.857167300702112)); #38360=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38361=DIRECTION('ref_axis',(0.,0.,-1.)); #38362=DIRECTION('center_axis',(-1.,-6.12059322156209E-14,0.)); #38363=DIRECTION('ref_axis',(0.,0.,-1.)); #38364=DIRECTION('',(-1.,-6.12059322156209E-14,0.)); #38365=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38366=DIRECTION('ref_axis',(0.,0.,-1.)); #38367=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38368=DIRECTION('ref_axis',(0.,0.,-1.)); #38369=DIRECTION('',(-0.515038074910054,-3.14184127822948E-14,-0.857167300702112)); #38370=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38371=DIRECTION('ref_axis',(0.,0.,-1.)); #38372=DIRECTION('center_axis',(-1.,-6.12059322156209E-14,0.)); #38373=DIRECTION('ref_axis',(0.,0.,-1.)); #38374=DIRECTION('',(-1.,-6.12059322156209E-14,0.)); #38375=DIRECTION('center_axis',(-6.11310422378614E-14,1.,0.)); #38376=DIRECTION('ref_axis',(-1.,-6.11310422378614E-14,0.)); #38377=DIRECTION('',(1.,6.11310422378614E-14,0.)); #38378=DIRECTION('',(0.,0.,1.)); #38379=DIRECTION('',(-1.,-6.11310422378614E-14,0.)); #38380=DIRECTION('',(0.,0.,-1.)); #38381=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #38382=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38383=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #38384=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38385=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #38386=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38387=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #38388=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38389=DIRECTION('center_axis',(6.11310422378614E-14,-1.,0.)); #38390=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38391=DIRECTION('center_axis',(0.,0.,-1.)); #38392=DIRECTION('ref_axis',(-1.,0.,0.)); #38393=DIRECTION('',(-0.62898439126489,0.777417928494794,0.)); #38394=DIRECTION('',(1.,9.41276343547166E-15,0.)); #38395=DIRECTION('',(6.12059322156209E-14,-1.,0.)); #38396=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #38397=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38398=DIRECTION('',(2.38976541945978E-14,1.,0.)); #38399=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #38400=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38401=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #38402=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38403=DIRECTION('',(2.38976541945978E-14,1.,0.)); #38404=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #38405=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38406=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #38407=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38408=DIRECTION('',(2.38976541945978E-14,1.,0.)); #38409=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #38410=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38411=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #38412=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38413=DIRECTION('',(2.38976541945978E-14,1.,0.)); #38414=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #38415=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38416=DIRECTION('center_axis',(-2.38976541945978E-14,-1.,0.)); #38417=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38418=DIRECTION('',(2.38976541945978E-14,1.,0.)); #38419=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #38420=DIRECTION('ref_axis',(1.,-2.38976541945978E-14,0.)); #38421=DIRECTION('center_axis',(0.777417928494794,0.62898439126489,0.)); #38422=DIRECTION('ref_axis',(0.62898439126489,-0.777417928494794,0.)); #38423=DIRECTION('',(0.62898439126489,-0.777417928494794,0.)); #38424=DIRECTION('',(0.,0.,-1.)); #38425=DIRECTION('center_axis',(-0.777417928494794,-0.62898439126489,0.)); #38426=DIRECTION('ref_axis',(-0.62898439126489,0.777417928494794,-2.72418752448518E-16)); #38427=DIRECTION('center_axis',(-0.777417928494794,-0.62898439126489,0.)); #38428=DIRECTION('ref_axis',(-0.62898439126489,0.777417928494794,-2.72418752448518E-16)); #38429=DIRECTION('center_axis',(-0.777417928494794,-0.62898439126489,0.)); #38430=DIRECTION('ref_axis',(-0.62898439126489,0.777417928494794,-2.72418752448518E-16)); #38431=DIRECTION('center_axis',(0.,0.,1.)); #38432=DIRECTION('ref_axis',(1.,0.,0.)); #38433=DIRECTION('',(-6.12059322156209E-14,1.,0.)); #38434=DIRECTION('',(-1.,-9.41276343547166E-15,0.)); #38435=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38436=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38437=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38438=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38439=DIRECTION('',(0.401246561675126,0.322901556749025,0.857167300702112)); #38440=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38441=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38442=DIRECTION('',(0.779061939731736,0.62694696271808,-2.46519032881566E-32)); #38443=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38444=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38445=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38446=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38447=DIRECTION('',(0.401246561675126,0.322901556749025,0.857167300702112)); #38448=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38449=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38450=DIRECTION('',(0.779061939731736,0.62694696271808,-2.46519032881566E-32)); #38451=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38452=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38453=DIRECTION('',(0.779061939731736,0.62694696271808,-2.46519032881566E-32)); #38454=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38455=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38456=DIRECTION('center_axis',(9.41276343547166E-15,-1.,0.)); #38457=DIRECTION('ref_axis',(-1.,-9.37256022070115E-15,-1.70792694732048E-16)); #38458=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38459=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38460=DIRECTION('center_axis',(-0.779061939731736,-0.62694696271808,2.46519032881566E-32)); #38461=DIRECTION('ref_axis',(-1.7079269473205E-16,2.1223180900837E-16,1.)); #38462=DIRECTION('',(0.401246561675126,0.322901556749025,0.857167300702112)); #38463=DIRECTION('center_axis',(1.,6.12059322156209E-14,0.)); #38464=DIRECTION('ref_axis',(6.12059322156209E-14,-1.,0.)); #38465=DIRECTION('',(0.,0.,-1.)); #38466=DIRECTION('center_axis',(-9.41276343547166E-15,1.,0.)); #38467=DIRECTION('ref_axis',(1.,9.41276343547166E-15,0.)); #38468=DIRECTION('axis',(0.,0.,1.)); #38469=DIRECTION('refdir',(1.,0.,0.)); #38470=DIRECTION('center_axis',(-1.,0.,0.)); #38471=DIRECTION('ref_axis',(0.,0.,1.)); #38472=DIRECTION('',(0.,-1.,0.)); #38473=DIRECTION('',(0.,0.,1.)); #38474=DIRECTION('',(0.,1.,0.)); #38475=DIRECTION('',(0.,0.,-1.)); #38476=DIRECTION('center_axis',(0.,0.,1.)); #38477=DIRECTION('ref_axis',(1.,0.,0.)); #38478=DIRECTION('center_axis',(0.,0.,1.)); #38479=DIRECTION('ref_axis',(1.,0.,0.)); #38480=DIRECTION('center_axis',(0.,0.,1.)); #38481=DIRECTION('ref_axis',(1.,0.,0.)); #38482=DIRECTION('center_axis',(0.,0.,1.)); #38483=DIRECTION('ref_axis',(1.,0.,0.)); #38484=DIRECTION('center_axis',(0.,0.,-1.)); #38485=DIRECTION('ref_axis',(1.,0.,0.)); #38486=DIRECTION('',(0.,0.,-1.)); #38487=DIRECTION('center_axis',(0.,0.,1.)); #38488=DIRECTION('ref_axis',(1.,0.,0.)); #38489=DIRECTION('',(0.,0.,-1.)); #38490=DIRECTION('center_axis',(0.,0.,1.)); #38491=DIRECTION('ref_axis',(1.,0.,0.)); #38492=DIRECTION('center_axis',(0.,0.,1.)); #38493=DIRECTION('ref_axis',(1.,0.,0.)); #38494=DIRECTION('center_axis',(0.,0.,1.)); #38495=DIRECTION('ref_axis',(1.,0.,0.)); #38496=DIRECTION('center_axis',(0.,0.,1.)); #38497=DIRECTION('ref_axis',(1.,0.,0.)); #38498=DIRECTION('center_axis',(0.,0.,1.)); #38499=DIRECTION('ref_axis',(1.,0.,0.)); #38500=DIRECTION('center_axis',(0.,0.,-1.)); #38501=DIRECTION('ref_axis',(1.,0.,0.)); #38502=DIRECTION('',(0.,0.,-1.)); #38503=DIRECTION('center_axis',(0.,0.,1.)); #38504=DIRECTION('ref_axis',(1.,0.,0.)); #38505=DIRECTION('',(0.,0.,-1.)); #38506=DIRECTION('center_axis',(0.,0.,1.)); #38507=DIRECTION('ref_axis',(1.,0.,0.)); #38508=DIRECTION('center_axis',(0.,0.,1.)); #38509=DIRECTION('ref_axis',(1.,0.,0.)); #38510=DIRECTION('center_axis',(0.,0.,1.)); #38511=DIRECTION('ref_axis',(1.,0.,0.)); #38512=DIRECTION('center_axis',(0.,0.,1.)); #38513=DIRECTION('ref_axis',(1.,0.,0.)); #38514=DIRECTION('center_axis',(0.,0.,1.)); #38515=DIRECTION('ref_axis',(1.,0.,0.)); #38516=DIRECTION('center_axis',(0.,0.,-1.)); #38517=DIRECTION('ref_axis',(1.,0.,0.)); #38518=DIRECTION('',(0.,0.,-1.)); #38519=DIRECTION('center_axis',(0.,0.,1.)); #38520=DIRECTION('ref_axis',(1.,0.,0.)); #38521=DIRECTION('',(0.,0.,-1.)); #38522=DIRECTION('center_axis',(0.,0.,1.)); #38523=DIRECTION('ref_axis',(1.,0.,0.)); #38524=DIRECTION('center_axis',(0.,0.,1.)); #38525=DIRECTION('ref_axis',(1.,0.,0.)); #38526=DIRECTION('center_axis',(0.,0.,1.)); #38527=DIRECTION('ref_axis',(1.,0.,0.)); #38528=DIRECTION('center_axis',(0.,0.,1.)); #38529=DIRECTION('ref_axis',(1.,0.,0.)); #38530=DIRECTION('center_axis',(0.,0.,1.)); #38531=DIRECTION('ref_axis',(1.,0.,0.)); #38532=DIRECTION('center_axis',(0.,0.,-1.)); #38533=DIRECTION('ref_axis',(1.,0.,0.)); #38534=DIRECTION('',(0.,0.,-1.)); #38535=DIRECTION('center_axis',(0.,0.,1.)); #38536=DIRECTION('ref_axis',(1.,0.,0.)); #38537=DIRECTION('',(0.,0.,-1.)); #38538=DIRECTION('center_axis',(0.,0.,1.)); #38539=DIRECTION('ref_axis',(1.,0.,0.)); #38540=DIRECTION('center_axis',(0.,0.,1.)); #38541=DIRECTION('ref_axis',(1.,0.,0.)); #38542=DIRECTION('center_axis',(0.,0.,1.)); #38543=DIRECTION('ref_axis',(1.,0.,0.)); #38544=DIRECTION('center_axis',(0.,0.,1.)); #38545=DIRECTION('ref_axis',(1.,0.,0.)); #38546=DIRECTION('center_axis',(0.,0.,1.)); #38547=DIRECTION('ref_axis',(1.,0.,0.)); #38548=DIRECTION('center_axis',(0.,0.,-1.)); #38549=DIRECTION('ref_axis',(1.,0.,0.)); #38550=DIRECTION('',(0.,0.,-1.)); #38551=DIRECTION('center_axis',(0.,0.,1.)); #38552=DIRECTION('ref_axis',(1.,0.,0.)); #38553=DIRECTION('',(0.,0.,-1.)); #38554=DIRECTION('center_axis',(0.,0.,1.)); #38555=DIRECTION('ref_axis',(1.,0.,0.)); #38556=DIRECTION('center_axis',(0.,0.,1.)); #38557=DIRECTION('ref_axis',(1.,0.,0.)); #38558=DIRECTION('center_axis',(0.,0.,1.)); #38559=DIRECTION('ref_axis',(1.,0.,0.)); #38560=DIRECTION('center_axis',(0.,0.,1.)); #38561=DIRECTION('ref_axis',(1.,0.,0.)); #38562=DIRECTION('center_axis',(0.,0.,1.)); #38563=DIRECTION('ref_axis',(1.,0.,0.)); #38564=DIRECTION('center_axis',(0.,0.,-1.)); #38565=DIRECTION('ref_axis',(1.,0.,0.)); #38566=DIRECTION('',(0.,0.,-1.)); #38567=DIRECTION('center_axis',(0.,0.,1.)); #38568=DIRECTION('ref_axis',(1.,0.,0.)); #38569=DIRECTION('',(0.,0.,-1.)); #38570=DIRECTION('center_axis',(0.,0.,1.)); #38571=DIRECTION('ref_axis',(1.,0.,0.)); #38572=DIRECTION('center_axis',(0.,0.,1.)); #38573=DIRECTION('ref_axis',(1.,0.,0.)); #38574=DIRECTION('center_axis',(0.,0.,1.)); #38575=DIRECTION('ref_axis',(1.,0.,0.)); #38576=DIRECTION('center_axis',(0.,0.,1.)); #38577=DIRECTION('ref_axis',(1.,0.,0.)); #38578=DIRECTION('center_axis',(0.,0.,1.)); #38579=DIRECTION('ref_axis',(1.,0.,0.)); #38580=DIRECTION('center_axis',(0.,0.,-1.)); #38581=DIRECTION('ref_axis',(1.,0.,0.)); #38582=DIRECTION('',(0.,0.,-1.)); #38583=DIRECTION('center_axis',(0.,0.,1.)); #38584=DIRECTION('ref_axis',(1.,0.,0.)); #38585=DIRECTION('',(0.,0.,-1.)); #38586=DIRECTION('center_axis',(0.,0.,1.)); #38587=DIRECTION('ref_axis',(1.,0.,0.)); #38588=DIRECTION('center_axis',(0.,0.,1.)); #38589=DIRECTION('ref_axis',(1.,0.,0.)); #38590=DIRECTION('center_axis',(0.,0.,1.)); #38591=DIRECTION('ref_axis',(1.,0.,0.)); #38592=DIRECTION('center_axis',(0.,0.,1.)); #38593=DIRECTION('ref_axis',(1.,0.,0.)); #38594=DIRECTION('center_axis',(0.,0.,1.)); #38595=DIRECTION('ref_axis',(1.,0.,0.)); #38596=DIRECTION('center_axis',(0.,0.,-1.)); #38597=DIRECTION('ref_axis',(1.,0.,0.)); #38598=DIRECTION('',(0.,0.,-1.)); #38599=DIRECTION('center_axis',(0.,0.,1.)); #38600=DIRECTION('ref_axis',(1.,0.,0.)); #38601=DIRECTION('',(0.,0.,-1.)); #38602=DIRECTION('center_axis',(0.,0.,1.)); #38603=DIRECTION('ref_axis',(1.,0.,0.)); #38604=DIRECTION('center_axis',(0.,0.,1.)); #38605=DIRECTION('ref_axis',(1.,0.,0.)); #38606=DIRECTION('center_axis',(0.,0.,1.)); #38607=DIRECTION('ref_axis',(1.,0.,0.)); #38608=DIRECTION('center_axis',(0.,0.,1.)); #38609=DIRECTION('ref_axis',(1.,0.,0.)); #38610=DIRECTION('center_axis',(0.,0.,1.)); #38611=DIRECTION('ref_axis',(1.,0.,0.)); #38612=DIRECTION('center_axis',(0.,0.,-1.)); #38613=DIRECTION('ref_axis',(1.,0.,0.)); #38614=DIRECTION('',(0.,0.,-1.)); #38615=DIRECTION('center_axis',(0.,0.,1.)); #38616=DIRECTION('ref_axis',(1.,0.,0.)); #38617=DIRECTION('',(0.,0.,-1.)); #38618=DIRECTION('center_axis',(0.,0.,1.)); #38619=DIRECTION('ref_axis',(1.,0.,0.)); #38620=DIRECTION('center_axis',(0.,0.,1.)); #38621=DIRECTION('ref_axis',(1.,0.,0.)); #38622=DIRECTION('center_axis',(0.,0.,1.)); #38623=DIRECTION('ref_axis',(1.,0.,0.)); #38624=DIRECTION('center_axis',(0.,0.,1.)); #38625=DIRECTION('ref_axis',(1.,0.,0.)); #38626=DIRECTION('center_axis',(0.,0.,1.)); #38627=DIRECTION('ref_axis',(1.,0.,0.)); #38628=DIRECTION('center_axis',(0.,0.,-1.)); #38629=DIRECTION('ref_axis',(1.,0.,0.)); #38630=DIRECTION('',(0.,0.,-1.)); #38631=DIRECTION('center_axis',(0.,0.,1.)); #38632=DIRECTION('ref_axis',(1.,0.,0.)); #38633=DIRECTION('',(0.,0.,-1.)); #38634=DIRECTION('center_axis',(0.,0.,1.)); #38635=DIRECTION('ref_axis',(1.,0.,0.)); #38636=DIRECTION('center_axis',(1.,0.,0.)); #38637=DIRECTION('ref_axis',(0.,1.,0.)); #38638=DIRECTION('',(0.,1.,0.)); #38639=DIRECTION('',(0.,0.,1.)); #38640=DIRECTION('',(0.,1.,0.)); #38641=DIRECTION('',(0.,0.,1.)); #38642=DIRECTION('center_axis',(0.,-1.,0.)); #38643=DIRECTION('ref_axis',(1.,0.,0.)); #38644=DIRECTION('',(-1.,0.,0.)); #38645=DIRECTION('',(-1.,0.,0.)); #38646=DIRECTION('center_axis',(0.,1.,0.)); #38647=DIRECTION('ref_axis',(-1.,0.,0.)); #38648=DIRECTION('',(-1.,0.,0.)); #38649=DIRECTION('',(-1.,0.,0.)); #38650=DIRECTION('center_axis',(0.,0.,1.)); #38651=DIRECTION('ref_axis',(1.,0.,0.)); #38652=DIRECTION('center_axis',(0.,0.,1.)); #38653=DIRECTION('ref_axis',(1.,0.,0.)); #38654=DIRECTION('axis',(0.,0.,1.)); #38655=DIRECTION('refdir',(1.,0.,0.)); #38656=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #38657=DIRECTION('ref_axis',(0.,0.,-1.)); #38658=DIRECTION('center_axis',(1.,-1.74208378237134E-16,0.)); #38659=DIRECTION('ref_axis',(0.,0.,-1.)); #38660=DIRECTION('',(0.515038074910054,1.52487713534056E-17,-0.857167300702112)); #38661=DIRECTION('center_axis',(1.,-1.74208378237134E-16,0.)); #38662=DIRECTION('ref_axis',(0.,0.,-1.)); #38663=DIRECTION('center_axis',(1.,-1.74208378237134E-16,0.)); #38664=DIRECTION('ref_axis',(0.,0.,-1.)); #38665=DIRECTION('',(1.,-1.74208378237134E-16,0.)); #38666=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #38667=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38668=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38669=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38670=DIRECTION('',(0.444286672919234,0.357537913171252,-0.821447498572796)); #38671=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38672=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38673=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #38674=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38675=DIRECTION('',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38676=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #38677=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38678=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38679=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38680=DIRECTION('',(0.444286672919234,0.357537913171252,-0.821447498572796)); #38681=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38682=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38683=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #38684=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38685=DIRECTION('',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38686=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #38687=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38688=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38689=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38690=DIRECTION('',(0.444286672919234,0.357537913171252,-0.821447498572796)); #38691=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38692=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38693=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #38694=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38695=DIRECTION('',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38696=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #38697=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38698=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38699=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38700=DIRECTION('',(0.444286672919234,0.357537913171252,-0.821447498572796)); #38701=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38702=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38703=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #38704=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38705=DIRECTION('',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38706=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #38707=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38708=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38709=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38710=DIRECTION('',(0.444286672919234,0.357537913171252,-0.821447498572796)); #38711=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38712=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38713=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #38714=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38715=DIRECTION('',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38716=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,-0.0657521836874392)); #38717=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38718=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38719=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38720=DIRECTION('',(0.444286672919234,0.357537913171252,-0.821447498572796)); #38721=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38722=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38723=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #38724=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,-0.997835983686865)); #38725=DIRECTION('',(0.777376036985217,0.625590239263284,0.0657521836874392)); #38726=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #38727=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38728=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38729=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38730=DIRECTION('',(0.857167300702116,0.512216642446368,0.0538361384946774)); #38731=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38732=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38733=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #38734=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #38735=DIRECTION('',(7.00396984665456E-15,0.994521895368274,0.104528463267651)); #38736=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #38737=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38738=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38739=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38740=DIRECTION('',(0.857167300702116,0.512216642446368,0.0538361384946774)); #38741=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38742=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38743=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #38744=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #38745=DIRECTION('',(7.00396984665456E-15,0.994521895368274,0.104528463267651)); #38746=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #38747=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38748=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38749=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38750=DIRECTION('',(0.857167300702116,0.512216642446368,0.0538361384946774)); #38751=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38752=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38753=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #38754=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #38755=DIRECTION('',(7.00396984665456E-15,0.994521895368274,0.104528463267651)); #38756=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #38757=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38758=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38759=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38760=DIRECTION('',(0.857167300702116,0.512216642446368,0.0538361384946774)); #38761=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38762=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38763=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #38764=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #38765=DIRECTION('',(7.00396984665456E-15,0.994521895368274,0.104528463267651)); #38766=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #38767=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38768=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38769=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38770=DIRECTION('',(0.857167300702116,0.512216642446368,0.0538361384946774)); #38771=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38772=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38773=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #38774=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #38775=DIRECTION('',(7.00396984665456E-15,0.994521895368274,0.104528463267651)); #38776=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #38777=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38778=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38779=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38780=DIRECTION('',(0.857167300702116,0.512216642446368,0.0538361384946774)); #38781=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38782=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38783=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #38784=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #38785=DIRECTION('',(7.00396984665456E-15,0.994521895368274,0.104528463267651)); #38786=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #38787=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38788=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38789=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38790=DIRECTION('',(0.857167300702116,0.512216642446368,0.0538361384946774)); #38791=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38792=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38793=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #38794=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #38795=DIRECTION('',(7.00396984665456E-15,0.994521895368274,0.104528463267651)); #38796=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #38797=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38798=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38799=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38800=DIRECTION('',(0.857167300702116,0.512216642446368,0.0538361384946774)); #38801=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38802=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38803=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #38804=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #38805=DIRECTION('',(7.00396984665456E-15,0.994521895368274,0.104528463267651)); #38806=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, -0.104528463267651)); #38807=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38808=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38809=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38810=DIRECTION('',(0.857167300702116,0.512216642446368,0.0538361384946774)); #38811=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, 0.104528463267651)); #38812=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,2.35136241673152E-17)); #38813=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #38814=DIRECTION('ref_axis',(7.59790038279295E-16,0.104528463259138,-0.994521895369168)); #38815=DIRECTION('',(7.00396984665456E-15,0.994521895368274,0.104528463267651)); #38816=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #38817=DIRECTION('ref_axis',(0.,0.,1.)); #38818=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #38819=DIRECTION('ref_axis',(0.,0.,1.)); #38820=DIRECTION('',(-0.515038074910054,2.72136813885043E-15,0.857167300702112)); #38821=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #38822=DIRECTION('ref_axis',(0.,0.,1.)); #38823=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #38824=DIRECTION('ref_axis',(0.,0.,1.)); #38825=DIRECTION('',(-1.,5.08000388164214E-15,0.)); #38826=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #38827=DIRECTION('ref_axis',(0.,0.,1.)); #38828=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #38829=DIRECTION('ref_axis',(0.,0.,1.)); #38830=DIRECTION('',(-0.515038074910054,2.72136813885043E-15,0.857167300702112)); #38831=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #38832=DIRECTION('ref_axis',(0.,0.,1.)); #38833=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #38834=DIRECTION('ref_axis',(0.,0.,1.)); #38835=DIRECTION('',(-1.,5.08000388164214E-15,0.)); #38836=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #38837=DIRECTION('ref_axis',(0.,0.,-1.)); #38838=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #38839=DIRECTION('ref_axis',(0.,0.,-1.)); #38840=DIRECTION('',(-0.515038074910054,2.72136813885043E-15,-0.857167300702112)); #38841=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #38842=DIRECTION('ref_axis',(0.,0.,-1.)); #38843=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #38844=DIRECTION('ref_axis',(0.,0.,-1.)); #38845=DIRECTION('',(-1.,5.08000388164214E-15,0.)); #38846=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #38847=DIRECTION('ref_axis',(0.,0.,-1.)); #38848=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #38849=DIRECTION('ref_axis',(0.,0.,-1.)); #38850=DIRECTION('',(-0.515038074910054,2.72136813885043E-15,-0.857167300702112)); #38851=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #38852=DIRECTION('ref_axis',(0.,0.,-1.)); #38853=DIRECTION('center_axis',(-1.,5.08000388164213E-15,0.)); #38854=DIRECTION('ref_axis',(0.,0.,-1.)); #38855=DIRECTION('',(-1.,5.08000388164214E-15,0.)); #38856=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #38857=DIRECTION('ref_axis',(0.,0.,1.)); #38858=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #38859=DIRECTION('ref_axis',(0.,0.,1.)); #38860=DIRECTION('',(0.515038074910054,1.52487713534056E-17,0.857167300702112)); #38861=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #38862=DIRECTION('ref_axis',(0.,0.,1.)); #38863=DIRECTION('center_axis',(1.,-1.74208378237134E-16,0.)); #38864=DIRECTION('ref_axis',(0.,0.,1.)); #38865=DIRECTION('',(1.,-1.74208378237134E-16,0.)); #38866=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38867=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38868=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38869=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38870=DIRECTION('',(0.857167300702116,0.512216642446368,-0.0538361384946774)); #38871=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38872=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38873=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #38874=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38875=DIRECTION('',(7.00396984665456E-15,0.994521895368274,-0.104528463267651)); #38876=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38877=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38878=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38879=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38880=DIRECTION('',(0.857167300702116,0.512216642446368,-0.0538361384946774)); #38881=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38882=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38883=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #38884=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38885=DIRECTION('',(7.00396984665456E-15,0.994521895368274,-0.104528463267651)); #38886=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38887=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38888=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38889=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38890=DIRECTION('',(0.857167300702116,0.512216642446368,-0.0538361384946774)); #38891=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38892=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38893=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #38894=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38895=DIRECTION('',(7.00396984665456E-15,0.994521895368274,-0.104528463267651)); #38896=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38897=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38898=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38899=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38900=DIRECTION('',(0.857167300702116,0.512216642446368,-0.0538361384946774)); #38901=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38902=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38903=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #38904=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38905=DIRECTION('',(7.00396984665456E-15,0.994521895368274,-0.104528463267651)); #38906=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38907=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38908=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38909=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38910=DIRECTION('',(0.857167300702116,0.512216642446368,-0.0538361384946774)); #38911=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38912=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38913=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #38914=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38915=DIRECTION('',(7.00396984665456E-15,0.994521895368274,-0.104528463267651)); #38916=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38917=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38918=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38919=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38920=DIRECTION('',(0.857167300702116,0.512216642446368,-0.0538361384946774)); #38921=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38922=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38923=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #38924=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38925=DIRECTION('',(7.00396984665456E-15,0.994521895368274,-0.104528463267651)); #38926=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38927=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38928=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38929=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38930=DIRECTION('',(0.857167300702116,0.512216642446368,-0.0538361384946774)); #38931=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38932=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38933=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #38934=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38935=DIRECTION('',(7.00396984665456E-15,0.994521895368274,-0.104528463267651)); #38936=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38937=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38938=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38939=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38940=DIRECTION('',(0.857167300702116,0.512216642446368,-0.0538361384946774)); #38941=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38942=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38943=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #38944=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38945=DIRECTION('',(7.00396984665456E-15,0.994521895368274,-0.104528463267651)); #38946=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38947=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38948=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38949=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38950=DIRECTION('',(0.857167300702116,0.512216642446368,-0.0538361384946774)); #38951=DIRECTION('center_axis',(-7.00396984665456E-15,-0.994521895368274, 0.104528463267651)); #38952=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38953=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #38954=DIRECTION('ref_axis',(1.,-7.0450210521108E-15,-2.35136241673152E-17)); #38955=DIRECTION('',(7.00396984665456E-15,0.994521895368274,-0.104528463267651)); #38956=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38957=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38958=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38959=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38960=DIRECTION('',(0.444286672919234,0.357537913171252,0.821447498572796)); #38961=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38962=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38963=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #38964=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38965=DIRECTION('',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #38966=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38967=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38968=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38969=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38970=DIRECTION('',(0.444286672919234,0.357537913171252,0.821447498572796)); #38971=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38972=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38973=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #38974=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38975=DIRECTION('',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #38976=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38977=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38978=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38979=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38980=DIRECTION('',(0.444286672919234,0.357537913171252,0.821447498572796)); #38981=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38982=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38983=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #38984=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38985=DIRECTION('',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #38986=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38987=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38988=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38989=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38990=DIRECTION('',(0.444286672919234,0.357537913171252,0.821447498572796)); #38991=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38992=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38993=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #38994=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38995=DIRECTION('',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #38996=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38997=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #38998=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #38999=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #39000=DIRECTION('',(0.444286672919234,0.357537913171252,0.821447498572796)); #39001=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #39002=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #39003=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #39004=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #39005=DIRECTION('',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #39006=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #39007=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #39008=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #39009=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #39010=DIRECTION('',(0.444286672919234,0.357537913171252,0.821447498572796)); #39011=DIRECTION('center_axis',(-0.777376036985217,-0.625590239263284,0.0657521836874392)); #39012=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #39013=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #39014=DIRECTION('ref_axis',(0.051225023765134,0.0412231318549211,0.997835983686865)); #39015=DIRECTION('',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #39016=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,0.065752183687438)); #39017=DIRECTION('ref_axis',(0.0842812674742927,0.,-0.996442004309798)); #39018=DIRECTION('',(-0.62694696271807,0.779061939731744,1.21571715630004E-13)); #39019=DIRECTION('',(-1.82097340654775E-17,-0.104528463267652,0.994521895368274)); #39020=DIRECTION('',(-0.626946962718076,0.779061939731739,0.)); #39021=DIRECTION('',(6.92707548854693E-12,0.104528463259138,-0.994521895369168)); #39022=DIRECTION('center_axis',(-1.,1.74208378237134E-16,0.)); #39023=DIRECTION('ref_axis',(-1.74208378237134E-16,-1.,0.)); #39024=DIRECTION('',(1.82097340654778E-17,0.104528463267654,0.994521895368273)); #39025=DIRECTION('',(-1.74208378237134E-16,-1.,0.)); #39026=DIRECTION('',(0.,0.,-1.)); #39027=DIRECTION('',(1.74208378237134E-16,1.,0.)); #39028=DIRECTION('center_axis',(0.777376036985217,0.625590239263284,-0.0657521836874392)); #39029=DIRECTION('ref_axis',(-0.0842812674742942,0.,-0.996442004309798)); #39030=DIRECTION('',(-1.9815821087584E-15,-0.104528463267651,-0.994521895368274)); #39031=DIRECTION('',(0.626946962718076,-0.779061939731739,0.)); #39032=DIRECTION('center_axis',(0.,0.,1.)); #39033=DIRECTION('ref_axis',(1.,0.,0.)); #39034=DIRECTION('',(1.,-7.04254967062437E-15,0.)); #39035=DIRECTION('',(0.,1.,0.)); #39036=DIRECTION('',(-1.,0.,0.)); #39037=DIRECTION('center_axis',(0.,0.,1.)); #39038=DIRECTION('ref_axis',(-0.17389571492613,-0.984764073436034,0.)); #39039=DIRECTION('',(-0.93952056066066,0.342492505167456,0.)); #39040=DIRECTION('',(-1.,0.,0.)); #39041=DIRECTION('center_axis',(7.00396984665456E-15,0.994521895368274, -0.104528463267651)); #39042=DIRECTION('ref_axis',(0.,0.104528463267651,0.994521895368274)); #39043=DIRECTION('',(1.,-1.05055820898109E-14,1.60495887890547E-9)); #39044=DIRECTION('',(-5.31004999141756E-16,-0.104528463267651,-0.994521895368274)); #39045=DIRECTION('center_axis',(1.,-5.08000388164213E-15,0.)); #39046=DIRECTION('ref_axis',(5.08000388164213E-15,1.,0.)); #39047=DIRECTION('',(5.31004999098509E-16,0.104528463259138,-0.994521895369168)); #39048=DIRECTION('',(-5.08000388164213E-15,-1.,0.)); #39049=DIRECTION('',(0.,0.,-1.)); #39050=DIRECTION('center_axis',(-1.67753437174686E-10,0.994521895369168, 0.104528463259138)); #39051=DIRECTION('ref_axis',(0.,-0.104528463259138,0.994521895369168)); #39052=DIRECTION('',(-1.,-1.68677470004233E-10,0.)); #39053=DIRECTION('center_axis',(0.,0.,-1.)); #39054=DIRECTION('ref_axis',(-0.17389571492613,-0.984764073436034,0.)); #39055=DIRECTION('',(0.,0.,-1.)); #39056=DIRECTION('center_axis',(0.,0.,-1.)); #39057=DIRECTION('ref_axis',(-0.17389571492613,-0.984764073436034,0.)); #39058=DIRECTION('',(0.,0.,1.)); #39059=DIRECTION('center_axis',(0.,1.,0.)); #39060=DIRECTION('ref_axis',(1.,0.,0.)); #39061=DIRECTION('',(1.,0.,0.)); #39062=DIRECTION('center_axis',(0.342492505167456,0.93952056066066,0.)); #39063=DIRECTION('ref_axis',(0.93952056066066,-0.342492505167456,0.)); #39064=DIRECTION('',(0.93952056066066,-0.342492505167456,0.)); #39065=DIRECTION('',(0.,0.,1.)); #39066=DIRECTION('center_axis',(0.,-1.,0.)); #39067=DIRECTION('ref_axis',(-1.,0.,0.)); #39068=DIRECTION('',(1.,0.,0.)); #39069=DIRECTION('center_axis',(0.,0.,1.)); #39070=DIRECTION('ref_axis',(1.,0.,0.)); #39071=DIRECTION('axis',(0.,0.,1.)); #39072=DIRECTION('refdir',(1.,0.,0.)); #39073=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39074=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39075=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39076=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39077=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982912)); #39078=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39079=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39080=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39081=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39082=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39083=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39084=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39085=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39086=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39087=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982912)); #39088=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39089=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39090=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39091=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39092=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39093=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39094=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39095=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39096=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39097=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982912)); #39098=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39099=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39100=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39101=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39102=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39103=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39104=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39105=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39106=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39107=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982912)); #39108=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39109=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39110=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39111=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39112=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39113=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39114=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39115=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39116=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39117=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982912)); #39118=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39119=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39120=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39121=DIRECTION('ref_axis',(1.,4.80643973048446E-16,1.20859655526001E-16)); #39122=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39123=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39124=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39125=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39126=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39127=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39128=DIRECTION('center_axis',(0.,0.,-1.)); #39129=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39130=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39131=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39132=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39133=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39134=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39135=DIRECTION('center_axis',(0.,0.,-1.)); #39136=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39137=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39138=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39139=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39140=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39141=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39142=DIRECTION('center_axis',(0.,0.,-1.)); #39143=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39144=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39145=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39146=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39147=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39148=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39149=DIRECTION('center_axis',(0.,0.,-1.)); #39150=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39151=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39152=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39153=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39154=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39155=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39156=DIRECTION('center_axis',(0.,0.,-1.)); #39157=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39158=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39159=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39160=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39161=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39162=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39163=DIRECTION('center_axis',(0.,0.,-1.)); #39164=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39165=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39166=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39167=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39168=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39169=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39170=DIRECTION('center_axis',(0.,0.,-1.)); #39171=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39172=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39173=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39174=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39175=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39176=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39177=DIRECTION('center_axis',(0.,0.,-1.)); #39178=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39179=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39180=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39181=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39182=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39183=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39184=DIRECTION('center_axis',(0.,0.,-1.)); #39185=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39186=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39187=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39188=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39189=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39190=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39191=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39192=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39193=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39194=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39195=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39196=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39197=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39198=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39199=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39200=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39201=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39202=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39203=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39204=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39205=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39206=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39207=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39208=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39209=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39210=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39211=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39212=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39213=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39214=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39215=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39216=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39217=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39218=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39219=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39220=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39221=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39222=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39223=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39224=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39225=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39226=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39227=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39228=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39229=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39230=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39231=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39232=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39233=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39234=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39235=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39236=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39237=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39238=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39239=DIRECTION('',(-1.27275094979142E-16,-1.58390782533348E-18,1.)); #39240=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39241=DIRECTION('ref_axis',(-1.,-4.29022580914272E-16,-1.27275094979142E-16)); #39242=DIRECTION('center_axis',(1.27275094979142E-16,1.58390782533348E-18, -1.)); #39243=DIRECTION('ref_axis',(-0.999922572985767,-0.01244379498078,-1.27284950272798E-16)); #39244=DIRECTION('',(-0.0124437949807791,-0.999922572985767,-3.16757037615937E-18)); #39245=DIRECTION('',(1.,4.81408967888126E-16,1.27275094979142E-16)); #39246=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #39247=DIRECTION('center_axis',(-1.27275094979142E-16,-1.58390782533348E-18, 1.)); #39248=DIRECTION('ref_axis',(-0.999683447826378,-0.0251595735648594,-9.53971824816521E-17)); #39249=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39250=DIRECTION('ref_axis',(0.,0.118403968306501,0.992965508106537)); #39251=DIRECTION('',(0.104528463267654,0.117755338979307,0.987525939157434)); #39252=DIRECTION('',(1.,4.66232331651432E-16,0.)); #39253=DIRECTION('',(0.0014735071962447,-0.118403839765695,-0.99296443013095)); #39254=DIRECTION('',(-1.,-4.77231964798117E-16,-9.22456947406553E-17)); #39255=DIRECTION('',(0.00147350719624409,-0.118403839765695,-0.99296443013095)); #39256=DIRECTION('center_axis',(-0.994521895368273,0.0123765848518701,0.103793158640162)); #39257=DIRECTION('ref_axis',(0.103801109063927,0.,0.994598074478881)); #39258=DIRECTION('center_axis',(0.994521895368273,-0.0123765848518701,-0.103793158640162)); #39259=DIRECTION('ref_axis',(0.104528463267654,0.117755338979307,0.987525939157434)); #39260=DIRECTION('',(0.0124437949807791,0.999922572985767,0.)); #39261=DIRECTION('center_axis',(0.978147600711689,0.0246175692624574,0.206449137817465)); #39262=DIRECTION('ref_axis',(-0.12357415136567,-0.729706223502211,0.672501491817227)); #39263=DIRECTION('center_axis',(0.999922572985767,0.01244379498078,0.)); #39264=DIRECTION('ref_axis',(-0.000748695338980704,0.0601614998392657,0.998188375704896)); #39265=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39266=DIRECTION('ref_axis',(-0.999683447826378,-0.025159573564861,-7.58983488081581E-17)); #39267=DIRECTION('center_axis',(0.999922572985767,0.01244379498078,6.11844975905171E-16)); #39268=DIRECTION('ref_axis',(-0.000748695338981356,0.0601614998392658,0.998188375704896)); #39269=DIRECTION('center_axis',(0.,0.,1.)); #39270=DIRECTION('ref_axis',(-0.999683447826378,-0.025159573564861,0.)); #39271=DIRECTION('center_axis',(9.22456947406553E-17,1.14797539751978E-18, -1.)); #39272=DIRECTION('ref_axis',(-0.999922572985767,-0.01244379498078,-9.22528376024254E-17)); #39273=DIRECTION('',(-0.01244379498078,0.999922572985767,1.92592994438724E-34)); #39274=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #39275=DIRECTION('center_axis',(-0.999922572985767,-0.01244379498078,0.)); #39276=DIRECTION('ref_axis',(0.,0.,1.)); #39277=DIRECTION('center_axis',(0.,0.,1.)); #39278=DIRECTION('ref_axis',(1.,0.,0.)); #39279=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #39280=DIRECTION('center_axis',(0.999922572985767,0.01244379498078,0.)); #39281=DIRECTION('ref_axis',(0.01244379498078,-0.999922572985767,0.)); #39282=DIRECTION('axis',(0.,0.,1.)); #39283=DIRECTION('refdir',(1.,0.,0.)); #39284=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39285=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39286=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39287=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39288=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982913)); #39289=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39290=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39291=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39292=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39293=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39294=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39295=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39296=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39297=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39298=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982913)); #39299=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39300=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39301=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39302=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39303=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39304=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39305=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39306=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39307=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39308=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982913)); #39309=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39310=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39311=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39312=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39313=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39314=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39315=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39316=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39317=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39318=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982913)); #39319=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39320=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39321=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39322=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39323=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39324=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39325=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39326=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39327=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39328=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982913)); #39329=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39330=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39331=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39332=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39333=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39334=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39335=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39336=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39337=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39338=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982913)); #39339=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39340=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39341=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39342=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39343=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39344=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39345=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39346=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39347=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39348=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982913)); #39349=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39350=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39351=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39352=DIRECTION('ref_axis',(1.,4.71390734802421E-16,4.32596683971012E-17)); #39353=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39354=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39355=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39356=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39357=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39358=DIRECTION('',(-0.206529744678313,0.00257021280411307,-0.978436946649733)); #39359=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39360=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39361=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39362=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39363=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39364=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39365=DIRECTION('',(-0.206529744678313,0.00257021280411307,-0.978436946649733)); #39366=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39367=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39368=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39369=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39370=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39371=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39372=DIRECTION('',(-0.206529744678313,0.00257021280411307,-0.978436946649733)); #39373=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39374=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39375=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39376=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39377=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39378=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39379=DIRECTION('',(-0.206529744678313,0.00257021280411307,-0.978436946649733)); #39380=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39381=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39382=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39383=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39384=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39385=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39386=DIRECTION('',(-0.206529744678313,0.00257021280411307,-0.978436946649733)); #39387=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39388=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39389=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39390=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39391=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39392=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39393=DIRECTION('',(-0.206529744678313,0.00257021280411307,-0.978436946649733)); #39394=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39395=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39396=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39397=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39398=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39399=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39400=DIRECTION('',(-0.206529744678313,0.00257021280411307,-0.978436946649733)); #39401=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39402=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39403=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39404=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39405=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39406=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39407=DIRECTION('',(-0.206529744678313,0.00257021280411307,-0.978436946649733)); #39408=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39409=DIRECTION('ref_axis',(-0.978361189198339,0.0121754687657297,0.206545736898024)); #39410=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39411=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39412=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39413=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39414=DIRECTION('',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39415=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39416=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39417=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39418=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39419=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39420=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39421=DIRECTION('',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39422=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39423=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39424=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39425=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39426=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39427=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39428=DIRECTION('',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39429=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39430=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39431=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39432=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39433=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39434=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39435=DIRECTION('',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39436=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39437=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39438=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39439=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39440=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39441=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39442=DIRECTION('',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39443=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39444=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39445=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39446=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39447=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39448=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39449=DIRECTION('',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39450=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39451=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39452=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39453=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39454=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39455=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39456=DIRECTION('',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39457=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39458=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39459=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39460=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39461=DIRECTION('center_axis',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39462=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39463=DIRECTION('',(0.206529744678313,0.00257021280411307,-0.978436946649733)); #39464=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39465=DIRECTION('ref_axis',(0.978361189198339,0.0121754687657297,0.206545736898024)); #39466=DIRECTION('center_axis',(-0.978361189198345,-0.0121754687657287, -0.206545736897995)); #39467=DIRECTION('ref_axis',(-0.206529744678285,-0.00257021280411404,0.978436946649739)); #39468=DIRECTION('',(0.206550209662409,-0.115850705423913,-0.971553202321518)); #39469=DIRECTION('',(-0.0124437949807793,0.999922572985767,1.44675937896466E-15)); #39470=DIRECTION('center_axis',(0.978361189198345,0.0121754687657287,0.206545736897995)); #39471=DIRECTION('ref_axis',(-0.206904285770984,0.0575725440210115,0.976665766116816)); #39472=DIRECTION('',(0.012443794980779,-0.999922572985767,-1.3032389290829E-16)); #39473=DIRECTION('center_axis',(0.,1.30333984279546E-16,-1.)); #39474=DIRECTION('ref_axis',(0.,1.,1.30333984279546E-16)); #39475=DIRECTION('',(-1.,-5.9780824230074E-16,-7.79147300542077E-32)); #39476=DIRECTION('',(1.,0.,0.)); #39477=DIRECTION('',(0.012443794980779,0.999922572985767,1.3032389290829E-16)); #39478=DIRECTION('center_axis',(-0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39479=DIRECTION('ref_axis',(0.978361189198346,0.0121754687657287,0.206545736897987)); #39480=DIRECTION('center_axis',(0.206529744678277,0.00257021280411394,-0.978436946649741)); #39481=DIRECTION('ref_axis',(0.97844032243317,-0.000542521993454239,0.206529032115974)); #39482=DIRECTION('',(-0.978130347703367,-0.0246271780367948,-0.206529719419834)); #39483=DIRECTION('',(0.0124437949807792,-0.999922572985767,-1.44671399854926E-15)); #39484=DIRECTION('center_axis',(1.,0.,0.)); #39485=DIRECTION('ref_axis',(0.,-0.728168373025736,0.685398293348512)); #39486=DIRECTION('center_axis',(-0.978361189198339,-0.0121754687657296, -0.206545736898023)); #39487=DIRECTION('ref_axis',(-0.206904285771012,0.0575725440210062,0.976665766116811)); #39488=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39489=DIRECTION('ref_axis',(0.978440322433162,-0.0005425219934542,0.20652903211601)); #39490=DIRECTION('',(-1.,0.,0.)); #39491=DIRECTION('center_axis',(0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39492=DIRECTION('ref_axis',(-0.978440322433162,-0.0005425219934542,0.20652903211601)); #39493=DIRECTION('center_axis',(-0.978361189198346,0.0121754687657287,0.206545736897987)); #39494=DIRECTION('ref_axis',(0.206904285770976,0.0575725440210118,0.976665766116818)); #39495=DIRECTION('center_axis',(0.206529744678277,-0.00257021280411394, 0.978436946649741)); #39496=DIRECTION('ref_axis',(-0.97844032243317,-0.000542521993454239,0.206529032115974)); #39497=DIRECTION('center_axis',(-0.978361189198345,0.0121754687657287,0.206545736897995)); #39498=DIRECTION('ref_axis',(0.206904285770984,0.0575725440210115,0.976665766116816)); #39499=DIRECTION('center_axis',(-0.206529744678277,0.00257021280411394, -0.978436946649741)); #39500=DIRECTION('ref_axis',(-0.978361189198346,0.0121754687657287,0.206545736897987)); #39501=DIRECTION('',(-0.0124437949807792,-0.999922572985767,-1.44671399854926E-15)); #39502=DIRECTION('',(0.978130347703367,-0.0246271780367948,-0.206529719419834)); #39503=DIRECTION('',(-0.0124437949807793,-0.999922572985767,-1.44675937896466E-15)); #39504=DIRECTION('center_axis',(-0.978361189198345,0.0121754687657287,0.206545736897995)); #39505=DIRECTION('ref_axis',(0.206529744678285,-0.00257021280411404,0.978436946649739)); #39506=DIRECTION('',(0.206550209662409,0.115850705423913,0.971553202321518)); #39507=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39508=DIRECTION('ref_axis',(0.,0.118403968306501,0.992965508106537)); #39509=DIRECTION('',(0.206550209662401,0.115850705423914,0.97155320232152)); #39510=DIRECTION('',(0.978130347703359,-0.0246271780367992,-0.20652971941987)); #39511=DIRECTION('',(1.,4.66232331651432E-16,0.)); #39512=DIRECTION('',(0.978130347703359,0.0246271780367998,0.20652971941987)); #39513=DIRECTION('',(-0.206550209662437,0.115850705423912,0.971553202321512)); #39514=DIRECTION('center_axis',(-0.978361189198339,0.0121754687657296,0.206545736898023)); #39515=DIRECTION('ref_axis',(0.0124437949807799,0.999922572985767,2.47656779361744E-17)); #39516=DIRECTION('',(0.0124437949807799,0.999922572985767,2.47656779361744E-17)); #39517=DIRECTION('center_axis',(-0.206529744678313,0.00257021280411307, -0.978436946649733)); #39518=DIRECTION('ref_axis',(-0.978440178439975,0.,0.206530426848805)); #39519=DIRECTION('',(0.0124437949807791,0.999922572985767,0.)); #39520=DIRECTION('center_axis',(0.,0.,1.)); #39521=DIRECTION('ref_axis',(1.,0.,0.)); #39522=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #39523=DIRECTION('center_axis',(-0.206529744678313,-0.00257021280411307, 0.978436946649733)); #39524=DIRECTION('ref_axis',(0.978440178439975,0.,0.206530426848805)); #39525=DIRECTION('',(-0.0124437949807799,0.999922572985767,2.47656779361744E-17)); #39526=DIRECTION('center_axis',(-0.978361189198339,-0.0121754687657296, -0.206545736898023)); #39527=DIRECTION('ref_axis',(-0.0124437949807799,0.999922572985767,2.47656779361744E-17)); #39528=DIRECTION('axis',(0.,0.,1.)); #39529=DIRECTION('refdir',(1.,0.,0.)); #39530=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39531=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39532=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39533=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39534=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982915)); #39535=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39536=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39537=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39538=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39539=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39540=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39541=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39542=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39543=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39544=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982915)); #39545=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39546=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39547=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39548=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39549=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39550=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39551=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39552=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39553=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39554=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982915)); #39555=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39556=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39557=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39558=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39559=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39560=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39561=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39562=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39563=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39564=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982915)); #39565=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39566=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39567=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39568=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39569=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39570=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39571=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39572=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39573=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39574=DIRECTION('',(0.857167300702112,0.511415043747275,-0.0609825518982915)); #39575=DIRECTION('center_axis',(4.6295262409396E-16,-0.992965508106537, 0.118403968306501)); #39576=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39577=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39578=DIRECTION('ref_axis',(1.,4.45620066155695E-16,-1.72859651360837E-16)); #39579=DIRECTION('',(-4.6295262409396E-16,0.992965508106537,-0.118403968306501)); #39580=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39581=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39582=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39583=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39584=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39585=DIRECTION('center_axis',(0.,0.,-1.)); #39586=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39587=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39588=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39589=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39590=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39591=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39592=DIRECTION('center_axis',(0.,0.,-1.)); #39593=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39594=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39595=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39596=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39597=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39598=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39599=DIRECTION('center_axis',(0.,0.,-1.)); #39600=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39601=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39602=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39603=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39604=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39605=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39606=DIRECTION('center_axis',(0.,0.,-1.)); #39607=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39608=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39609=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39610=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39611=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39612=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39613=DIRECTION('center_axis',(0.,0.,-1.)); #39614=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39615=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39616=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39617=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39618=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39619=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39620=DIRECTION('center_axis',(0.,0.,-1.)); #39621=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39622=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39623=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39624=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39625=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39626=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39627=DIRECTION('center_axis',(0.,0.,-1.)); #39628=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39629=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39630=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39631=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39632=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39633=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39634=DIRECTION('center_axis',(0.,0.,-1.)); #39635=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39636=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39637=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39638=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39639=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39640=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39641=DIRECTION('center_axis',(0.,0.,-1.)); #39642=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39643=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39644=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39645=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39646=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39647=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39648=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39649=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39650=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39651=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39652=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39653=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39654=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39655=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39656=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39657=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39658=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39659=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39660=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39661=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39662=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39663=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39664=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39665=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39666=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39667=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39668=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39669=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39670=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39671=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39672=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39673=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39674=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39675=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39676=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39677=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39678=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39679=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39680=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39681=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39682=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39683=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39684=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39685=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39686=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39687=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39688=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39689=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39690=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39691=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39692=DIRECTION('center_axis',(-2.1077133151969E-33,1.74838271594513E-16, -1.)); #39693=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39694=DIRECTION('center_axis',(0.,-1.74838271594513E-16,1.)); #39695=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39696=DIRECTION('',(2.1077133151969E-33,-1.74838271594513E-16,1.)); #39697=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39698=DIRECTION('ref_axis',(-1.,-8.58045161828543E-16,-1.4791141972894E-31)); #39699=DIRECTION('center_axis',(0.999922572985767,-0.0124437949807788,-2.81997212249214E-16)); #39700=DIRECTION('ref_axis',(-2.81975378047048E-16,3.50911549438039E-18, -1.)); #39701=DIRECTION('center_axis',(-0.999922572985767,0.0124437949807788,2.81997212249214E-16)); #39702=DIRECTION('ref_axis',(0.00074869533821783,0.060161499777945,0.998188375708593)); #39703=DIRECTION('',(0.0124437949807788,0.999922572985767,0.)); #39704=DIRECTION('',(0.00147350719624423,0.118403839765695,0.99296443013095)); #39705=DIRECTION('',(0.0124437949807788,0.999922572985767,0.)); #39706=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39707=DIRECTION('ref_axis',(-0.999922572985767,0.0124437949807788,2.08669924583118E-16)); #39708=DIRECTION('center_axis',(-2.08653767893897E-16,2.5966457601669E-18, -1.)); #39709=DIRECTION('ref_axis',(0.99968344782704,-0.0251595735385574,-1.8974587183045E-16)); #39710=DIRECTION('',(0.0124437949807788,0.999922572985767,0.)); #39711=DIRECTION('',(-1.,-1.5085617046666E-15,-8.74123671945837E-15)); #39712=DIRECTION('center_axis',(0.994521895368273,0.012376584851871,0.103793158640161)); #39713=DIRECTION('ref_axis',(0.103801109063927,0.,-0.994598074478881)); #39714=DIRECTION('center_axis',(-0.994521895368273,-0.012376584851871,-0.103793158640161)); #39715=DIRECTION('ref_axis',(-0.104528463267654,0.117755338979309,0.987525939157433)); #39716=DIRECTION('',(0.01244379498078,-0.999922572985767,-1.7482473438917E-16)); #39717=DIRECTION('',(0.104528463267654,-0.117755338979306,-0.987525939157434)); #39718=DIRECTION('',(0.01244379498078,-0.999922572985767,0.)); #39719=DIRECTION('center_axis',(0.,1.74838271594513E-16,-1.)); #39720=DIRECTION('ref_axis',(0.,1.,1.74838271594513E-16)); #39721=DIRECTION('center_axis',(3.49649468778323E-14,-4.35130321303148E-16, 1.)); #39722=DIRECTION('ref_axis',(0.99968344782704,-0.0251595735385589,-3.49805473935413E-14)); #39723=DIRECTION('',(1.,-3.70308516040909E-15,-3.49649468778323E-14)); #39724=DIRECTION('center_axis',(-4.6295262409396E-16,0.992965508106537, -0.118403968306501)); #39725=DIRECTION('ref_axis',(0.,0.118403968306501,0.992965508106537)); #39726=DIRECTION('',(0.00147350719624395,0.118403839765695,0.99296443013095)); #39727=DIRECTION('',(1.,4.66232331651432E-16,0.)); #39728=DIRECTION('center_axis',(-0.978147600755922,0.0246175692378174,0.20644913761083)); #39729=DIRECTION('ref_axis',(0.123574151241985,-0.729706223499099,0.672501491843331)); #39730=DIRECTION('center_axis',(0.,0.,1.)); #39731=DIRECTION('ref_axis',(0.99968344782704,-0.0251595735385574,0.)); #39732=DIRECTION('center_axis',(0.999922572985767,-0.0124437949807788,0.)); #39733=DIRECTION('ref_axis',(0.000748695338217406,0.0601614997779368,0.998188375708593)); #39734=DIRECTION('center_axis',(-0.999922572985767,0.0124437949807788,0.)); #39735=DIRECTION('ref_axis',(0.0124437949807788,0.999922572985767,0.)); #39736=DIRECTION('',(0.0124437949807788,0.999922572985767,0.)); #39737=DIRECTION('center_axis',(0.,0.,1.)); #39738=DIRECTION('ref_axis',(1.,0.,0.)); #39739=DIRECTION('axis',(0.,0.,1.)); #39740=DIRECTION('refdir',(1.,0.,0.)); #39741=DIRECTION('center_axis',(0.852380913976273,6.49979324993694E-14, -0.522921387484748)); #39742=DIRECTION('ref_axis',(-0.522921387484748,-1.83487147755429E-14,-0.852380913976273)); #39743=DIRECTION('',(-0.515532987712452,-0.167506821765572,-0.840337553154844)); #39744=DIRECTION('',(6.49979324993694E-14,-1.,-1.8348714775543E-14)); #39745=DIRECTION('',(0.51553298771247,-0.167506821765578,0.840337553154832)); #39746=DIRECTION('',(6.49979324993694E-14,-1.,-1.8348714775543E-14)); #39747=DIRECTION('center_axis',(-3.50888588890966E-14,1.,0.)); #39748=DIRECTION('ref_axis',(0.,0.,1.)); #39749=DIRECTION('center_axis',(0.309016994374946,-0.951056516295154,-6.67350147320809E-17)); #39750=DIRECTION('ref_axis',(0.951056516295154,0.309016994374946,0.)); #39751=DIRECTION('',(-3.50888588890966E-14,1.,0.)); #39752=DIRECTION('center_axis',(0.309016994374946,0.951056516295154,-6.6735014732081E-17)); #39753=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374946,0.)); #39754=DIRECTION('center_axis',(-3.50888588890966E-14,1.,0.)); #39755=DIRECTION('ref_axis',(0.,0.,1.)); #39756=DIRECTION('center_axis',(-0.309016994374946,0.951056516295154,6.67350147320809E-17)); #39757=DIRECTION('ref_axis',(0.951056516295154,0.309016994374946,0.)); #39758=DIRECTION('center_axis',(-0.309016994374946,-0.951056516295154,6.6735014732081E-17)); #39759=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374946,0.)); #39760=DIRECTION('',(-3.50888588890966E-14,1.,0.)); #39761=DIRECTION('center_axis',(0.,0.,1.)); #39762=DIRECTION('ref_axis',(-1.,0.,0.)); #39763=DIRECTION('center_axis',(0.,0.,-1.)); #39764=DIRECTION('ref_axis',(-1.,0.,0.)); #39765=DIRECTION('',(0.,0.,-1.)); #39766=DIRECTION('center_axis',(0.,0.,-1.)); #39767=DIRECTION('ref_axis',(-1.,0.,0.)); #39768=DIRECTION('center_axis',(0.,0.,1.)); #39769=DIRECTION('ref_axis',(-1.,0.,0.)); #39770=DIRECTION('center_axis',(0.,0.,-1.)); #39771=DIRECTION('ref_axis',(-1.,0.,0.)); #39772=DIRECTION('',(0.,0.,-1.)); #39773=DIRECTION('center_axis',(0.,0.,-1.)); #39774=DIRECTION('ref_axis',(-1.,0.,0.)); #39775=DIRECTION('center_axis',(0.309016994374717,0.951056516295229,0.)); #39776=DIRECTION('ref_axis',(-0.951056516295228,0.309016994374717,0.)); #39777=DIRECTION('',(-0.951056516295228,0.309016994374717,0.)); #39778=DIRECTION('',(0.,0.,1.)); #39779=DIRECTION('',(-0.951056516295228,0.309016994374717,0.)); #39780=DIRECTION('center_axis',(0.309016994374784,-0.951056516295207,0.)); #39781=DIRECTION('ref_axis',(0.951056516295207,0.309016994374784,0.)); #39782=DIRECTION('',(0.951056516295207,0.309016994374784,0.)); #39783=DIRECTION('',(0.951056516295207,0.309016994374784,0.)); #39784=DIRECTION('',(0.,0.,1.)); #39785=DIRECTION('center_axis',(0.,0.,1.)); #39786=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #39787=DIRECTION('center_axis',(0.,0.,1.)); #39788=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #39789=DIRECTION('center_axis',(0.,0.,1.)); #39790=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #39791=DIRECTION('center_axis',(0.,0.,1.)); #39792=DIRECTION('ref_axis',(1.,0.,0.)); #39793=DIRECTION('center_axis',(0.,0.,1.)); #39794=DIRECTION('ref_axis',(1.,0.,0.)); #39795=DIRECTION('axis',(0.,0.,1.)); #39796=DIRECTION('refdir',(1.,0.,0.)); #39797=DIRECTION('center_axis',(0.,0.,-1.)); #39798=DIRECTION('ref_axis',(-1.,0.,0.)); #39799=DIRECTION('center_axis',(0.,0.,-1.)); #39800=DIRECTION('ref_axis',(-1.,0.,0.)); #39801=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39802=DIRECTION('center_axis',(0.,0.,-1.)); #39803=DIRECTION('ref_axis',(-1.,0.,0.)); #39804=DIRECTION('center_axis',(0.,0.,1.)); #39805=DIRECTION('ref_axis',(-1.,0.,0.)); #39806=DIRECTION('',(0.,0.,1.)); #39807=DIRECTION('center_axis',(0.,0.,-1.)); #39808=DIRECTION('ref_axis',(-1.,0.,0.)); #39809=DIRECTION('center_axis',(0.,0.,-1.)); #39810=DIRECTION('ref_axis',(-1.,0.,0.)); #39811=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39812=DIRECTION('center_axis',(0.,0.,-1.)); #39813=DIRECTION('ref_axis',(-1.,0.,0.)); #39814=DIRECTION('center_axis',(0.,0.,1.)); #39815=DIRECTION('ref_axis',(-1.,0.,0.)); #39816=DIRECTION('',(0.,0.,1.)); #39817=DIRECTION('center_axis',(0.,0.,-1.)); #39818=DIRECTION('ref_axis',(-1.,0.,0.)); #39819=DIRECTION('center_axis',(0.,0.,-1.)); #39820=DIRECTION('ref_axis',(-1.,0.,0.)); #39821=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39822=DIRECTION('center_axis',(0.,0.,-1.)); #39823=DIRECTION('ref_axis',(-1.,0.,0.)); #39824=DIRECTION('center_axis',(0.,0.,1.)); #39825=DIRECTION('ref_axis',(-1.,0.,0.)); #39826=DIRECTION('',(0.,0.,1.)); #39827=DIRECTION('center_axis',(0.,0.,-1.)); #39828=DIRECTION('ref_axis',(-1.,0.,0.)); #39829=DIRECTION('center_axis',(0.,0.,-1.)); #39830=DIRECTION('ref_axis',(-1.,0.,0.)); #39831=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39832=DIRECTION('center_axis',(0.,0.,-1.)); #39833=DIRECTION('ref_axis',(-1.,0.,0.)); #39834=DIRECTION('center_axis',(0.,0.,1.)); #39835=DIRECTION('ref_axis',(-1.,0.,0.)); #39836=DIRECTION('',(0.,0.,1.)); #39837=DIRECTION('center_axis',(0.,0.,-1.)); #39838=DIRECTION('ref_axis',(-1.,0.,0.)); #39839=DIRECTION('center_axis',(0.,0.,-1.)); #39840=DIRECTION('ref_axis',(-1.,0.,0.)); #39841=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39842=DIRECTION('center_axis',(0.,0.,-1.)); #39843=DIRECTION('ref_axis',(-1.,0.,0.)); #39844=DIRECTION('center_axis',(0.,0.,1.)); #39845=DIRECTION('ref_axis',(-1.,0.,0.)); #39846=DIRECTION('',(0.,0.,1.)); #39847=DIRECTION('center_axis',(0.,0.,-1.)); #39848=DIRECTION('ref_axis',(-1.,0.,0.)); #39849=DIRECTION('center_axis',(0.,0.,-1.)); #39850=DIRECTION('ref_axis',(-1.,0.,0.)); #39851=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39852=DIRECTION('center_axis',(0.,0.,-1.)); #39853=DIRECTION('ref_axis',(-1.,0.,0.)); #39854=DIRECTION('center_axis',(0.,0.,1.)); #39855=DIRECTION('ref_axis',(-1.,0.,0.)); #39856=DIRECTION('',(0.,0.,1.)); #39857=DIRECTION('center_axis',(0.,0.,-1.)); #39858=DIRECTION('ref_axis',(-1.,0.,0.)); #39859=DIRECTION('center_axis',(0.,0.,-1.)); #39860=DIRECTION('ref_axis',(-1.,0.,0.)); #39861=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39862=DIRECTION('center_axis',(0.,0.,-1.)); #39863=DIRECTION('ref_axis',(-1.,0.,0.)); #39864=DIRECTION('center_axis',(0.,0.,1.)); #39865=DIRECTION('ref_axis',(-1.,0.,0.)); #39866=DIRECTION('',(0.,0.,1.)); #39867=DIRECTION('center_axis',(0.,0.,-1.)); #39868=DIRECTION('ref_axis',(-1.,0.,0.)); #39869=DIRECTION('center_axis',(0.,0.,-1.)); #39870=DIRECTION('ref_axis',(-1.,0.,0.)); #39871=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39872=DIRECTION('center_axis',(0.,0.,-1.)); #39873=DIRECTION('ref_axis',(-1.,0.,0.)); #39874=DIRECTION('center_axis',(0.,0.,1.)); #39875=DIRECTION('ref_axis',(-1.,0.,0.)); #39876=DIRECTION('',(0.,0.,1.)); #39877=DIRECTION('center_axis',(0.,0.,-1.)); #39878=DIRECTION('ref_axis',(-1.,0.,0.)); #39879=DIRECTION('center_axis',(0.,0.,-1.)); #39880=DIRECTION('ref_axis',(-1.,0.,0.)); #39881=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39882=DIRECTION('center_axis',(0.,0.,-1.)); #39883=DIRECTION('ref_axis',(-1.,0.,0.)); #39884=DIRECTION('center_axis',(0.,0.,1.)); #39885=DIRECTION('ref_axis',(-1.,0.,0.)); #39886=DIRECTION('',(0.,0.,1.)); #39887=DIRECTION('center_axis',(0.,0.,-1.)); #39888=DIRECTION('ref_axis',(-1.,0.,0.)); #39889=DIRECTION('center_axis',(0.,0.,-1.)); #39890=DIRECTION('ref_axis',(-1.,0.,0.)); #39891=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39892=DIRECTION('center_axis',(0.,0.,-1.)); #39893=DIRECTION('ref_axis',(-1.,0.,0.)); #39894=DIRECTION('center_axis',(0.,0.,1.)); #39895=DIRECTION('ref_axis',(-1.,0.,0.)); #39896=DIRECTION('',(0.,0.,1.)); #39897=DIRECTION('center_axis',(0.,0.,-1.)); #39898=DIRECTION('ref_axis',(-1.,0.,0.)); #39899=DIRECTION('center_axis',(0.,0.,-1.)); #39900=DIRECTION('ref_axis',(-1.,0.,0.)); #39901=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39902=DIRECTION('center_axis',(0.,0.,-1.)); #39903=DIRECTION('ref_axis',(-1.,0.,0.)); #39904=DIRECTION('center_axis',(0.,0.,1.)); #39905=DIRECTION('ref_axis',(-1.,0.,0.)); #39906=DIRECTION('',(0.,0.,1.)); #39907=DIRECTION('center_axis',(0.,0.,-1.)); #39908=DIRECTION('ref_axis',(-1.,0.,0.)); #39909=DIRECTION('center_axis',(0.,0.,-1.)); #39910=DIRECTION('ref_axis',(-1.,0.,0.)); #39911=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39912=DIRECTION('center_axis',(0.,0.,-1.)); #39913=DIRECTION('ref_axis',(-1.,0.,0.)); #39914=DIRECTION('center_axis',(0.,0.,1.)); #39915=DIRECTION('ref_axis',(-1.,0.,0.)); #39916=DIRECTION('',(0.,0.,1.)); #39917=DIRECTION('center_axis',(0.,0.,-1.)); #39918=DIRECTION('ref_axis',(-1.,0.,0.)); #39919=DIRECTION('center_axis',(0.,0.,-1.)); #39920=DIRECTION('ref_axis',(-1.,0.,0.)); #39921=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39922=DIRECTION('center_axis',(0.,0.,-1.)); #39923=DIRECTION('ref_axis',(-1.,0.,0.)); #39924=DIRECTION('center_axis',(0.,0.,1.)); #39925=DIRECTION('ref_axis',(-1.,0.,0.)); #39926=DIRECTION('',(0.,0.,1.)); #39927=DIRECTION('center_axis',(0.,0.,-1.)); #39928=DIRECTION('ref_axis',(-1.,0.,0.)); #39929=DIRECTION('center_axis',(0.,0.,-1.)); #39930=DIRECTION('ref_axis',(-1.,0.,0.)); #39931=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39932=DIRECTION('center_axis',(0.,0.,-1.)); #39933=DIRECTION('ref_axis',(-1.,0.,0.)); #39934=DIRECTION('center_axis',(0.,0.,1.)); #39935=DIRECTION('ref_axis',(-1.,0.,0.)); #39936=DIRECTION('',(0.,0.,1.)); #39937=DIRECTION('center_axis',(0.,0.,-1.)); #39938=DIRECTION('ref_axis',(-1.,0.,0.)); #39939=DIRECTION('center_axis',(0.,0.,-1.)); #39940=DIRECTION('ref_axis',(-1.,0.,0.)); #39941=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39942=DIRECTION('center_axis',(0.,0.,-1.)); #39943=DIRECTION('ref_axis',(-1.,0.,0.)); #39944=DIRECTION('center_axis',(0.,0.,1.)); #39945=DIRECTION('ref_axis',(-1.,0.,0.)); #39946=DIRECTION('',(0.,0.,1.)); #39947=DIRECTION('center_axis',(0.,0.,-1.)); #39948=DIRECTION('ref_axis',(-1.,0.,0.)); #39949=DIRECTION('center_axis',(0.,0.,-1.)); #39950=DIRECTION('ref_axis',(-1.,0.,0.)); #39951=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39952=DIRECTION('center_axis',(0.,0.,-1.)); #39953=DIRECTION('ref_axis',(-1.,0.,0.)); #39954=DIRECTION('center_axis',(0.,0.,1.)); #39955=DIRECTION('ref_axis',(-1.,0.,0.)); #39956=DIRECTION('',(0.,0.,1.)); #39957=DIRECTION('center_axis',(0.,0.,-1.)); #39958=DIRECTION('ref_axis',(-1.,0.,0.)); #39959=DIRECTION('center_axis',(0.,0.,-1.)); #39960=DIRECTION('ref_axis',(-1.,0.,0.)); #39961=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39962=DIRECTION('center_axis',(0.,0.,-1.)); #39963=DIRECTION('ref_axis',(-1.,0.,0.)); #39964=DIRECTION('center_axis',(0.,0.,1.)); #39965=DIRECTION('ref_axis',(-1.,0.,0.)); #39966=DIRECTION('',(0.,0.,1.)); #39967=DIRECTION('center_axis',(0.,0.,-1.)); #39968=DIRECTION('ref_axis',(-1.,0.,0.)); #39969=DIRECTION('center_axis',(0.,0.,-1.)); #39970=DIRECTION('ref_axis',(-1.,0.,0.)); #39971=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39972=DIRECTION('center_axis',(0.,0.,-1.)); #39973=DIRECTION('ref_axis',(-1.,0.,0.)); #39974=DIRECTION('center_axis',(0.,0.,1.)); #39975=DIRECTION('ref_axis',(-1.,0.,0.)); #39976=DIRECTION('',(0.,0.,1.)); #39977=DIRECTION('center_axis',(0.,0.,-1.)); #39978=DIRECTION('ref_axis',(-1.,0.,0.)); #39979=DIRECTION('center_axis',(0.,0.,-1.)); #39980=DIRECTION('ref_axis',(-1.,0.,0.)); #39981=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39982=DIRECTION('center_axis',(0.,0.,-1.)); #39983=DIRECTION('ref_axis',(-1.,0.,0.)); #39984=DIRECTION('center_axis',(0.,0.,1.)); #39985=DIRECTION('ref_axis',(-1.,0.,0.)); #39986=DIRECTION('',(0.,0.,1.)); #39987=DIRECTION('center_axis',(0.,0.,-1.)); #39988=DIRECTION('ref_axis',(-1.,0.,0.)); #39989=DIRECTION('center_axis',(0.,0.,-1.)); #39990=DIRECTION('ref_axis',(-1.,0.,0.)); #39991=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #39992=DIRECTION('center_axis',(0.,0.,-1.)); #39993=DIRECTION('ref_axis',(-1.,0.,0.)); #39994=DIRECTION('center_axis',(0.,0.,1.)); #39995=DIRECTION('ref_axis',(-1.,0.,0.)); #39996=DIRECTION('',(0.,0.,1.)); #39997=DIRECTION('center_axis',(0.,0.,-1.)); #39998=DIRECTION('ref_axis',(-1.,0.,0.)); #39999=DIRECTION('center_axis',(0.,0.,-1.)); #40000=DIRECTION('ref_axis',(-1.,0.,0.)); #40001=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #40002=DIRECTION('center_axis',(0.,0.,-1.)); #40003=DIRECTION('ref_axis',(-1.,0.,0.)); #40004=DIRECTION('center_axis',(0.,0.,1.)); #40005=DIRECTION('ref_axis',(-1.,0.,0.)); #40006=DIRECTION('',(0.,0.,1.)); #40007=DIRECTION('center_axis',(0.,0.,-1.)); #40008=DIRECTION('ref_axis',(-1.,0.,0.)); #40009=DIRECTION('center_axis',(0.,0.,-1.)); #40010=DIRECTION('ref_axis',(-1.,0.,0.)); #40011=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #40012=DIRECTION('center_axis',(0.,0.,-1.)); #40013=DIRECTION('ref_axis',(-1.,0.,0.)); #40014=DIRECTION('center_axis',(0.,0.,1.)); #40015=DIRECTION('ref_axis',(-1.,0.,0.)); #40016=DIRECTION('',(0.,0.,1.)); #40017=DIRECTION('center_axis',(0.,0.,-1.)); #40018=DIRECTION('ref_axis',(-1.,0.,0.)); #40019=DIRECTION('center_axis',(0.,0.,-1.)); #40020=DIRECTION('ref_axis',(-1.,0.,0.)); #40021=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #40022=DIRECTION('center_axis',(0.,0.,-1.)); #40023=DIRECTION('ref_axis',(-1.,0.,0.)); #40024=DIRECTION('center_axis',(0.,0.,1.)); #40025=DIRECTION('ref_axis',(-1.,0.,0.)); #40026=DIRECTION('',(0.,0.,1.)); #40027=DIRECTION('center_axis',(0.,0.,-1.)); #40028=DIRECTION('ref_axis',(-1.,0.,0.)); #40029=DIRECTION('center_axis',(0.,0.,-1.)); #40030=DIRECTION('ref_axis',(-1.,0.,0.)); #40031=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #40032=DIRECTION('center_axis',(0.,0.,-1.)); #40033=DIRECTION('ref_axis',(-1.,0.,0.)); #40034=DIRECTION('center_axis',(0.,0.,1.)); #40035=DIRECTION('ref_axis',(-1.,0.,0.)); #40036=DIRECTION('',(0.,0.,1.)); #40037=DIRECTION('center_axis',(0.,0.,-1.)); #40038=DIRECTION('ref_axis',(-1.,0.,0.)); #40039=DIRECTION('center_axis',(0.,0.,-1.)); #40040=DIRECTION('ref_axis',(-1.,0.,0.)); #40041=DIRECTION('',(-0.857167300702112,1.04972719113862E-16,0.515038074910054)); #40042=DIRECTION('center_axis',(0.,0.,-1.)); #40043=DIRECTION('ref_axis',(-1.,0.,0.)); #40044=DIRECTION('center_axis',(0.,0.,1.)); #40045=DIRECTION('ref_axis',(-1.,0.,0.)); #40046=DIRECTION('',(0.,0.,1.)); #40047=DIRECTION('center_axis',(0.,0.,1.)); #40048=DIRECTION('ref_axis',(-0.90220764385949,-0.431301944537128,0.)); #40049=DIRECTION('center_axis',(0.,0.,-1.)); #40050=DIRECTION('ref_axis',(-0.90220764385949,-0.431301944537128,0.)); #40051=DIRECTION('',(0.,0.,-1.)); #40052=DIRECTION('center_axis',(0.,0.,-1.)); #40053=DIRECTION('ref_axis',(-0.90220764385949,-0.431301944537128,0.)); #40054=DIRECTION('',(0.,0.,1.)); #40055=DIRECTION('center_axis',(0.,0.,-1.)); #40056=DIRECTION('ref_axis',(-1.,0.,0.)); #40057=DIRECTION('',(-1.07518527810322E-16,1.,0.)); #40058=DIRECTION('center_axis',(0.,0.,1.)); #40059=DIRECTION('ref_axis',(-0.902207643859493,0.431301944537122,0.)); #40060=DIRECTION('',(-0.207911690817766,0.978147600733804,0.)); #40061=DIRECTION('',(0.951056516295153,0.309016994374949,0.)); #40062=DIRECTION('',(0.207911690817766,-0.978147600733804,0.)); #40063=DIRECTION('',(1.02915029621332E-14,-1.,0.)); #40064=DIRECTION('',(-0.20791169081775,-0.978147600733808,0.)); #40065=DIRECTION('',(-0.951056516295155,0.309016994374944,0.)); #40066=DIRECTION('',(0.207911690817737,0.978147600733811,0.)); #40067=DIRECTION('center_axis',(0.,0.,-1.)); #40068=DIRECTION('ref_axis',(-1.,0.,0.)); #40069=DIRECTION('center_axis',(0.,0.,-1.)); #40070=DIRECTION('ref_axis',(-1.,0.,0.)); #40071=DIRECTION('center_axis',(0.,0.,-1.)); #40072=DIRECTION('ref_axis',(-1.,0.,0.)); #40073=DIRECTION('center_axis',(0.,0.,-1.)); #40074=DIRECTION('ref_axis',(-1.,0.,0.)); #40075=DIRECTION('center_axis',(0.,0.,-1.)); #40076=DIRECTION('ref_axis',(-1.,0.,0.)); #40077=DIRECTION('center_axis',(0.,0.,-1.)); #40078=DIRECTION('ref_axis',(-1.,0.,0.)); #40079=DIRECTION('center_axis',(0.,0.,-1.)); #40080=DIRECTION('ref_axis',(-1.,0.,0.)); #40081=DIRECTION('center_axis',(0.,0.,-1.)); #40082=DIRECTION('ref_axis',(-1.,0.,0.)); #40083=DIRECTION('center_axis',(0.,0.,-1.)); #40084=DIRECTION('ref_axis',(-1.,0.,0.)); #40085=DIRECTION('center_axis',(0.,0.,-1.)); #40086=DIRECTION('ref_axis',(-1.,0.,0.)); #40087=DIRECTION('center_axis',(0.,0.,-1.)); #40088=DIRECTION('ref_axis',(-1.,0.,0.)); #40089=DIRECTION('center_axis',(0.,0.,-1.)); #40090=DIRECTION('ref_axis',(-1.,0.,0.)); #40091=DIRECTION('center_axis',(0.,0.,-1.)); #40092=DIRECTION('ref_axis',(-1.,0.,0.)); #40093=DIRECTION('center_axis',(0.,0.,-1.)); #40094=DIRECTION('ref_axis',(-1.,0.,0.)); #40095=DIRECTION('center_axis',(0.,0.,-1.)); #40096=DIRECTION('ref_axis',(-1.,0.,0.)); #40097=DIRECTION('center_axis',(0.,0.,-1.)); #40098=DIRECTION('ref_axis',(-1.,0.,0.)); #40099=DIRECTION('center_axis',(0.,0.,-1.)); #40100=DIRECTION('ref_axis',(-1.,0.,0.)); #40101=DIRECTION('center_axis',(0.,0.,-1.)); #40102=DIRECTION('ref_axis',(-1.,0.,0.)); #40103=DIRECTION('center_axis',(0.,0.,-1.)); #40104=DIRECTION('ref_axis',(-1.,0.,0.)); #40105=DIRECTION('center_axis',(0.,0.,-1.)); #40106=DIRECTION('ref_axis',(-1.,0.,0.)); #40107=DIRECTION('center_axis',(0.,0.,-1.)); #40108=DIRECTION('ref_axis',(-1.,0.,0.)); #40109=DIRECTION('center_axis',(1.,1.07518527810322E-16,0.)); #40110=DIRECTION('ref_axis',(1.07518527810322E-16,-1.,0.)); #40111=DIRECTION('',(1.07518527810322E-16,-1.,0.)); #40112=DIRECTION('',(0.,0.,-1.)); #40113=DIRECTION('center_axis',(0.,0.,-1.)); #40114=DIRECTION('ref_axis',(-0.902207643859493,0.431301944537122,0.)); #40115=DIRECTION('center_axis',(0.,0.,-1.)); #40116=DIRECTION('ref_axis',(-0.902207643859493,0.431301944537122,0.)); #40117=DIRECTION('',(0.,0.,1.)); #40118=DIRECTION('center_axis',(0.978147600733804,0.207911690817766,0.)); #40119=DIRECTION('ref_axis',(0.207911690817766,-0.978147600733804,0.)); #40120=DIRECTION('',(0.207911690817766,-0.978147600733804,0.)); #40121=DIRECTION('',(0.,0.,1.)); #40122=DIRECTION('center_axis',(0.,0.,1.)); #40123=DIRECTION('ref_axis',(1.,0.,0.)); #40124=DIRECTION('',(-0.207911690817737,-0.97814760073381,0.)); #40125=DIRECTION('',(0.951056516295156,-0.309016994374941,0.)); #40126=DIRECTION('center_axis',(0.,0.,1.)); #40127=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374947,0.)); #40128=DIRECTION('',(-0.951056516295154,-0.309016994374948,0.)); #40129=DIRECTION('center_axis',(0.,0.,-1.)); #40130=DIRECTION('ref_axis',(-1.,0.,0.)); #40131=DIRECTION('center_axis',(0.,0.,-1.)); #40132=DIRECTION('ref_axis',(-1.,0.,0.)); #40133=DIRECTION('center_axis',(0.,0.,-1.)); #40134=DIRECTION('ref_axis',(-1.,0.,0.)); #40135=DIRECTION('center_axis',(0.,0.,-1.)); #40136=DIRECTION('ref_axis',(-1.,0.,0.)); #40137=DIRECTION('center_axis',(0.,0.,-1.)); #40138=DIRECTION('ref_axis',(-1.,0.,0.)); #40139=DIRECTION('center_axis',(0.,0.,-1.)); #40140=DIRECTION('ref_axis',(-1.,0.,0.)); #40141=DIRECTION('center_axis',(0.,0.,-1.)); #40142=DIRECTION('ref_axis',(-1.,0.,0.)); #40143=DIRECTION('center_axis',(0.,0.,-1.)); #40144=DIRECTION('ref_axis',(-1.,0.,0.)); #40145=DIRECTION('center_axis',(0.,0.,-1.)); #40146=DIRECTION('ref_axis',(-1.,0.,0.)); #40147=DIRECTION('center_axis',(0.,0.,-1.)); #40148=DIRECTION('ref_axis',(-1.,0.,0.)); #40149=DIRECTION('center_axis',(0.,0.,-1.)); #40150=DIRECTION('ref_axis',(-1.,0.,0.)); #40151=DIRECTION('center_axis',(0.,0.,-1.)); #40152=DIRECTION('ref_axis',(-1.,0.,0.)); #40153=DIRECTION('center_axis',(-0.978147600733804,-0.207911690817766,0.)); #40154=DIRECTION('ref_axis',(-0.207911690817766,0.978147600733804,0.)); #40155=DIRECTION('',(0.,0.,-1.)); #40156=DIRECTION('',(-0.207911690817766,0.978147600733804,0.)); #40157=DIRECTION('',(0.,0.,-1.)); #40158=DIRECTION('center_axis',(-1.,-1.02915029621332E-14,0.)); #40159=DIRECTION('ref_axis',(-1.02915029621332E-14,1.,0.)); #40160=DIRECTION('',(-1.02915029621332E-14,1.,0.)); #40161=DIRECTION('',(0.,0.,-1.)); #40162=DIRECTION('center_axis',(-0.978147600733808,0.20791169081775,0.)); #40163=DIRECTION('ref_axis',(0.20791169081775,0.978147600733808,0.)); #40164=DIRECTION('',(0.20791169081775,0.978147600733808,0.)); #40165=DIRECTION('',(0.,0.,1.)); #40166=DIRECTION('center_axis',(0.97814760073381,-0.207911690817737,0.)); #40167=DIRECTION('ref_axis',(-0.207911690817737,-0.978147600733811,0.)); #40168=DIRECTION('',(0.,0.,-1.)); #40169=DIRECTION('center_axis',(0.,0.,1.)); #40170=DIRECTION('ref_axis',(1.,0.,0.)); #40171=DIRECTION('',(-0.951056516295154,-0.309016994374948,0.)); #40172=DIRECTION('',(0.309016994374946,-0.951056516295154,0.)); #40173=DIRECTION('',(-0.951056516295332,-0.309016994374397,0.)); #40174=DIRECTION('center_axis',(0.,0.,-1.)); #40175=DIRECTION('ref_axis',(-0.954830210382084,0.297151929729062,0.)); #40176=DIRECTION('',(0.951056516295167,-0.309016994374907,0.)); #40177=DIRECTION('',(-0.309016994375101,-0.951056516295104,0.)); #40178=DIRECTION('',(0.951056516295156,-0.309016994374941,0.)); #40179=DIRECTION('center_axis',(0.,0.,-1.)); #40180=DIRECTION('ref_axis',(-1.,0.,0.)); #40181=DIRECTION('center_axis',(0.,0.,-1.)); #40182=DIRECTION('ref_axis',(-1.,0.,0.)); #40183=DIRECTION('center_axis',(0.,0.,-1.)); #40184=DIRECTION('ref_axis',(-1.,0.,0.)); #40185=DIRECTION('center_axis',(0.,0.,-1.)); #40186=DIRECTION('ref_axis',(-1.,0.,0.)); #40187=DIRECTION('center_axis',(0.,0.,-1.)); #40188=DIRECTION('ref_axis',(-1.,0.,0.)); #40189=DIRECTION('center_axis',(0.,0.,-1.)); #40190=DIRECTION('ref_axis',(-1.,0.,0.)); #40191=DIRECTION('center_axis',(0.,0.,-1.)); #40192=DIRECTION('ref_axis',(-1.,0.,0.)); #40193=DIRECTION('center_axis',(0.,0.,-1.)); #40194=DIRECTION('ref_axis',(-1.,0.,0.)); #40195=DIRECTION('center_axis',(-0.309016994374949,0.951056516295153,0.)); #40196=DIRECTION('ref_axis',(0.951056516295153,0.309016994374949,0.)); #40197=DIRECTION('',(0.,0.,-1.)); #40198=DIRECTION('',(0.951056516295155,0.309016994374944,0.)); #40199=DIRECTION('',(0.,0.,1.)); #40200=DIRECTION('center_axis',(0.,0.,-1.)); #40201=DIRECTION('ref_axis',(-1.,0.,0.)); #40202=DIRECTION('center_axis',(0.,0.,1.)); #40203=DIRECTION('ref_axis',(-1.,0.,0.)); #40204=DIRECTION('',(0.,0.,1.)); #40205=DIRECTION('center_axis',(0.,0.,-1.)); #40206=DIRECTION('ref_axis',(-1.,0.,0.)); #40207=DIRECTION('center_axis',(0.,0.,1.)); #40208=DIRECTION('ref_axis',(-1.,0.,0.)); #40209=DIRECTION('',(0.,0.,1.)); #40210=DIRECTION('center_axis',(0.,0.,-1.)); #40211=DIRECTION('ref_axis',(-1.,0.,0.)); #40212=DIRECTION('center_axis',(0.,0.,1.)); #40213=DIRECTION('ref_axis',(-1.,0.,0.)); #40214=DIRECTION('',(0.,0.,1.)); #40215=DIRECTION('center_axis',(0.,0.,-1.)); #40216=DIRECTION('ref_axis',(-1.,0.,0.)); #40217=DIRECTION('center_axis',(0.,0.,1.)); #40218=DIRECTION('ref_axis',(-1.,0.,0.)); #40219=DIRECTION('',(0.,0.,1.)); #40220=DIRECTION('center_axis',(0.,0.,-1.)); #40221=DIRECTION('ref_axis',(-1.,0.,0.)); #40222=DIRECTION('center_axis',(0.,0.,1.)); #40223=DIRECTION('ref_axis',(-1.,0.,0.)); #40224=DIRECTION('',(0.,0.,1.)); #40225=DIRECTION('center_axis',(0.,0.,-1.)); #40226=DIRECTION('ref_axis',(-1.,0.,0.)); #40227=DIRECTION('center_axis',(0.,0.,1.)); #40228=DIRECTION('ref_axis',(-1.,0.,0.)); #40229=DIRECTION('',(0.,0.,1.)); #40230=DIRECTION('center_axis',(0.,0.,-1.)); #40231=DIRECTION('ref_axis',(-1.,0.,0.)); #40232=DIRECTION('center_axis',(0.,0.,1.)); #40233=DIRECTION('ref_axis',(-1.,0.,0.)); #40234=DIRECTION('',(0.,0.,1.)); #40235=DIRECTION('center_axis',(0.,0.,-1.)); #40236=DIRECTION('ref_axis',(-1.,0.,0.)); #40237=DIRECTION('center_axis',(0.,0.,1.)); #40238=DIRECTION('ref_axis',(-1.,0.,0.)); #40239=DIRECTION('',(0.,0.,1.)); #40240=DIRECTION('center_axis',(0.,0.,-1.)); #40241=DIRECTION('ref_axis',(-1.,0.,0.)); #40242=DIRECTION('center_axis',(0.,0.,1.)); #40243=DIRECTION('ref_axis',(-1.,0.,0.)); #40244=DIRECTION('',(0.,0.,1.)); #40245=DIRECTION('center_axis',(0.,0.,-1.)); #40246=DIRECTION('ref_axis',(-1.,0.,0.)); #40247=DIRECTION('center_axis',(0.,0.,1.)); #40248=DIRECTION('ref_axis',(-1.,0.,0.)); #40249=DIRECTION('',(0.,0.,1.)); #40250=DIRECTION('center_axis',(0.,0.,-1.)); #40251=DIRECTION('ref_axis',(-1.,0.,0.)); #40252=DIRECTION('center_axis',(0.,0.,1.)); #40253=DIRECTION('ref_axis',(-1.,0.,0.)); #40254=DIRECTION('',(0.,0.,1.)); #40255=DIRECTION('center_axis',(0.,0.,-1.)); #40256=DIRECTION('ref_axis',(-1.,0.,0.)); #40257=DIRECTION('center_axis',(0.,0.,1.)); #40258=DIRECTION('ref_axis',(-1.,0.,0.)); #40259=DIRECTION('',(0.,0.,1.)); #40260=DIRECTION('center_axis',(0.,0.,1.)); #40261=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374947,0.)); #40262=DIRECTION('',(0.,0.,1.)); #40263=DIRECTION('center_axis',(0.,0.,-1.)); #40264=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374947,0.)); #40265=DIRECTION('center_axis',(0.,0.,1.)); #40266=DIRECTION('ref_axis',(1.,0.,0.)); #40267=DIRECTION('',(-0.951056516295155,0.309016994374944,0.)); #40268=DIRECTION('',(0.30901699437494,0.951056516295156,0.)); #40269=DIRECTION('',(-0.951056516295155,0.309016994374942,0.)); #40270=DIRECTION('center_axis',(0.,0.,1.)); #40271=DIRECTION('ref_axis',(-0.954830210382084,0.297151929729062,0.)); #40272=DIRECTION('',(0.951056516295154,0.309016994374947,0.)); #40273=DIRECTION('',(-0.309016994374946,0.951056516295154,0.)); #40274=DIRECTION('center_axis',(0.,0.,1.)); #40275=DIRECTION('ref_axis',(-1.,0.,0.)); #40276=DIRECTION('center_axis',(0.,0.,1.)); #40277=DIRECTION('ref_axis',(-1.,0.,0.)); #40278=DIRECTION('center_axis',(0.,0.,1.)); #40279=DIRECTION('ref_axis',(-1.,0.,0.)); #40280=DIRECTION('center_axis',(0.,0.,1.)); #40281=DIRECTION('ref_axis',(-1.,0.,0.)); #40282=DIRECTION('center_axis',(0.,0.,1.)); #40283=DIRECTION('ref_axis',(-1.,0.,0.)); #40284=DIRECTION('center_axis',(0.,0.,1.)); #40285=DIRECTION('ref_axis',(-1.,0.,0.)); #40286=DIRECTION('center_axis',(0.,0.,1.)); #40287=DIRECTION('ref_axis',(-1.,0.,0.)); #40288=DIRECTION('center_axis',(0.,0.,1.)); #40289=DIRECTION('ref_axis',(-1.,0.,0.)); #40290=DIRECTION('center_axis',(0.,0.,1.)); #40291=DIRECTION('ref_axis',(-1.,0.,0.)); #40292=DIRECTION('center_axis',(0.,0.,1.)); #40293=DIRECTION('ref_axis',(-1.,0.,0.)); #40294=DIRECTION('center_axis',(0.,0.,1.)); #40295=DIRECTION('ref_axis',(-1.,0.,0.)); #40296=DIRECTION('center_axis',(0.,0.,1.)); #40297=DIRECTION('ref_axis',(-1.,0.,0.)); #40298=DIRECTION('center_axis',(0.,0.,1.)); #40299=DIRECTION('ref_axis',(-1.,0.,0.)); #40300=DIRECTION('center_axis',(0.,0.,1.)); #40301=DIRECTION('ref_axis',(-1.,0.,0.)); #40302=DIRECTION('center_axis',(0.,0.,1.)); #40303=DIRECTION('ref_axis',(-1.,0.,0.)); #40304=DIRECTION('center_axis',(0.,0.,1.)); #40305=DIRECTION('ref_axis',(-1.,0.,0.)); #40306=DIRECTION('center_axis',(0.,0.,1.)); #40307=DIRECTION('ref_axis',(-1.,0.,0.)); #40308=DIRECTION('center_axis',(0.,0.,1.)); #40309=DIRECTION('ref_axis',(-1.,0.,0.)); #40310=DIRECTION('center_axis',(0.,0.,1.)); #40311=DIRECTION('ref_axis',(-1.,0.,0.)); #40312=DIRECTION('center_axis',(0.,0.,1.)); #40313=DIRECTION('ref_axis',(-1.,0.,0.)); #40314=DIRECTION('center_axis',(0.,0.,1.)); #40315=DIRECTION('ref_axis',(-1.,0.,0.)); #40316=DIRECTION('center_axis',(0.,0.,1.)); #40317=DIRECTION('ref_axis',(-1.,0.,0.)); #40318=DIRECTION('center_axis',(0.,0.,1.)); #40319=DIRECTION('ref_axis',(-1.,0.,0.)); #40320=DIRECTION('center_axis',(0.,0.,1.)); #40321=DIRECTION('ref_axis',(-1.,0.,0.)); #40322=DIRECTION('center_axis',(0.,0.,1.)); #40323=DIRECTION('ref_axis',(-1.,0.,0.)); #40324=DIRECTION('center_axis',(0.,0.,1.)); #40325=DIRECTION('ref_axis',(-1.,0.,0.)); #40326=DIRECTION('center_axis',(0.,0.,1.)); #40327=DIRECTION('ref_axis',(-1.,0.,0.)); #40328=DIRECTION('center_axis',(0.,0.,1.)); #40329=DIRECTION('ref_axis',(-1.,0.,0.)); #40330=DIRECTION('center_axis',(0.,0.,1.)); #40331=DIRECTION('ref_axis',(-1.,0.,0.)); #40332=DIRECTION('center_axis',(0.,0.,-1.)); #40333=DIRECTION('ref_axis',(-1.,0.,0.)); #40334=DIRECTION('',(0.,0.,1.)); #40335=DIRECTION('center_axis',(0.,0.,-1.)); #40336=DIRECTION('ref_axis',(-1.,0.,0.)); #40337=DIRECTION('',(0.,0.,1.)); #40338=DIRECTION('center_axis',(0.,0.,-1.)); #40339=DIRECTION('ref_axis',(-1.,0.,0.)); #40340=DIRECTION('',(0.,0.,1.)); #40341=DIRECTION('center_axis',(0.,0.,-1.)); #40342=DIRECTION('ref_axis',(-1.,0.,0.)); #40343=DIRECTION('',(0.,0.,1.)); #40344=DIRECTION('center_axis',(0.,0.,-1.)); #40345=DIRECTION('ref_axis',(-1.,0.,0.)); #40346=DIRECTION('',(0.,0.,1.)); #40347=DIRECTION('center_axis',(0.,0.,-1.)); #40348=DIRECTION('ref_axis',(-1.,0.,0.)); #40349=DIRECTION('',(0.,0.,1.)); #40350=DIRECTION('center_axis',(0.,0.,-1.)); #40351=DIRECTION('ref_axis',(-1.,0.,0.)); #40352=DIRECTION('',(0.,0.,1.)); #40353=DIRECTION('center_axis',(0.,0.,-1.)); #40354=DIRECTION('ref_axis',(-1.,0.,0.)); #40355=DIRECTION('',(0.,0.,1.)); #40356=DIRECTION('center_axis',(0.,0.,-1.)); #40357=DIRECTION('ref_axis',(-1.,0.,0.)); #40358=DIRECTION('',(0.,0.,1.)); #40359=DIRECTION('center_axis',(0.,0.,-1.)); #40360=DIRECTION('ref_axis',(-1.,0.,0.)); #40361=DIRECTION('',(0.,0.,1.)); #40362=DIRECTION('center_axis',(0.,0.,-1.)); #40363=DIRECTION('ref_axis',(-1.,0.,0.)); #40364=DIRECTION('',(0.,0.,1.)); #40365=DIRECTION('center_axis',(0.,0.,-1.)); #40366=DIRECTION('ref_axis',(-1.,0.,0.)); #40367=DIRECTION('',(0.,0.,1.)); #40368=DIRECTION('center_axis',(0.,0.,-1.)); #40369=DIRECTION('ref_axis',(-1.,0.,0.)); #40370=DIRECTION('',(0.,0.,1.)); #40371=DIRECTION('center_axis',(0.,0.,-1.)); #40372=DIRECTION('ref_axis',(-1.,0.,0.)); #40373=DIRECTION('',(0.,0.,1.)); #40374=DIRECTION('center_axis',(0.,0.,-1.)); #40375=DIRECTION('ref_axis',(-1.,0.,0.)); #40376=DIRECTION('',(0.,0.,1.)); #40377=DIRECTION('center_axis',(0.,0.,-1.)); #40378=DIRECTION('ref_axis',(-1.,0.,0.)); #40379=DIRECTION('',(0.,0.,1.)); #40380=DIRECTION('center_axis',(0.,0.,-1.)); #40381=DIRECTION('ref_axis',(-1.,0.,0.)); #40382=DIRECTION('',(0.,0.,1.)); #40383=DIRECTION('center_axis',(0.,0.,-1.)); #40384=DIRECTION('ref_axis',(-1.,0.,0.)); #40385=DIRECTION('',(0.,0.,1.)); #40386=DIRECTION('center_axis',(0.,0.,-1.)); #40387=DIRECTION('ref_axis',(-1.,0.,0.)); #40388=DIRECTION('',(0.,0.,1.)); #40389=DIRECTION('center_axis',(0.,0.,-1.)); #40390=DIRECTION('ref_axis',(-1.,0.,0.)); #40391=DIRECTION('',(0.,0.,1.)); #40392=DIRECTION('center_axis',(0.,0.,-1.)); #40393=DIRECTION('ref_axis',(-1.,0.,0.)); #40394=DIRECTION('',(0.,0.,1.)); #40395=DIRECTION('center_axis',(0.,0.,-1.)); #40396=DIRECTION('ref_axis',(-1.,0.,0.)); #40397=DIRECTION('',(0.,0.,1.)); #40398=DIRECTION('center_axis',(0.,0.,-1.)); #40399=DIRECTION('ref_axis',(-1.,0.,0.)); #40400=DIRECTION('',(0.,0.,1.)); #40401=DIRECTION('center_axis',(0.,0.,-1.)); #40402=DIRECTION('ref_axis',(-1.,0.,0.)); #40403=DIRECTION('',(0.,0.,1.)); #40404=DIRECTION('center_axis',(0.,0.,-1.)); #40405=DIRECTION('ref_axis',(-1.,0.,0.)); #40406=DIRECTION('',(0.,0.,1.)); #40407=DIRECTION('center_axis',(0.,0.,-1.)); #40408=DIRECTION('ref_axis',(-1.,0.,0.)); #40409=DIRECTION('',(0.,0.,1.)); #40410=DIRECTION('center_axis',(0.,0.,-1.)); #40411=DIRECTION('ref_axis',(-1.,0.,0.)); #40412=DIRECTION('',(0.,0.,1.)); #40413=DIRECTION('center_axis',(0.,0.,-1.)); #40414=DIRECTION('ref_axis',(-1.,0.,0.)); #40415=DIRECTION('',(0.,0.,1.)); #40416=DIRECTION('center_axis',(0.,0.,-1.)); #40417=DIRECTION('ref_axis',(-1.,0.,0.)); #40418=DIRECTION('',(0.,0.,1.)); #40419=DIRECTION('center_axis',(-0.309016994374944,-0.951056516295155,0.)); #40420=DIRECTION('ref_axis',(-0.951056516295155,0.309016994374944,0.)); #40421=DIRECTION('',(0.,0.,1.)); #40422=DIRECTION('center_axis',(0.,0.,-1.)); #40423=DIRECTION('ref_axis',(-0.954830210382084,0.297151929729062,0.)); #40424=DIRECTION('',(0.,0.,1.)); #40425=DIRECTION('',(0.,0.,-1.)); #40426=DIRECTION('center_axis',(-0.309016994374941,-0.951056516295156,0.)); #40427=DIRECTION('ref_axis',(-0.951056516295156,0.309016994374941,0.)); #40428=DIRECTION('',(0.,0.,1.)); #40429=DIRECTION('center_axis',(-0.951056516295156,0.30901699437494,0.)); #40430=DIRECTION('ref_axis',(0.30901699437494,0.951056516295156,0.)); #40431=DIRECTION('center_axis',(0.309016994374947,-0.951056516295154,0.)); #40432=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374947,0.)); #40433=DIRECTION('',(0.,0.,-1.)); #40434=DIRECTION('center_axis',(0.951056516295154,0.309016994374946,0.)); #40435=DIRECTION('ref_axis',(0.309016994374946,-0.951056516295154,0.)); #40436=DIRECTION('axis',(0.,0.,1.)); #40437=DIRECTION('refdir',(1.,0.,0.)); #40438=DIRECTION('center_axis',(0.,0.,1.)); #40439=DIRECTION('ref_axis',(1.,0.,0.)); #40440=DIRECTION('center_axis',(0.,0.,-1.)); #40441=DIRECTION('ref_axis',(1.,0.,0.)); #40442=DIRECTION('',(0.,0.,-1.)); #40443=DIRECTION('center_axis',(0.,0.,1.)); #40444=DIRECTION('ref_axis',(1.,0.,0.)); #40445=DIRECTION('center_axis',(0.,0.,1.)); #40446=DIRECTION('ref_axis',(1.,0.,0.)); #40447=DIRECTION('center_axis',(0.,0.,-1.)); #40448=DIRECTION('ref_axis',(1.,0.,0.)); #40449=DIRECTION('',(0.,0.,-1.)); #40450=DIRECTION('center_axis',(0.,0.,1.)); #40451=DIRECTION('ref_axis',(1.,0.,0.)); #40452=DIRECTION('center_axis',(0.,0.,1.)); #40453=DIRECTION('ref_axis',(1.,0.,0.)); #40454=DIRECTION('center_axis',(0.,0.,-1.)); #40455=DIRECTION('ref_axis',(1.,0.,0.)); #40456=DIRECTION('',(0.,0.,-1.)); #40457=DIRECTION('center_axis',(0.,0.,1.)); #40458=DIRECTION('ref_axis',(1.,0.,0.)); #40459=DIRECTION('center_axis',(0.,0.,1.)); #40460=DIRECTION('ref_axis',(1.,0.,0.)); #40461=DIRECTION('center_axis',(0.,0.,-1.)); #40462=DIRECTION('ref_axis',(1.,0.,0.)); #40463=DIRECTION('',(0.,0.,-1.)); #40464=DIRECTION('center_axis',(0.,0.,1.)); #40465=DIRECTION('ref_axis',(1.,0.,0.)); #40466=DIRECTION('center_axis',(0.,0.,1.)); #40467=DIRECTION('ref_axis',(1.,0.,0.)); #40468=DIRECTION('center_axis',(0.,0.,-1.)); #40469=DIRECTION('ref_axis',(1.,0.,0.)); #40470=DIRECTION('',(0.,0.,-1.)); #40471=DIRECTION('center_axis',(0.,0.,1.)); #40472=DIRECTION('ref_axis',(1.,0.,0.)); #40473=DIRECTION('center_axis',(0.,0.,1.)); #40474=DIRECTION('ref_axis',(1.,0.,0.)); #40475=DIRECTION('center_axis',(0.,0.,-1.)); #40476=DIRECTION('ref_axis',(1.,0.,0.)); #40477=DIRECTION('',(0.,0.,-1.)); #40478=DIRECTION('center_axis',(0.,0.,1.)); #40479=DIRECTION('ref_axis',(1.,0.,0.)); #40480=DIRECTION('center_axis',(0.,0.,1.)); #40481=DIRECTION('ref_axis',(1.,0.,0.)); #40482=DIRECTION('center_axis',(0.,0.,-1.)); #40483=DIRECTION('ref_axis',(1.,0.,0.)); #40484=DIRECTION('',(0.,0.,-1.)); #40485=DIRECTION('center_axis',(0.,0.,1.)); #40486=DIRECTION('ref_axis',(1.,0.,0.)); #40487=DIRECTION('center_axis',(0.,0.,1.)); #40488=DIRECTION('ref_axis',(1.,0.,0.)); #40489=DIRECTION('center_axis',(0.,0.,-1.)); #40490=DIRECTION('ref_axis',(1.,0.,0.)); #40491=DIRECTION('',(0.,0.,-1.)); #40492=DIRECTION('center_axis',(0.,0.,1.)); #40493=DIRECTION('ref_axis',(1.,0.,0.)); #40494=DIRECTION('center_axis',(0.,0.,1.)); #40495=DIRECTION('ref_axis',(1.,0.,0.)); #40496=DIRECTION('center_axis',(0.,0.,-1.)); #40497=DIRECTION('ref_axis',(1.,0.,0.)); #40498=DIRECTION('',(0.,0.,-1.)); #40499=DIRECTION('center_axis',(0.,0.,1.)); #40500=DIRECTION('ref_axis',(1.,0.,0.)); #40501=DIRECTION('center_axis',(0.,0.,1.)); #40502=DIRECTION('ref_axis',(1.,0.,0.)); #40503=DIRECTION('center_axis',(0.,0.,-1.)); #40504=DIRECTION('ref_axis',(1.,0.,0.)); #40505=DIRECTION('',(0.,0.,-1.)); #40506=DIRECTION('center_axis',(0.,0.,1.)); #40507=DIRECTION('ref_axis',(1.,0.,0.)); #40508=DIRECTION('center_axis',(0.,0.,1.)); #40509=DIRECTION('ref_axis',(1.,0.,0.)); #40510=DIRECTION('center_axis',(0.,0.,-1.)); #40511=DIRECTION('ref_axis',(1.,0.,0.)); #40512=DIRECTION('',(0.,0.,-1.)); #40513=DIRECTION('center_axis',(0.,0.,1.)); #40514=DIRECTION('ref_axis',(1.,0.,0.)); #40515=DIRECTION('center_axis',(0.,0.,1.)); #40516=DIRECTION('ref_axis',(1.,0.,0.)); #40517=DIRECTION('center_axis',(0.,0.,-1.)); #40518=DIRECTION('ref_axis',(1.,0.,0.)); #40519=DIRECTION('',(0.,0.,-1.)); #40520=DIRECTION('center_axis',(0.,0.,1.)); #40521=DIRECTION('ref_axis',(1.,0.,0.)); #40522=DIRECTION('center_axis',(0.,0.,1.)); #40523=DIRECTION('ref_axis',(1.,0.,0.)); #40524=DIRECTION('center_axis',(0.,0.,-1.)); #40525=DIRECTION('ref_axis',(1.,0.,0.)); #40526=DIRECTION('',(0.,0.,-1.)); #40527=DIRECTION('center_axis',(0.,0.,1.)); #40528=DIRECTION('ref_axis',(1.,0.,0.)); #40529=DIRECTION('center_axis',(0.,0.,1.)); #40530=DIRECTION('ref_axis',(1.,0.,0.)); #40531=DIRECTION('center_axis',(0.,0.,-1.)); #40532=DIRECTION('ref_axis',(1.,0.,0.)); #40533=DIRECTION('',(0.,0.,-1.)); #40534=DIRECTION('center_axis',(0.,0.,1.)); #40535=DIRECTION('ref_axis',(1.,0.,0.)); #40536=DIRECTION('center_axis',(0.,0.,1.)); #40537=DIRECTION('ref_axis',(1.,0.,0.)); #40538=DIRECTION('center_axis',(0.,0.,-1.)); #40539=DIRECTION('ref_axis',(1.,0.,0.)); #40540=DIRECTION('',(0.,0.,-1.)); #40541=DIRECTION('center_axis',(0.,0.,1.)); #40542=DIRECTION('ref_axis',(1.,0.,0.)); #40543=DIRECTION('center_axis',(0.,0.,1.)); #40544=DIRECTION('ref_axis',(1.,0.,0.)); #40545=DIRECTION('center_axis',(0.,0.,-1.)); #40546=DIRECTION('ref_axis',(1.,0.,0.)); #40547=DIRECTION('',(0.,0.,-1.)); #40548=DIRECTION('center_axis',(0.,0.,1.)); #40549=DIRECTION('ref_axis',(1.,0.,0.)); #40550=DIRECTION('center_axis',(0.,0.,1.)); #40551=DIRECTION('ref_axis',(1.,0.,0.)); #40552=DIRECTION('center_axis',(0.,0.,-1.)); #40553=DIRECTION('ref_axis',(1.,0.,0.)); #40554=DIRECTION('',(0.,0.,-1.)); #40555=DIRECTION('center_axis',(0.,0.,1.)); #40556=DIRECTION('ref_axis',(1.,0.,0.)); #40557=DIRECTION('center_axis',(0.,0.,1.)); #40558=DIRECTION('ref_axis',(1.,0.,0.)); #40559=DIRECTION('center_axis',(0.,0.,-1.)); #40560=DIRECTION('ref_axis',(1.,0.,0.)); #40561=DIRECTION('',(0.,0.,-1.)); #40562=DIRECTION('center_axis',(0.,0.,1.)); #40563=DIRECTION('ref_axis',(1.,0.,0.)); #40564=DIRECTION('center_axis',(0.,0.,1.)); #40565=DIRECTION('ref_axis',(1.,0.,0.)); #40566=DIRECTION('center_axis',(0.,0.,-1.)); #40567=DIRECTION('ref_axis',(1.,0.,0.)); #40568=DIRECTION('',(0.,0.,-1.)); #40569=DIRECTION('center_axis',(0.,0.,1.)); #40570=DIRECTION('ref_axis',(1.,0.,0.)); #40571=DIRECTION('center_axis',(0.,0.,1.)); #40572=DIRECTION('ref_axis',(1.,0.,0.)); #40573=DIRECTION('center_axis',(0.,0.,-1.)); #40574=DIRECTION('ref_axis',(1.,0.,0.)); #40575=DIRECTION('',(0.,0.,-1.)); #40576=DIRECTION('center_axis',(0.,0.,1.)); #40577=DIRECTION('ref_axis',(1.,0.,0.)); #40578=DIRECTION('center_axis',(0.,0.,1.)); #40579=DIRECTION('ref_axis',(1.,0.,0.)); #40580=DIRECTION('center_axis',(0.,0.,-1.)); #40581=DIRECTION('ref_axis',(1.,0.,0.)); #40582=DIRECTION('',(0.,0.,-1.)); #40583=DIRECTION('center_axis',(0.,0.,1.)); #40584=DIRECTION('ref_axis',(1.,0.,0.)); #40585=DIRECTION('center_axis',(0.,0.,1.)); #40586=DIRECTION('ref_axis',(1.,0.,0.)); #40587=DIRECTION('center_axis',(0.,0.,-1.)); #40588=DIRECTION('ref_axis',(1.,0.,0.)); #40589=DIRECTION('',(0.,0.,-1.)); #40590=DIRECTION('center_axis',(0.,0.,1.)); #40591=DIRECTION('ref_axis',(1.,0.,0.)); #40592=DIRECTION('center_axis',(0.,0.,1.)); #40593=DIRECTION('ref_axis',(1.,0.,0.)); #40594=DIRECTION('center_axis',(0.,0.,-1.)); #40595=DIRECTION('ref_axis',(1.,0.,0.)); #40596=DIRECTION('',(0.,0.,-1.)); #40597=DIRECTION('center_axis',(0.,0.,1.)); #40598=DIRECTION('ref_axis',(1.,0.,0.)); #40599=DIRECTION('center_axis',(0.,0.,1.)); #40600=DIRECTION('ref_axis',(1.,0.,0.)); #40601=DIRECTION('center_axis',(0.,0.,-1.)); #40602=DIRECTION('ref_axis',(1.,0.,0.)); #40603=DIRECTION('',(0.,0.,-1.)); #40604=DIRECTION('center_axis',(0.,0.,1.)); #40605=DIRECTION('ref_axis',(1.,0.,0.)); #40606=DIRECTION('center_axis',(0.,0.,1.)); #40607=DIRECTION('ref_axis',(1.,0.,0.)); #40608=DIRECTION('center_axis',(0.,0.,-1.)); #40609=DIRECTION('ref_axis',(1.,0.,0.)); #40610=DIRECTION('',(0.,0.,-1.)); #40611=DIRECTION('center_axis',(0.,0.,1.)); #40612=DIRECTION('ref_axis',(1.,0.,0.)); #40613=DIRECTION('center_axis',(0.,0.,1.)); #40614=DIRECTION('ref_axis',(1.,0.,0.)); #40615=DIRECTION('center_axis',(0.,0.,-1.)); #40616=DIRECTION('ref_axis',(1.,0.,0.)); #40617=DIRECTION('',(0.,0.,-1.)); #40618=DIRECTION('center_axis',(0.,0.,1.)); #40619=DIRECTION('ref_axis',(1.,0.,0.)); #40620=DIRECTION('center_axis',(0.,0.,1.)); #40621=DIRECTION('ref_axis',(1.,0.,0.)); #40622=DIRECTION('center_axis',(0.,0.,-1.)); #40623=DIRECTION('ref_axis',(1.,0.,0.)); #40624=DIRECTION('',(0.,0.,-1.)); #40625=DIRECTION('center_axis',(0.,0.,1.)); #40626=DIRECTION('ref_axis',(1.,0.,0.)); #40627=DIRECTION('center_axis',(0.,0.,1.)); #40628=DIRECTION('ref_axis',(1.,0.,0.)); #40629=DIRECTION('center_axis',(0.,0.,-1.)); #40630=DIRECTION('ref_axis',(1.,0.,0.)); #40631=DIRECTION('',(0.,0.,-1.)); #40632=DIRECTION('center_axis',(0.,0.,1.)); #40633=DIRECTION('ref_axis',(1.,0.,0.)); #40634=DIRECTION('center_axis',(0.,0.,1.)); #40635=DIRECTION('ref_axis',(1.,0.,0.)); #40636=DIRECTION('center_axis',(0.,0.,-1.)); #40637=DIRECTION('ref_axis',(1.,0.,0.)); #40638=DIRECTION('',(0.,0.,-1.)); #40639=DIRECTION('center_axis',(0.,0.,1.)); #40640=DIRECTION('ref_axis',(1.,0.,0.)); #40641=DIRECTION('center_axis',(0.,0.,1.)); #40642=DIRECTION('ref_axis',(1.,0.,0.)); #40643=DIRECTION('center_axis',(0.,0.,-1.)); #40644=DIRECTION('ref_axis',(1.,0.,0.)); #40645=DIRECTION('',(0.,0.,-1.)); #40646=DIRECTION('center_axis',(0.,0.,1.)); #40647=DIRECTION('ref_axis',(1.,0.,0.)); #40648=DIRECTION('center_axis',(0.,0.,1.)); #40649=DIRECTION('ref_axis',(1.,0.,0.)); #40650=DIRECTION('center_axis',(0.,0.,-1.)); #40651=DIRECTION('ref_axis',(1.,0.,0.)); #40652=DIRECTION('',(0.,0.,-1.)); #40653=DIRECTION('center_axis',(0.,0.,1.)); #40654=DIRECTION('ref_axis',(1.,0.,0.)); #40655=DIRECTION('center_axis',(0.,0.,1.)); #40656=DIRECTION('ref_axis',(1.,0.,0.)); #40657=DIRECTION('center_axis',(0.,0.,-1.)); #40658=DIRECTION('ref_axis',(1.,0.,0.)); #40659=DIRECTION('',(0.,0.,-1.)); #40660=DIRECTION('center_axis',(0.,0.,1.)); #40661=DIRECTION('ref_axis',(1.,0.,0.)); #40662=DIRECTION('center_axis',(0.,0.,1.)); #40663=DIRECTION('ref_axis',(1.,0.,0.)); #40664=DIRECTION('center_axis',(0.,0.,-1.)); #40665=DIRECTION('ref_axis',(1.,0.,0.)); #40666=DIRECTION('',(0.,0.,-1.)); #40667=DIRECTION('center_axis',(0.,0.,1.)); #40668=DIRECTION('ref_axis',(1.,0.,0.)); #40669=DIRECTION('center_axis',(0.,0.,1.)); #40670=DIRECTION('ref_axis',(1.,0.,0.)); #40671=DIRECTION('center_axis',(0.,0.,-1.)); #40672=DIRECTION('ref_axis',(1.,0.,0.)); #40673=DIRECTION('',(0.,0.,-1.)); #40674=DIRECTION('center_axis',(0.,0.,1.)); #40675=DIRECTION('ref_axis',(1.,0.,0.)); #40676=DIRECTION('center_axis',(0.,0.,1.)); #40677=DIRECTION('ref_axis',(1.,0.,0.)); #40678=DIRECTION('center_axis',(0.,0.,-1.)); #40679=DIRECTION('ref_axis',(1.,0.,0.)); #40680=DIRECTION('',(0.,0.,-1.)); #40681=DIRECTION('center_axis',(0.,0.,1.)); #40682=DIRECTION('ref_axis',(1.,0.,0.)); #40683=DIRECTION('center_axis',(0.,0.,1.)); #40684=DIRECTION('ref_axis',(1.,0.,0.)); #40685=DIRECTION('center_axis',(0.,0.,-1.)); #40686=DIRECTION('ref_axis',(1.,0.,0.)); #40687=DIRECTION('',(0.,0.,-1.)); #40688=DIRECTION('center_axis',(0.,0.,1.)); #40689=DIRECTION('ref_axis',(1.,0.,0.)); #40690=DIRECTION('center_axis',(0.,0.,1.)); #40691=DIRECTION('ref_axis',(1.,0.,0.)); #40692=DIRECTION('center_axis',(0.,0.,-1.)); #40693=DIRECTION('ref_axis',(1.,0.,0.)); #40694=DIRECTION('',(0.,0.,-1.)); #40695=DIRECTION('center_axis',(0.,0.,1.)); #40696=DIRECTION('ref_axis',(1.,0.,0.)); #40697=DIRECTION('center_axis',(0.,0.,1.)); #40698=DIRECTION('ref_axis',(1.,0.,0.)); #40699=DIRECTION('center_axis',(0.,0.,-1.)); #40700=DIRECTION('ref_axis',(1.,0.,0.)); #40701=DIRECTION('',(0.,0.,-1.)); #40702=DIRECTION('center_axis',(0.,0.,1.)); #40703=DIRECTION('ref_axis',(1.,0.,0.)); #40704=DIRECTION('center_axis',(0.,0.,1.)); #40705=DIRECTION('ref_axis',(1.,0.,0.)); #40706=DIRECTION('center_axis',(0.,0.,-1.)); #40707=DIRECTION('ref_axis',(1.,0.,0.)); #40708=DIRECTION('',(0.,0.,-1.)); #40709=DIRECTION('center_axis',(0.,0.,1.)); #40710=DIRECTION('ref_axis',(1.,0.,0.)); #40711=DIRECTION('center_axis',(0.,0.,1.)); #40712=DIRECTION('ref_axis',(1.,0.,0.)); #40713=DIRECTION('center_axis',(0.,0.,-1.)); #40714=DIRECTION('ref_axis',(1.,0.,0.)); #40715=DIRECTION('',(0.,0.,-1.)); #40716=DIRECTION('center_axis',(0.,0.,1.)); #40717=DIRECTION('ref_axis',(1.,0.,0.)); #40718=DIRECTION('center_axis',(0.,0.,1.)); #40719=DIRECTION('ref_axis',(1.,0.,0.)); #40720=DIRECTION('center_axis',(0.,0.,-1.)); #40721=DIRECTION('ref_axis',(1.,0.,0.)); #40722=DIRECTION('',(0.,0.,-1.)); #40723=DIRECTION('center_axis',(0.,0.,1.)); #40724=DIRECTION('ref_axis',(1.,0.,0.)); #40725=DIRECTION('center_axis',(0.,0.,1.)); #40726=DIRECTION('ref_axis',(1.,0.,0.)); #40727=DIRECTION('center_axis',(0.,0.,-1.)); #40728=DIRECTION('ref_axis',(1.,0.,0.)); #40729=DIRECTION('',(0.,0.,-1.)); #40730=DIRECTION('center_axis',(0.,0.,1.)); #40731=DIRECTION('ref_axis',(1.,0.,0.)); #40732=DIRECTION('center_axis',(0.,0.,1.)); #40733=DIRECTION('ref_axis',(1.,0.,0.)); #40734=DIRECTION('center_axis',(0.,0.,-1.)); #40735=DIRECTION('ref_axis',(1.,0.,0.)); #40736=DIRECTION('',(0.,0.,-1.)); #40737=DIRECTION('center_axis',(0.,0.,1.)); #40738=DIRECTION('ref_axis',(1.,0.,0.)); #40739=DIRECTION('center_axis',(0.,0.,1.)); #40740=DIRECTION('ref_axis',(1.,0.,0.)); #40741=DIRECTION('center_axis',(0.,0.,-1.)); #40742=DIRECTION('ref_axis',(1.,0.,0.)); #40743=DIRECTION('',(0.,0.,-1.)); #40744=DIRECTION('center_axis',(0.,0.,1.)); #40745=DIRECTION('ref_axis',(1.,0.,0.)); #40746=DIRECTION('center_axis',(0.,0.,1.)); #40747=DIRECTION('ref_axis',(1.,0.,0.)); #40748=DIRECTION('center_axis',(0.,0.,-1.)); #40749=DIRECTION('ref_axis',(1.,0.,0.)); #40750=DIRECTION('',(0.,0.,-1.)); #40751=DIRECTION('center_axis',(0.,0.,1.)); #40752=DIRECTION('ref_axis',(1.,0.,0.)); #40753=DIRECTION('center_axis',(0.,0.,1.)); #40754=DIRECTION('ref_axis',(1.,0.,0.)); #40755=DIRECTION('center_axis',(0.,0.,-1.)); #40756=DIRECTION('ref_axis',(1.,0.,0.)); #40757=DIRECTION('',(0.,0.,-1.)); #40758=DIRECTION('center_axis',(0.,0.,1.)); #40759=DIRECTION('ref_axis',(1.,0.,0.)); #40760=DIRECTION('center_axis',(0.,0.,1.)); #40761=DIRECTION('ref_axis',(1.,0.,0.)); #40762=DIRECTION('center_axis',(0.,0.,-1.)); #40763=DIRECTION('ref_axis',(1.,0.,0.)); #40764=DIRECTION('',(0.,0.,-1.)); #40765=DIRECTION('center_axis',(0.,0.,1.)); #40766=DIRECTION('ref_axis',(1.,0.,0.)); #40767=DIRECTION('center_axis',(0.,0.,1.)); #40768=DIRECTION('ref_axis',(1.,0.,0.)); #40769=DIRECTION('center_axis',(0.,0.,-1.)); #40770=DIRECTION('ref_axis',(1.,0.,0.)); #40771=DIRECTION('',(0.,0.,-1.)); #40772=DIRECTION('center_axis',(0.,0.,1.)); #40773=DIRECTION('ref_axis',(1.,0.,0.)); #40774=DIRECTION('center_axis',(0.,0.,1.)); #40775=DIRECTION('ref_axis',(1.,0.,0.)); #40776=DIRECTION('center_axis',(0.,0.,-1.)); #40777=DIRECTION('ref_axis',(1.,0.,0.)); #40778=DIRECTION('',(0.,0.,-1.)); #40779=DIRECTION('center_axis',(0.,0.,1.)); #40780=DIRECTION('ref_axis',(1.,0.,0.)); #40781=DIRECTION('center_axis',(0.,0.,1.)); #40782=DIRECTION('ref_axis',(1.,0.,0.)); #40783=DIRECTION('center_axis',(0.,0.,-1.)); #40784=DIRECTION('ref_axis',(1.,0.,0.)); #40785=DIRECTION('',(0.,0.,-1.)); #40786=DIRECTION('center_axis',(0.,0.,1.)); #40787=DIRECTION('ref_axis',(1.,0.,0.)); #40788=DIRECTION('center_axis',(0.,0.,1.)); #40789=DIRECTION('ref_axis',(1.,0.,0.)); #40790=DIRECTION('center_axis',(0.,0.,-1.)); #40791=DIRECTION('ref_axis',(1.,0.,0.)); #40792=DIRECTION('',(0.,0.,-1.)); #40793=DIRECTION('center_axis',(0.,0.,1.)); #40794=DIRECTION('ref_axis',(1.,0.,0.)); #40795=DIRECTION('center_axis',(0.,0.,1.)); #40796=DIRECTION('ref_axis',(1.,0.,0.)); #40797=DIRECTION('center_axis',(0.,0.,-1.)); #40798=DIRECTION('ref_axis',(1.,0.,0.)); #40799=DIRECTION('',(0.,0.,-1.)); #40800=DIRECTION('center_axis',(0.,0.,1.)); #40801=DIRECTION('ref_axis',(1.,0.,0.)); #40802=DIRECTION('center_axis',(0.,0.,1.)); #40803=DIRECTION('ref_axis',(1.,0.,0.)); #40804=DIRECTION('center_axis',(0.,0.,-1.)); #40805=DIRECTION('ref_axis',(1.,0.,0.)); #40806=DIRECTION('',(0.,0.,-1.)); #40807=DIRECTION('center_axis',(0.,0.,1.)); #40808=DIRECTION('ref_axis',(1.,0.,0.)); #40809=DIRECTION('center_axis',(0.,0.,1.)); #40810=DIRECTION('ref_axis',(1.,0.,0.)); #40811=DIRECTION('center_axis',(0.,0.,-1.)); #40812=DIRECTION('ref_axis',(1.,0.,0.)); #40813=DIRECTION('',(0.,0.,-1.)); #40814=DIRECTION('center_axis',(0.,0.,1.)); #40815=DIRECTION('ref_axis',(1.,0.,0.)); #40816=DIRECTION('center_axis',(0.,0.,1.)); #40817=DIRECTION('ref_axis',(1.,0.,0.)); #40818=DIRECTION('center_axis',(0.,0.,-1.)); #40819=DIRECTION('ref_axis',(1.,0.,0.)); #40820=DIRECTION('',(0.,0.,-1.)); #40821=DIRECTION('center_axis',(0.,0.,1.)); #40822=DIRECTION('ref_axis',(1.,0.,0.)); #40823=DIRECTION('center_axis',(0.,0.,1.)); #40824=DIRECTION('ref_axis',(1.,0.,0.)); #40825=DIRECTION('center_axis',(0.,0.,-1.)); #40826=DIRECTION('ref_axis',(1.,0.,0.)); #40827=DIRECTION('',(0.,0.,-1.)); #40828=DIRECTION('center_axis',(0.,0.,1.)); #40829=DIRECTION('ref_axis',(1.,0.,0.)); #40830=DIRECTION('center_axis',(0.,0.,1.)); #40831=DIRECTION('ref_axis',(1.,0.,0.)); #40832=DIRECTION('center_axis',(0.,0.,-1.)); #40833=DIRECTION('ref_axis',(1.,0.,0.)); #40834=DIRECTION('',(0.,0.,-1.)); #40835=DIRECTION('center_axis',(0.,0.,1.)); #40836=DIRECTION('ref_axis',(1.,0.,0.)); #40837=DIRECTION('center_axis',(0.,0.,1.)); #40838=DIRECTION('ref_axis',(1.,0.,0.)); #40839=DIRECTION('center_axis',(0.,0.,-1.)); #40840=DIRECTION('ref_axis',(1.,0.,0.)); #40841=DIRECTION('',(0.,0.,-1.)); #40842=DIRECTION('center_axis',(0.,0.,1.)); #40843=DIRECTION('ref_axis',(1.,0.,0.)); #40844=DIRECTION('center_axis',(0.,0.,1.)); #40845=DIRECTION('ref_axis',(1.,0.,0.)); #40846=DIRECTION('center_axis',(0.,0.,-1.)); #40847=DIRECTION('ref_axis',(1.,0.,0.)); #40848=DIRECTION('',(0.,0.,-1.)); #40849=DIRECTION('center_axis',(0.,0.,1.)); #40850=DIRECTION('ref_axis',(1.,0.,0.)); #40851=DIRECTION('center_axis',(0.,0.,1.)); #40852=DIRECTION('ref_axis',(1.,0.,0.)); #40853=DIRECTION('center_axis',(0.,0.,-1.)); #40854=DIRECTION('ref_axis',(1.,0.,0.)); #40855=DIRECTION('',(0.,0.,-1.)); #40856=DIRECTION('center_axis',(0.,0.,1.)); #40857=DIRECTION('ref_axis',(1.,0.,0.)); #40858=DIRECTION('center_axis',(0.,0.,1.)); #40859=DIRECTION('ref_axis',(1.,0.,0.)); #40860=DIRECTION('center_axis',(0.,0.,-1.)); #40861=DIRECTION('ref_axis',(1.,0.,0.)); #40862=DIRECTION('',(0.,0.,-1.)); #40863=DIRECTION('center_axis',(0.,0.,1.)); #40864=DIRECTION('ref_axis',(1.,0.,0.)); #40865=DIRECTION('center_axis',(0.,0.,1.)); #40866=DIRECTION('ref_axis',(1.,0.,0.)); #40867=DIRECTION('center_axis',(0.,0.,-1.)); #40868=DIRECTION('ref_axis',(1.,0.,0.)); #40869=DIRECTION('',(0.,0.,-1.)); #40870=DIRECTION('center_axis',(0.,0.,1.)); #40871=DIRECTION('ref_axis',(1.,0.,0.)); #40872=DIRECTION('center_axis',(0.,0.,1.)); #40873=DIRECTION('ref_axis',(1.,0.,0.)); #40874=DIRECTION('center_axis',(0.,0.,-1.)); #40875=DIRECTION('ref_axis',(1.,0.,0.)); #40876=DIRECTION('',(0.,0.,-1.)); #40877=DIRECTION('center_axis',(0.,0.,1.)); #40878=DIRECTION('ref_axis',(1.,0.,0.)); #40879=DIRECTION('center_axis',(0.,0.,1.)); #40880=DIRECTION('ref_axis',(1.,0.,0.)); #40881=DIRECTION('center_axis',(0.,0.,-1.)); #40882=DIRECTION('ref_axis',(1.,0.,0.)); #40883=DIRECTION('',(0.,0.,-1.)); #40884=DIRECTION('center_axis',(0.,0.,1.)); #40885=DIRECTION('ref_axis',(1.,0.,0.)); #40886=DIRECTION('center_axis',(0.,0.,1.)); #40887=DIRECTION('ref_axis',(1.,0.,0.)); #40888=DIRECTION('center_axis',(0.,0.,-1.)); #40889=DIRECTION('ref_axis',(1.,0.,0.)); #40890=DIRECTION('',(0.,0.,-1.)); #40891=DIRECTION('center_axis',(0.,0.,1.)); #40892=DIRECTION('ref_axis',(1.,0.,0.)); #40893=DIRECTION('center_axis',(0.,0.,1.)); #40894=DIRECTION('ref_axis',(1.,0.,0.)); #40895=DIRECTION('center_axis',(0.,0.,-1.)); #40896=DIRECTION('ref_axis',(1.,0.,0.)); #40897=DIRECTION('',(0.,0.,-1.)); #40898=DIRECTION('center_axis',(0.,0.,1.)); #40899=DIRECTION('ref_axis',(1.,0.,0.)); #40900=DIRECTION('center_axis',(0.,0.,1.)); #40901=DIRECTION('ref_axis',(1.,0.,0.)); #40902=DIRECTION('center_axis',(0.,0.,-1.)); #40903=DIRECTION('ref_axis',(1.,0.,0.)); #40904=DIRECTION('',(0.,0.,-1.)); #40905=DIRECTION('center_axis',(0.,0.,1.)); #40906=DIRECTION('ref_axis',(1.,0.,0.)); #40907=DIRECTION('center_axis',(0.,0.,1.)); #40908=DIRECTION('ref_axis',(1.,0.,0.)); #40909=DIRECTION('center_axis',(0.,0.,-1.)); #40910=DIRECTION('ref_axis',(1.,0.,0.)); #40911=DIRECTION('',(0.,0.,-1.)); #40912=DIRECTION('center_axis',(0.,0.,1.)); #40913=DIRECTION('ref_axis',(1.,0.,0.)); #40914=DIRECTION('center_axis',(0.,0.,1.)); #40915=DIRECTION('ref_axis',(1.,0.,0.)); #40916=DIRECTION('center_axis',(0.,0.,-1.)); #40917=DIRECTION('ref_axis',(1.,0.,0.)); #40918=DIRECTION('',(0.,0.,-1.)); #40919=DIRECTION('center_axis',(0.,0.,1.)); #40920=DIRECTION('ref_axis',(1.,0.,0.)); #40921=DIRECTION('center_axis',(0.,0.,1.)); #40922=DIRECTION('ref_axis',(1.,0.,0.)); #40923=DIRECTION('center_axis',(0.,0.,-1.)); #40924=DIRECTION('ref_axis',(1.,0.,0.)); #40925=DIRECTION('',(0.,0.,-1.)); #40926=DIRECTION('center_axis',(0.,0.,1.)); #40927=DIRECTION('ref_axis',(1.,0.,0.)); #40928=DIRECTION('center_axis',(0.,0.,1.)); #40929=DIRECTION('ref_axis',(1.,0.,0.)); #40930=DIRECTION('center_axis',(0.,0.,-1.)); #40931=DIRECTION('ref_axis',(1.,0.,0.)); #40932=DIRECTION('',(0.,0.,-1.)); #40933=DIRECTION('center_axis',(0.,0.,1.)); #40934=DIRECTION('ref_axis',(1.,0.,0.)); #40935=DIRECTION('center_axis',(0.,0.,1.)); #40936=DIRECTION('ref_axis',(1.,0.,0.)); #40937=DIRECTION('center_axis',(0.,0.,-1.)); #40938=DIRECTION('ref_axis',(1.,0.,0.)); #40939=DIRECTION('',(0.,0.,-1.)); #40940=DIRECTION('center_axis',(0.,0.,1.)); #40941=DIRECTION('ref_axis',(1.,0.,0.)); #40942=DIRECTION('center_axis',(0.,0.,1.)); #40943=DIRECTION('ref_axis',(1.,0.,0.)); #40944=DIRECTION('center_axis',(0.,0.,-1.)); #40945=DIRECTION('ref_axis',(1.,0.,0.)); #40946=DIRECTION('',(0.,0.,-1.)); #40947=DIRECTION('center_axis',(0.,0.,1.)); #40948=DIRECTION('ref_axis',(1.,0.,0.)); #40949=DIRECTION('center_axis',(0.,0.,1.)); #40950=DIRECTION('ref_axis',(1.,0.,0.)); #40951=DIRECTION('center_axis',(0.,0.,-1.)); #40952=DIRECTION('ref_axis',(1.,0.,0.)); #40953=DIRECTION('',(0.,0.,-1.)); #40954=DIRECTION('center_axis',(0.,0.,1.)); #40955=DIRECTION('ref_axis',(1.,0.,0.)); #40956=DIRECTION('center_axis',(0.,0.,1.)); #40957=DIRECTION('ref_axis',(1.,0.,0.)); #40958=DIRECTION('center_axis',(0.,0.,-1.)); #40959=DIRECTION('ref_axis',(1.,0.,0.)); #40960=DIRECTION('',(0.,0.,-1.)); #40961=DIRECTION('center_axis',(0.,0.,1.)); #40962=DIRECTION('ref_axis',(1.,0.,0.)); #40963=DIRECTION('center_axis',(0.,0.,1.)); #40964=DIRECTION('ref_axis',(1.,0.,0.)); #40965=DIRECTION('center_axis',(0.,0.,-1.)); #40966=DIRECTION('ref_axis',(1.,0.,0.)); #40967=DIRECTION('',(0.,0.,-1.)); #40968=DIRECTION('center_axis',(0.,0.,1.)); #40969=DIRECTION('ref_axis',(1.,0.,0.)); #40970=DIRECTION('center_axis',(0.,0.,1.)); #40971=DIRECTION('ref_axis',(1.,0.,0.)); #40972=DIRECTION('center_axis',(0.,0.,-1.)); #40973=DIRECTION('ref_axis',(1.,0.,0.)); #40974=DIRECTION('',(0.,0.,-1.)); #40975=DIRECTION('center_axis',(0.,0.,1.)); #40976=DIRECTION('ref_axis',(1.,0.,0.)); #40977=DIRECTION('center_axis',(0.,0.,1.)); #40978=DIRECTION('ref_axis',(1.,0.,0.)); #40979=DIRECTION('center_axis',(0.,0.,-1.)); #40980=DIRECTION('ref_axis',(1.,0.,0.)); #40981=DIRECTION('',(0.,0.,-1.)); #40982=DIRECTION('center_axis',(0.,0.,1.)); #40983=DIRECTION('ref_axis',(1.,0.,0.)); #40984=DIRECTION('center_axis',(0.,0.,1.)); #40985=DIRECTION('ref_axis',(1.,0.,0.)); #40986=DIRECTION('center_axis',(0.,0.,-1.)); #40987=DIRECTION('ref_axis',(1.,0.,0.)); #40988=DIRECTION('',(0.,0.,-1.)); #40989=DIRECTION('center_axis',(0.,0.,1.)); #40990=DIRECTION('ref_axis',(1.,0.,0.)); #40991=DIRECTION('center_axis',(0.,0.,1.)); #40992=DIRECTION('ref_axis',(1.,0.,0.)); #40993=DIRECTION('center_axis',(0.,0.,-1.)); #40994=DIRECTION('ref_axis',(1.,0.,0.)); #40995=DIRECTION('',(0.,0.,-1.)); #40996=DIRECTION('center_axis',(0.,0.,1.)); #40997=DIRECTION('ref_axis',(1.,0.,0.)); #40998=DIRECTION('center_axis',(0.,0.,1.)); #40999=DIRECTION('ref_axis',(1.,0.,0.)); #41000=DIRECTION('center_axis',(0.,0.,-1.)); #41001=DIRECTION('ref_axis',(1.,0.,0.)); #41002=DIRECTION('',(0.,0.,-1.)); #41003=DIRECTION('center_axis',(0.,0.,1.)); #41004=DIRECTION('ref_axis',(1.,0.,0.)); #41005=DIRECTION('center_axis',(0.,0.,1.)); #41006=DIRECTION('ref_axis',(1.,0.,0.)); #41007=DIRECTION('center_axis',(0.,0.,-1.)); #41008=DIRECTION('ref_axis',(1.,0.,0.)); #41009=DIRECTION('',(0.,0.,-1.)); #41010=DIRECTION('center_axis',(0.,0.,1.)); #41011=DIRECTION('ref_axis',(1.,0.,0.)); #41012=DIRECTION('center_axis',(0.,0.,1.)); #41013=DIRECTION('ref_axis',(1.,0.,0.)); #41014=DIRECTION('center_axis',(0.,0.,-1.)); #41015=DIRECTION('ref_axis',(1.,0.,0.)); #41016=DIRECTION('',(0.,0.,-1.)); #41017=DIRECTION('center_axis',(0.,0.,1.)); #41018=DIRECTION('ref_axis',(1.,0.,0.)); #41019=DIRECTION('center_axis',(0.,0.,1.)); #41020=DIRECTION('ref_axis',(1.,0.,0.)); #41021=DIRECTION('center_axis',(0.,0.,-1.)); #41022=DIRECTION('ref_axis',(1.,0.,0.)); #41023=DIRECTION('',(0.,0.,-1.)); #41024=DIRECTION('center_axis',(0.,0.,1.)); #41025=DIRECTION('ref_axis',(1.,0.,0.)); #41026=DIRECTION('center_axis',(0.,0.,1.)); #41027=DIRECTION('ref_axis',(1.,0.,0.)); #41028=DIRECTION('center_axis',(0.,0.,-1.)); #41029=DIRECTION('ref_axis',(1.,0.,0.)); #41030=DIRECTION('',(0.,0.,-1.)); #41031=DIRECTION('center_axis',(0.,0.,1.)); #41032=DIRECTION('ref_axis',(1.,0.,0.)); #41033=DIRECTION('center_axis',(0.,0.,1.)); #41034=DIRECTION('ref_axis',(1.,0.,0.)); #41035=DIRECTION('center_axis',(0.,0.,-1.)); #41036=DIRECTION('ref_axis',(1.,0.,0.)); #41037=DIRECTION('',(0.,0.,-1.)); #41038=DIRECTION('center_axis',(0.,0.,1.)); #41039=DIRECTION('ref_axis',(1.,0.,0.)); #41040=DIRECTION('center_axis',(0.,0.,1.)); #41041=DIRECTION('ref_axis',(1.,0.,0.)); #41042=DIRECTION('center_axis',(0.,0.,-1.)); #41043=DIRECTION('ref_axis',(1.,0.,0.)); #41044=DIRECTION('',(0.,0.,-1.)); #41045=DIRECTION('center_axis',(0.,0.,1.)); #41046=DIRECTION('ref_axis',(1.,0.,0.)); #41047=DIRECTION('center_axis',(0.,0.,1.)); #41048=DIRECTION('ref_axis',(1.,0.,0.)); #41049=DIRECTION('center_axis',(0.,0.,-1.)); #41050=DIRECTION('ref_axis',(1.,0.,0.)); #41051=DIRECTION('',(0.,0.,-1.)); #41052=DIRECTION('center_axis',(0.,0.,1.)); #41053=DIRECTION('ref_axis',(1.,0.,0.)); #41054=DIRECTION('center_axis',(0.,0.,1.)); #41055=DIRECTION('ref_axis',(1.,0.,0.)); #41056=DIRECTION('center_axis',(0.,0.,-1.)); #41057=DIRECTION('ref_axis',(1.,0.,0.)); #41058=DIRECTION('',(0.,0.,-1.)); #41059=DIRECTION('center_axis',(0.,0.,1.)); #41060=DIRECTION('ref_axis',(1.,0.,0.)); #41061=DIRECTION('center_axis',(0.,0.,1.)); #41062=DIRECTION('ref_axis',(1.,0.,0.)); #41063=DIRECTION('center_axis',(0.,0.,-1.)); #41064=DIRECTION('ref_axis',(1.,0.,0.)); #41065=DIRECTION('',(0.,0.,-1.)); #41066=DIRECTION('center_axis',(0.,0.,1.)); #41067=DIRECTION('ref_axis',(1.,0.,0.)); #41068=DIRECTION('center_axis',(0.,0.,1.)); #41069=DIRECTION('ref_axis',(1.,0.,0.)); #41070=DIRECTION('center_axis',(0.,0.,-1.)); #41071=DIRECTION('ref_axis',(1.,0.,0.)); #41072=DIRECTION('',(0.,0.,-1.)); #41073=DIRECTION('center_axis',(0.,0.,1.)); #41074=DIRECTION('ref_axis',(1.,0.,0.)); #41075=DIRECTION('center_axis',(0.,0.,1.)); #41076=DIRECTION('ref_axis',(1.,0.,0.)); #41077=DIRECTION('center_axis',(0.,0.,-1.)); #41078=DIRECTION('ref_axis',(1.,0.,0.)); #41079=DIRECTION('',(0.,0.,-1.)); #41080=DIRECTION('center_axis',(0.,0.,1.)); #41081=DIRECTION('ref_axis',(1.,0.,0.)); #41082=DIRECTION('center_axis',(0.,0.,1.)); #41083=DIRECTION('ref_axis',(1.,0.,0.)); #41084=DIRECTION('center_axis',(0.,0.,-1.)); #41085=DIRECTION('ref_axis',(1.,0.,0.)); #41086=DIRECTION('',(0.,0.,-1.)); #41087=DIRECTION('center_axis',(0.,0.,1.)); #41088=DIRECTION('ref_axis',(1.,0.,0.)); #41089=DIRECTION('center_axis',(0.,0.,1.)); #41090=DIRECTION('ref_axis',(1.,0.,0.)); #41091=DIRECTION('center_axis',(0.,0.,-1.)); #41092=DIRECTION('ref_axis',(1.,0.,0.)); #41093=DIRECTION('',(0.,0.,-1.)); #41094=DIRECTION('center_axis',(0.,0.,1.)); #41095=DIRECTION('ref_axis',(1.,0.,0.)); #41096=DIRECTION('center_axis',(0.,0.,1.)); #41097=DIRECTION('ref_axis',(1.,0.,0.)); #41098=DIRECTION('center_axis',(0.,0.,-1.)); #41099=DIRECTION('ref_axis',(1.,0.,0.)); #41100=DIRECTION('',(0.,0.,-1.)); #41101=DIRECTION('center_axis',(0.,0.,1.)); #41102=DIRECTION('ref_axis',(1.,0.,0.)); #41103=DIRECTION('center_axis',(0.,0.,1.)); #41104=DIRECTION('ref_axis',(1.,0.,0.)); #41105=DIRECTION('center_axis',(0.,0.,-1.)); #41106=DIRECTION('ref_axis',(1.,0.,0.)); #41107=DIRECTION('',(0.,0.,-1.)); #41108=DIRECTION('center_axis',(0.,0.,1.)); #41109=DIRECTION('ref_axis',(1.,0.,0.)); #41110=DIRECTION('center_axis',(0.,0.,1.)); #41111=DIRECTION('ref_axis',(1.,0.,0.)); #41112=DIRECTION('center_axis',(-0.951056516295169,0.3090169943749,0.)); #41113=DIRECTION('ref_axis',(0.,0.,-1.)); #41114=DIRECTION('center_axis',(0.,0.,1.)); #41115=DIRECTION('ref_axis',(-0.483660225543757,0.875255840441503,0.)); #41116=DIRECTION('center_axis',(0.244470387776178,0.969656758600983,0.)); #41117=DIRECTION('ref_axis',(0.969656758600983,-0.244470387776178,0.)); #41118=DIRECTION('center_axis',(0.,0.,-1.)); #41119=DIRECTION('ref_axis',(-0.483660225543757,0.875255840441503,0.)); #41120=DIRECTION('center_axis',(0.,0.,1.)); #41121=DIRECTION('ref_axis',(-1.,-1.6109676234517E-14,0.)); #41122=DIRECTION('center_axis',(-0.244470387776207,0.969656758600976,0.)); #41123=DIRECTION('ref_axis',(0.969656758600976,0.244470387776207,0.)); #41124=DIRECTION('center_axis',(0.,0.,-1.)); #41125=DIRECTION('ref_axis',(-1.,-1.53762290323962E-14,0.)); #41126=DIRECTION('center_axis',(0.,0.,1.)); #41127=DIRECTION('ref_axis',(-1.,-1.53762290323962E-14,0.)); #41128=DIRECTION('center_axis',(0.,0.,1.)); #41129=DIRECTION('ref_axis',(1.,0.,0.)); #41130=DIRECTION('center_axis',(-0.951056516295151,-0.309016994374954,0.)); #41131=DIRECTION('ref_axis',(-0.309016994374954,0.951056516295151,0.)); #41132=DIRECTION('center_axis',(0.,0.,-1.)); #41133=DIRECTION('ref_axis',(-0.48366022554372,-0.875255840441524,0.)); #41134=DIRECTION('center_axis',(0.,0.,1.)); #41135=DIRECTION('ref_axis',(-0.48366022554372,-0.875255840441524,0.)); #41136=DIRECTION('center_axis',(0.951056516295152,0.309016994374951,0.)); #41137=DIRECTION('ref_axis',(-0.218508012224425,0.672498511963955,-0.707106781186545)); #41138=DIRECTION('center_axis',(-0.951056516295152,-0.309016994374953,0.)); #41139=DIRECTION('ref_axis',(-0.309016994374953,0.951056516295152,0.)); #41140=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #41141=DIRECTION('',(0.951056516295152,0.309016994374951,0.)); #41142=DIRECTION('center_axis',(0.,0.,1.)); #41143=DIRECTION('ref_axis',(1.,0.,0.)); #41144=DIRECTION('center_axis',(0.185937314266848,-0.982561608838464,0.)); #41145=DIRECTION('ref_axis',(-0.982561608838464,-0.185937314266848,0.)); #41146=DIRECTION('center_axis',(0.,0.,-1.)); #41147=DIRECTION('ref_axis',(0.860367691602661,-0.509673851836944,0.)); #41148=DIRECTION('center_axis',(0.,0.,1.)); #41149=DIRECTION('ref_axis',(0.860367691602661,-0.509673851836944,0.)); #41150=DIRECTION('center_axis',(0.,0.,-1.)); #41151=DIRECTION('ref_axis',(-1.,0.,0.)); #41152=DIRECTION('center_axis',(-0.18593731426684,-0.982561608838466,0.)); #41153=DIRECTION('ref_axis',(-0.982561608838466,0.18593731426684,0.)); #41154=DIRECTION('center_axis',(0.,0.,1.)); #41155=DIRECTION('ref_axis',(-1.,-4.73264019744662E-15,0.)); #41156=DIRECTION('center_axis',(0.,0.,-1.)); #41157=DIRECTION('ref_axis',(-1.,-4.73264019744662E-15,0.)); #41158=DIRECTION('center_axis',(0.,0.,1.)); #41159=DIRECTION('ref_axis',(1.,0.,0.)); #41160=DIRECTION('center_axis',(0.951056516295173,-0.309016994374887,0.)); #41161=DIRECTION('ref_axis',(-0.309016994374887,-0.951056516295173,0.)); #41162=DIRECTION('center_axis',(0.,0.,-1.)); #41163=DIRECTION('ref_axis',(0.860367691602645,0.509673851836972,0.)); #41164=DIRECTION('center_axis',(0.,0.,1.)); #41165=DIRECTION('ref_axis',(0.860367691602645,0.509673851836972,0.)); #41166=DIRECTION('center_axis',(-0.95105651629517,0.309016994374899,0.)); #41167=DIRECTION('ref_axis',(-0.218508012224384,-0.672498511963964,-0.707106781186549)); #41168=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #41169=DIRECTION('',(-0.951056516295169,0.309016994374899,0.)); #41170=DIRECTION('center_axis',(0.309016994374951,-0.951056516295152,0.)); #41171=DIRECTION('ref_axis',(-0.951056516295152,-0.309016994374951,0.)); #41172=DIRECTION('',(0.,0.,-1.)); #41173=DIRECTION('',(-0.951056516295152,-0.309016994374951,0.)); #41174=DIRECTION('',(0.,0.,-1.)); #41175=DIRECTION('center_axis',(0.,0.,-1.)); #41176=DIRECTION('ref_axis',(-0.969656758600976,-0.244470387776207,0.)); #41177=DIRECTION('',(0.,0.,-1.)); #41178=DIRECTION('center_axis',(0.,0.,-1.)); #41179=DIRECTION('ref_axis',(-0.969656758600976,-0.244470387776207,0.)); #41180=DIRECTION('center_axis',(0.,0.,-1.)); #41181=DIRECTION('ref_axis',(-0.969656758600983,0.244470387776178,0.)); #41182=DIRECTION('',(0.,0.,-1.)); #41183=DIRECTION('center_axis',(0.,0.,-1.)); #41184=DIRECTION('ref_axis',(-0.969656758600983,0.244470387776178,0.)); #41185=DIRECTION('center_axis',(0.,0.,-1.)); #41186=DIRECTION('ref_axis',(0.309016994374899,0.951056516295169,0.)); #41187=DIRECTION('',(0.,0.,-1.)); #41188=DIRECTION('center_axis',(0.,0.,-1.)); #41189=DIRECTION('ref_axis',(0.309016994374899,0.951056516295169,0.)); #41190=DIRECTION('center_axis',(0.,0.,1.)); #41191=DIRECTION('ref_axis',(1.,0.,0.)); #41192=DIRECTION('center_axis',(0.,0.,-1.)); #41193=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #41194=DIRECTION('',(0.951056516295154,0.309016994374948,0.)); #41195=DIRECTION('center_axis',(0.,0.,1.)); #41196=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #41197=DIRECTION('',(-0.951056516295153,0.309016994374948,0.)); #41198=DIRECTION('center_axis',(0.309016994374899,0.95105651629517,0.)); #41199=DIRECTION('ref_axis',(0.951056516295169,-0.309016994374899,0.)); #41200=DIRECTION('',(0.,0.,-1.)); #41201=DIRECTION('',(0.951056516295169,-0.309016994374899,0.)); #41202=DIRECTION('center_axis',(0.,0.,-1.)); #41203=DIRECTION('ref_axis',(0.982561608838466,-0.185937314266839,0.)); #41204=DIRECTION('',(0.,0.,-1.)); #41205=DIRECTION('center_axis',(0.,0.,-1.)); #41206=DIRECTION('ref_axis',(0.982561608838466,-0.185937314266839,0.)); #41207=DIRECTION('center_axis',(0.,0.,-1.)); #41208=DIRECTION('ref_axis',(0.982561608838466,-0.185937314266839,0.)); #41209=DIRECTION('',(0.,0.,-1.)); #41210=DIRECTION('center_axis',(0.,0.,1.)); #41211=DIRECTION('ref_axis',(0.982561608838466,-0.185937314266839,0.)); #41212=DIRECTION('center_axis',(0.,0.,-1.)); #41213=DIRECTION('ref_axis',(0.309016994374951,-0.951056516295152,0.)); #41214=DIRECTION('center_axis',(0.,0.,-1.)); #41215=DIRECTION('ref_axis',(0.309016994374951,-0.951056516295152,0.)); #41216=DIRECTION('center_axis',(0.309016994374948,-0.951056516295154,0.)); #41217=DIRECTION('ref_axis',(0.951056516295154,0.309016994374948,0.)); #41218=DIRECTION('',(0.,0.,1.)); #41219=DIRECTION('',(0.951056516295154,0.309016994374948,0.)); #41220=DIRECTION('',(0.,0.,1.)); #41221=DIRECTION('center_axis',(0.,0.,1.)); #41222=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #41223=DIRECTION('center_axis',(0.,0.,1.)); #41224=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374947,0.)); #41225=DIRECTION('',(0.,0.,1.)); #41226=DIRECTION('center_axis',(0.309016994374948,0.951056516295154,0.)); #41227=DIRECTION('ref_axis',(-0.951056516295153,0.309016994374948,0.)); #41228=DIRECTION('',(-0.951056516295153,0.309016994374948,0.)); #41229=DIRECTION('',(0.,0.,1.)); #41230=DIRECTION('center_axis',(0.,0.,1.)); #41231=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #41232=DIRECTION('center_axis',(0.,0.,-1.)); #41233=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374947,0.)); #41234=DIRECTION('center_axis',(0.,0.,1.)); #41235=DIRECTION('ref_axis',(1.,0.,0.)); #41236=DIRECTION('axis',(0.,0.,1.)); #41237=DIRECTION('refdir',(1.,0.,0.)); #41238=DIRECTION('center_axis',(-1.,0.,0.)); #41239=DIRECTION('ref_axis',(0.,0.,1.)); #41240=DIRECTION('center_axis',(-1.,0.,0.)); #41241=DIRECTION('ref_axis',(0.,0.,1.)); #41242=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41243=DIRECTION('center_axis',(-1.,0.,0.)); #41244=DIRECTION('ref_axis',(0.,0.,1.)); #41245=DIRECTION('center_axis',(1.,0.,0.)); #41246=DIRECTION('ref_axis',(0.,0.,1.)); #41247=DIRECTION('',(1.,0.,0.)); #41248=DIRECTION('center_axis',(-1.,0.,0.)); #41249=DIRECTION('ref_axis',(0.,0.,1.)); #41250=DIRECTION('center_axis',(-1.,0.,0.)); #41251=DIRECTION('ref_axis',(0.,0.,1.)); #41252=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41253=DIRECTION('center_axis',(-1.,0.,0.)); #41254=DIRECTION('ref_axis',(0.,0.,1.)); #41255=DIRECTION('center_axis',(1.,0.,0.)); #41256=DIRECTION('ref_axis',(0.,0.,1.)); #41257=DIRECTION('',(1.,0.,0.)); #41258=DIRECTION('center_axis',(-1.,0.,0.)); #41259=DIRECTION('ref_axis',(0.,0.,1.)); #41260=DIRECTION('center_axis',(-1.,0.,0.)); #41261=DIRECTION('ref_axis',(0.,0.,1.)); #41262=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41263=DIRECTION('center_axis',(-1.,0.,0.)); #41264=DIRECTION('ref_axis',(0.,0.,1.)); #41265=DIRECTION('center_axis',(1.,0.,0.)); #41266=DIRECTION('ref_axis',(0.,0.,1.)); #41267=DIRECTION('',(1.,0.,0.)); #41268=DIRECTION('center_axis',(-1.,0.,0.)); #41269=DIRECTION('ref_axis',(0.,0.,1.)); #41270=DIRECTION('center_axis',(-1.,0.,0.)); #41271=DIRECTION('ref_axis',(0.,0.,1.)); #41272=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41273=DIRECTION('center_axis',(-1.,0.,0.)); #41274=DIRECTION('ref_axis',(0.,0.,1.)); #41275=DIRECTION('center_axis',(1.,0.,0.)); #41276=DIRECTION('ref_axis',(0.,0.,1.)); #41277=DIRECTION('',(1.,0.,0.)); #41278=DIRECTION('center_axis',(-1.,0.,0.)); #41279=DIRECTION('ref_axis',(0.,0.,1.)); #41280=DIRECTION('center_axis',(-1.,0.,0.)); #41281=DIRECTION('ref_axis',(0.,0.,1.)); #41282=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41283=DIRECTION('center_axis',(-1.,0.,0.)); #41284=DIRECTION('ref_axis',(0.,0.,1.)); #41285=DIRECTION('center_axis',(1.,0.,0.)); #41286=DIRECTION('ref_axis',(0.,0.,1.)); #41287=DIRECTION('',(1.,0.,0.)); #41288=DIRECTION('center_axis',(-1.,0.,0.)); #41289=DIRECTION('ref_axis',(0.,0.,1.)); #41290=DIRECTION('center_axis',(-1.,0.,0.)); #41291=DIRECTION('ref_axis',(0.,0.,1.)); #41292=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41293=DIRECTION('center_axis',(-1.,0.,0.)); #41294=DIRECTION('ref_axis',(0.,0.,1.)); #41295=DIRECTION('center_axis',(1.,0.,0.)); #41296=DIRECTION('ref_axis',(0.,0.,1.)); #41297=DIRECTION('',(1.,0.,0.)); #41298=DIRECTION('center_axis',(-1.,0.,0.)); #41299=DIRECTION('ref_axis',(0.,0.,1.)); #41300=DIRECTION('center_axis',(-1.,0.,0.)); #41301=DIRECTION('ref_axis',(0.,0.,1.)); #41302=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41303=DIRECTION('center_axis',(-1.,0.,0.)); #41304=DIRECTION('ref_axis',(0.,0.,1.)); #41305=DIRECTION('center_axis',(1.,0.,0.)); #41306=DIRECTION('ref_axis',(0.,0.,1.)); #41307=DIRECTION('',(1.,0.,0.)); #41308=DIRECTION('center_axis',(-1.,0.,0.)); #41309=DIRECTION('ref_axis',(0.,0.,1.)); #41310=DIRECTION('center_axis',(-1.,0.,0.)); #41311=DIRECTION('ref_axis',(0.,0.,1.)); #41312=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41313=DIRECTION('center_axis',(-1.,0.,0.)); #41314=DIRECTION('ref_axis',(0.,0.,1.)); #41315=DIRECTION('center_axis',(1.,0.,0.)); #41316=DIRECTION('ref_axis',(0.,0.,1.)); #41317=DIRECTION('',(1.,0.,0.)); #41318=DIRECTION('center_axis',(-1.,0.,0.)); #41319=DIRECTION('ref_axis',(0.,0.,1.)); #41320=DIRECTION('center_axis',(-1.,0.,0.)); #41321=DIRECTION('ref_axis',(0.,0.,1.)); #41322=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41323=DIRECTION('center_axis',(-1.,0.,0.)); #41324=DIRECTION('ref_axis',(0.,0.,1.)); #41325=DIRECTION('center_axis',(1.,0.,0.)); #41326=DIRECTION('ref_axis',(0.,0.,1.)); #41327=DIRECTION('',(1.,0.,0.)); #41328=DIRECTION('center_axis',(-1.,0.,0.)); #41329=DIRECTION('ref_axis',(0.,0.,1.)); #41330=DIRECTION('center_axis',(-1.,0.,0.)); #41331=DIRECTION('ref_axis',(0.,0.,1.)); #41332=DIRECTION('',(0.515038074910054,1.04972719113862E-16,0.857167300702112)); #41333=DIRECTION('center_axis',(-1.,0.,0.)); #41334=DIRECTION('ref_axis',(0.,0.,1.)); #41335=DIRECTION('center_axis',(1.,0.,0.)); #41336=DIRECTION('ref_axis',(0.,0.,1.)); #41337=DIRECTION('',(1.,0.,0.)); #41338=DIRECTION('center_axis',(0.,1.,0.)); #41339=DIRECTION('ref_axis',(1.,0.,0.)); #41340=DIRECTION('center_axis',(0.,1.,0.)); #41341=DIRECTION('ref_axis',(1.,0.,0.)); #41342=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #41343=DIRECTION('center_axis',(0.,1.,0.)); #41344=DIRECTION('ref_axis',(1.,0.,0.)); #41345=DIRECTION('center_axis',(0.,-1.,0.)); #41346=DIRECTION('ref_axis',(1.,0.,0.)); #41347=DIRECTION('',(0.,-1.,0.)); #41348=DIRECTION('center_axis',(0.,1.,0.)); #41349=DIRECTION('ref_axis',(1.,0.,0.)); #41350=DIRECTION('center_axis',(0.,1.,0.)); #41351=DIRECTION('ref_axis',(1.,0.,0.)); #41352=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #41353=DIRECTION('center_axis',(0.,1.,0.)); #41354=DIRECTION('ref_axis',(1.,0.,0.)); #41355=DIRECTION('center_axis',(0.,-1.,0.)); #41356=DIRECTION('ref_axis',(1.,0.,0.)); #41357=DIRECTION('',(0.,-1.,0.)); #41358=DIRECTION('center_axis',(0.,1.,0.)); #41359=DIRECTION('ref_axis',(1.,0.,0.)); #41360=DIRECTION('center_axis',(0.,1.,0.)); #41361=DIRECTION('ref_axis',(1.,0.,0.)); #41362=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #41363=DIRECTION('center_axis',(0.,1.,0.)); #41364=DIRECTION('ref_axis',(1.,0.,0.)); #41365=DIRECTION('center_axis',(0.,-1.,0.)); #41366=DIRECTION('ref_axis',(1.,0.,0.)); #41367=DIRECTION('',(0.,-1.,0.)); #41368=DIRECTION('center_axis',(0.,1.,0.)); #41369=DIRECTION('ref_axis',(1.,0.,0.)); #41370=DIRECTION('center_axis',(0.,1.,0.)); #41371=DIRECTION('ref_axis',(1.,0.,0.)); #41372=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #41373=DIRECTION('center_axis',(0.,1.,0.)); #41374=DIRECTION('ref_axis',(1.,0.,0.)); #41375=DIRECTION('center_axis',(0.,-1.,0.)); #41376=DIRECTION('ref_axis',(1.,0.,0.)); #41377=DIRECTION('',(0.,-1.,0.)); #41378=DIRECTION('center_axis',(0.,1.,0.)); #41379=DIRECTION('ref_axis',(1.,0.,0.)); #41380=DIRECTION('center_axis',(0.,1.,0.)); #41381=DIRECTION('ref_axis',(1.,0.,0.)); #41382=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #41383=DIRECTION('center_axis',(0.,1.,0.)); #41384=DIRECTION('ref_axis',(1.,0.,0.)); #41385=DIRECTION('center_axis',(0.,-1.,0.)); #41386=DIRECTION('ref_axis',(1.,0.,0.)); #41387=DIRECTION('',(0.,-1.,0.)); #41388=DIRECTION('center_axis',(0.,1.,0.)); #41389=DIRECTION('ref_axis',(1.,0.,0.)); #41390=DIRECTION('center_axis',(0.,1.,0.)); #41391=DIRECTION('ref_axis',(1.,0.,0.)); #41392=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #41393=DIRECTION('center_axis',(0.,1.,0.)); #41394=DIRECTION('ref_axis',(1.,0.,0.)); #41395=DIRECTION('center_axis',(0.,-1.,0.)); #41396=DIRECTION('ref_axis',(1.,0.,0.)); #41397=DIRECTION('',(0.,-1.,0.)); #41398=DIRECTION('center_axis',(0.,1.,0.)); #41399=DIRECTION('ref_axis',(1.,0.,0.)); #41400=DIRECTION('center_axis',(0.,1.,0.)); #41401=DIRECTION('ref_axis',(1.,0.,0.)); #41402=DIRECTION('',(0.857167300702112,-0.515038074910054,-1.04972719113862E-16)); #41403=DIRECTION('center_axis',(0.,1.,0.)); #41404=DIRECTION('ref_axis',(1.,0.,0.)); #41405=DIRECTION('center_axis',(0.,-1.,0.)); #41406=DIRECTION('ref_axis',(1.,0.,0.)); #41407=DIRECTION('',(0.,-1.,0.)); #41408=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41409=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41410=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41411=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41412=DIRECTION('',(0.99031889482311,-0.138810974192727,1.04972719113862E-16)); #41413=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41414=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41415=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #41416=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41417=DIRECTION('',(0.629036165193357,0.777376036985213,0.)); #41418=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41419=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41420=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41421=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41422=DIRECTION('',(0.99031889482311,-0.138810974192727,1.04972719113862E-16)); #41423=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41424=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41425=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #41426=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41427=DIRECTION('',(0.629036165193357,0.777376036985213,0.)); #41428=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41429=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41430=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41431=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41432=DIRECTION('',(0.99031889482311,-0.138810974192727,1.04972719113862E-16)); #41433=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41434=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41435=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #41436=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41437=DIRECTION('',(0.629036165193357,0.777376036985213,0.)); #41438=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41439=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41440=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41441=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41442=DIRECTION('',(0.99031889482311,-0.138810974192727,1.04972719113862E-16)); #41443=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41444=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41445=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #41446=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41447=DIRECTION('',(0.629036165193357,0.777376036985213,0.)); #41448=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41449=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41450=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41451=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41452=DIRECTION('',(0.99031889482311,-0.138810974192727,1.04972719113862E-16)); #41453=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41454=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41455=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #41456=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41457=DIRECTION('',(0.629036165193357,0.777376036985213,0.)); #41458=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41459=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41460=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41461=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41462=DIRECTION('',(0.99031889482311,-0.138810974192727,1.04972719113862E-16)); #41463=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41464=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41465=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #41466=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41467=DIRECTION('',(0.629036165193357,0.777376036985213,0.)); #41468=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41469=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41470=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41471=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41472=DIRECTION('',(0.99031889482311,-0.138810974192727,1.04972719113862E-16)); #41473=DIRECTION('center_axis',(-0.629036165193357,-0.777376036985213,0.)); #41474=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41475=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #41476=DIRECTION('ref_axis',(0.777376036985213,-0.629036165193357,0.)); #41477=DIRECTION('',(0.629036165193357,0.777376036985213,0.)); #41478=DIRECTION('center_axis',(-1.,0.,0.)); #41479=DIRECTION('ref_axis',(0.,0.,1.)); #41480=DIRECTION('center_axis',(1.,0.,0.)); #41481=DIRECTION('ref_axis',(0.,0.,1.)); #41482=DIRECTION('',(1.,0.,0.)); #41483=DIRECTION('center_axis',(-1.,0.,0.)); #41484=DIRECTION('ref_axis',(0.,0.,1.)); #41485=DIRECTION('center_axis',(-1.,0.,0.)); #41486=DIRECTION('ref_axis',(0.,0.,1.)); #41487=DIRECTION('center_axis',(1.,0.,0.)); #41488=DIRECTION('ref_axis',(0.,0.,1.)); #41489=DIRECTION('',(1.,0.,0.)); #41490=DIRECTION('center_axis',(-1.,0.,0.)); #41491=DIRECTION('ref_axis',(0.,0.,1.)); #41492=DIRECTION('center_axis',(-1.,0.,0.)); #41493=DIRECTION('ref_axis',(0.,0.,1.)); #41494=DIRECTION('center_axis',(1.,0.,0.)); #41495=DIRECTION('ref_axis',(0.,0.,1.)); #41496=DIRECTION('',(1.,0.,0.)); #41497=DIRECTION('center_axis',(-1.,0.,0.)); #41498=DIRECTION('ref_axis',(0.,0.,1.)); #41499=DIRECTION('center_axis',(-1.,0.,0.)); #41500=DIRECTION('ref_axis',(0.,0.,1.)); #41501=DIRECTION('center_axis',(1.,0.,0.)); #41502=DIRECTION('ref_axis',(0.,0.,1.)); #41503=DIRECTION('',(1.,0.,0.)); #41504=DIRECTION('center_axis',(-1.,0.,0.)); #41505=DIRECTION('ref_axis',(0.,0.,1.)); #41506=DIRECTION('center_axis',(-1.,0.,0.)); #41507=DIRECTION('ref_axis',(0.,0.,1.)); #41508=DIRECTION('center_axis',(1.,0.,0.)); #41509=DIRECTION('ref_axis',(0.,0.,1.)); #41510=DIRECTION('',(1.,0.,0.)); #41511=DIRECTION('center_axis',(-1.,0.,0.)); #41512=DIRECTION('ref_axis',(0.,0.,1.)); #41513=DIRECTION('center_axis',(-1.,0.,0.)); #41514=DIRECTION('ref_axis',(0.,0.,1.)); #41515=DIRECTION('center_axis',(1.,0.,0.)); #41516=DIRECTION('ref_axis',(0.,0.,1.)); #41517=DIRECTION('',(1.,0.,0.)); #41518=DIRECTION('center_axis',(-1.,0.,0.)); #41519=DIRECTION('ref_axis',(0.,0.,1.)); #41520=DIRECTION('center_axis',(-1.,0.,0.)); #41521=DIRECTION('ref_axis',(0.,0.,1.)); #41522=DIRECTION('center_axis',(1.,0.,0.)); #41523=DIRECTION('ref_axis',(0.,0.,1.)); #41524=DIRECTION('',(1.,0.,0.)); #41525=DIRECTION('center_axis',(-1.,0.,0.)); #41526=DIRECTION('ref_axis',(0.,0.,1.)); #41527=DIRECTION('center_axis',(-1.,0.,0.)); #41528=DIRECTION('ref_axis',(0.,0.,1.)); #41529=DIRECTION('center_axis',(1.,0.,0.)); #41530=DIRECTION('ref_axis',(0.,0.,1.)); #41531=DIRECTION('',(1.,0.,0.)); #41532=DIRECTION('center_axis',(-1.,0.,0.)); #41533=DIRECTION('ref_axis',(0.,0.,1.)); #41534=DIRECTION('center_axis',(-1.,0.,0.)); #41535=DIRECTION('ref_axis',(0.,0.,1.)); #41536=DIRECTION('center_axis',(1.,0.,0.)); #41537=DIRECTION('ref_axis',(0.,0.,1.)); #41538=DIRECTION('',(1.,0.,0.)); #41539=DIRECTION('center_axis',(-1.,0.,0.)); #41540=DIRECTION('ref_axis',(0.,0.,1.)); #41541=DIRECTION('center_axis',(-1.,0.,0.)); #41542=DIRECTION('ref_axis',(0.,0.,1.)); #41543=DIRECTION('center_axis',(1.,0.,0.)); #41544=DIRECTION('ref_axis',(0.,0.,1.)); #41545=DIRECTION('',(1.,0.,0.)); #41546=DIRECTION('center_axis',(-1.,0.,0.)); #41547=DIRECTION('ref_axis',(0.,0.,1.)); #41548=DIRECTION('center_axis',(-1.,0.,0.)); #41549=DIRECTION('ref_axis',(0.,0.,1.)); #41550=DIRECTION('center_axis',(1.,0.,0.)); #41551=DIRECTION('ref_axis',(0.,0.,1.)); #41552=DIRECTION('',(1.,0.,0.)); #41553=DIRECTION('center_axis',(-1.,0.,0.)); #41554=DIRECTION('ref_axis',(0.,0.,1.)); #41555=DIRECTION('center_axis',(-1.,0.,0.)); #41556=DIRECTION('ref_axis',(0.,0.,1.)); #41557=DIRECTION('center_axis',(1.,0.,0.)); #41558=DIRECTION('ref_axis',(0.,0.,1.)); #41559=DIRECTION('',(1.,0.,0.)); #41560=DIRECTION('center_axis',(-1.,0.,0.)); #41561=DIRECTION('ref_axis',(0.,0.,1.)); #41562=DIRECTION('center_axis',(-1.,0.,0.)); #41563=DIRECTION('ref_axis',(0.,0.,1.)); #41564=DIRECTION('center_axis',(1.,0.,0.)); #41565=DIRECTION('ref_axis',(0.,0.,1.)); #41566=DIRECTION('',(1.,0.,0.)); #41567=DIRECTION('center_axis',(-1.,0.,0.)); #41568=DIRECTION('ref_axis',(0.,0.,1.)); #41569=DIRECTION('center_axis',(-1.,0.,0.)); #41570=DIRECTION('ref_axis',(0.,0.,1.)); #41571=DIRECTION('center_axis',(1.,0.,0.)); #41572=DIRECTION('ref_axis',(0.,0.,1.)); #41573=DIRECTION('',(1.,0.,0.)); #41574=DIRECTION('center_axis',(-1.,0.,0.)); #41575=DIRECTION('ref_axis',(0.,0.,1.)); #41576=DIRECTION('center_axis',(-1.,0.,0.)); #41577=DIRECTION('ref_axis',(0.,0.,1.)); #41578=DIRECTION('center_axis',(1.,0.,0.)); #41579=DIRECTION('ref_axis',(0.,0.,1.)); #41580=DIRECTION('',(1.,0.,0.)); #41581=DIRECTION('center_axis',(-1.,0.,0.)); #41582=DIRECTION('ref_axis',(0.,0.,1.)); #41583=DIRECTION('center_axis',(-1.,0.,0.)); #41584=DIRECTION('ref_axis',(0.,0.,1.)); #41585=DIRECTION('center_axis',(1.,0.,0.)); #41586=DIRECTION('ref_axis',(0.,0.,1.)); #41587=DIRECTION('',(1.,0.,0.)); #41588=DIRECTION('center_axis',(-1.,0.,0.)); #41589=DIRECTION('ref_axis',(0.,0.,1.)); #41590=DIRECTION('center_axis',(-1.,0.,0.)); #41591=DIRECTION('ref_axis',(0.,0.,1.)); #41592=DIRECTION('center_axis',(1.,0.,0.)); #41593=DIRECTION('ref_axis',(0.,0.,1.)); #41594=DIRECTION('',(1.,0.,0.)); #41595=DIRECTION('center_axis',(-1.,0.,0.)); #41596=DIRECTION('ref_axis',(0.,0.,1.)); #41597=DIRECTION('center_axis',(-1.,0.,0.)); #41598=DIRECTION('ref_axis',(0.,0.,1.)); #41599=DIRECTION('center_axis',(1.,0.,0.)); #41600=DIRECTION('ref_axis',(0.,0.,1.)); #41601=DIRECTION('',(1.,0.,0.)); #41602=DIRECTION('center_axis',(-1.,0.,0.)); #41603=DIRECTION('ref_axis',(0.,0.,1.)); #41604=DIRECTION('center_axis',(0.,1.,0.)); #41605=DIRECTION('ref_axis',(0.,0.,1.)); #41606=DIRECTION('',(-0.994521895368273,0.,-0.104528463267654)); #41607=DIRECTION('',(0.,0.,-1.)); #41608=DIRECTION('',(0.994521895368273,0.,-0.104528463267654)); #41609=DIRECTION('',(0.,0.,-1.)); #41610=DIRECTION('center_axis',(1.,0.,0.)); #41611=DIRECTION('ref_axis',(0.,1.,0.)); #41612=DIRECTION('',(0.,1.,0.)); #41613=DIRECTION('',(0.,-1.,0.)); #41614=DIRECTION('',(0.,0.,-1.)); #41615=DIRECTION('center_axis',(-0.104528463267653,0.,-0.994521895368273)); #41616=DIRECTION('ref_axis',(-0.994521895368273,0.,0.104528463267653)); #41617=DIRECTION('',(0.,1.,1.11896493820487E-14)); #41618=DIRECTION('',(0.774794156911289,-0.62694696271808,-0.0814341473504755)); #41619=DIRECTION('center_axis',(0.629036165193357,0.777376036985213,0.)); #41620=DIRECTION('ref_axis',(-0.777376036985213,0.629036165193357,0.)); #41621=DIRECTION('',(-0.774794156911289,0.62694696271808,-0.0814341473504757)); #41622=DIRECTION('',(0.,0.,1.)); #41623=DIRECTION('center_axis',(-1.,0.,0.)); #41624=DIRECTION('ref_axis',(0.,-1.,0.)); #41625=DIRECTION('',(0.,-1.,0.)); #41626=DIRECTION('center_axis',(-0.104528463267654,0.,0.994521895368273)); #41627=DIRECTION('ref_axis',(0.994521895368273,0.,0.104528463267654)); #41628=DIRECTION('axis',(0.,0.,1.)); #41629=DIRECTION('refdir',(1.,0.,0.)); #41630=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41631=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41632=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41633=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41634=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41635=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41636=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41637=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41638=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41639=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41640=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41641=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41642=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41643=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41644=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41645=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41646=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41647=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41648=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41649=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41650=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41651=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41652=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41653=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41654=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41655=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41656=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41657=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41658=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41659=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41660=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41661=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41662=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41663=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41664=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41665=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41666=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41667=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41668=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41669=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41670=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41671=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41672=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41673=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41674=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41675=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41676=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41677=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41678=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41679=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41680=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41681=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41682=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41683=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41684=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41685=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41686=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41687=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41688=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41689=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41690=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41691=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41692=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41693=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41694=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41695=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41696=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41697=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41698=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41699=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41700=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41701=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41702=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41703=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41704=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41705=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41706=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41707=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41708=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41709=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41710=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41711=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41712=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41713=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41714=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41715=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41716=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41717=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41718=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41719=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41720=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41721=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41722=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41723=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41724=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41725=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41726=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41727=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41728=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41729=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41730=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41731=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41732=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41733=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41734=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41735=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41736=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41737=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41738=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41739=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41740=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41741=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41742=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41743=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41744=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41745=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41746=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41747=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41748=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41749=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41750=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41751=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41752=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41753=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41754=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41755=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41756=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41757=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41758=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41759=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41760=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41761=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41762=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41763=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41764=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41765=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41766=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41767=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41768=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41769=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41770=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41771=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41772=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41773=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41774=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41775=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41776=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41777=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41778=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41779=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41780=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41781=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41782=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41783=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41784=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41785=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41786=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41787=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41788=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41789=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41790=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41791=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41792=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41793=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41794=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41795=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41796=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41797=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41798=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41799=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41800=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41801=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41802=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41803=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41804=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41805=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41806=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41807=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41808=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41809=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41810=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41811=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41812=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41813=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41814=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41815=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41816=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41817=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41818=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41819=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41820=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41821=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41822=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41823=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41824=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41825=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41826=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41827=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41828=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41829=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41830=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41831=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41832=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41833=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41834=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41835=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41836=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41837=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41838=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41839=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41840=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41841=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41842=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41843=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41844=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41845=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41846=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41847=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41848=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41849=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41850=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41851=DIRECTION('',(0.629036165193352,0.777376036985218,0.)); #41852=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41853=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41854=DIRECTION('center_axis',(0.777376036985219,-0.62903616519335,0.)); #41855=DIRECTION('ref_axis',(-0.62903616519335,-0.777376036985219,0.)); #41856=DIRECTION('',(-0.627665862959045,-0.775682588850883,-0.0659703405286815)); #41857=DIRECTION('',(0.,0.,1.)); #41858=DIRECTION('',(-0.627665862959045,-0.775682588850883,0.0659703405286815)); #41859=DIRECTION('',(0.,0.,1.)); #41860=DIRECTION('center_axis',(-0.777376036985215,0.629036165193355,0.)); #41861=DIRECTION('ref_axis',(-0.629036165193355,-0.777376036985215,0.)); #41862=DIRECTION('',(0.627665862959049,0.775682588850879,-0.065970340528682)); #41863=DIRECTION('',(0.,0.,1.)); #41864=DIRECTION('',(0.627665862959049,0.775682588850879,0.065970340528682)); #41865=DIRECTION('',(0.,0.,1.)); #41866=DIRECTION('center_axis',(-0.629036165193352,-0.777376036985218,0.)); #41867=DIRECTION('ref_axis',(-0.777376036985218,0.629036165193352,0.)); #41868=DIRECTION('',(-0.774794156911291,0.626946962718078,-0.0814341473504761)); #41869=DIRECTION('',(-0.774794156911294,0.626946962718073,0.0814341473504765)); #41870=DIRECTION('center_axis',(-0.104528463267654,0.,0.994521895368273)); #41871=DIRECTION('ref_axis',(0.994521895368273,0.,0.104528463267654)); #41872=DIRECTION('',(0.774794156911291,-0.626946962718077,0.0814341473504761)); #41873=DIRECTION('center_axis',(0.629036165193352,0.777376036985218,0.)); #41874=DIRECTION('ref_axis',(0.777376036985218,-0.629036165193352,0.)); #41875=DIRECTION('',(0.774794156911293,-0.626946962718075,-0.0814341473504764)); #41876=DIRECTION('center_axis',(0.104528463267654,0.,0.994521895368273)); #41877=DIRECTION('ref_axis',(0.994521895368273,0.,-0.104528463267654)); #41878=DIRECTION('',(0.999999989156532,-1.75325547743105E-5,0.000146217457252765)); #41879=DIRECTION('',(-1.75303076894506E-5,-0.999999999728235,-1.53693698878241E-5)); #41880=DIRECTION('',(1.,2.81313062478288E-9,1.53991743053684E-9)); #41881=DIRECTION('',(-2.8131306138096E-9,1.,-7.12588528026714E-9)); #41882=DIRECTION('',(0.309016967609384,-0.951056524991803,-1.27513322907017E-7)); #41883=DIRECTION('',(0.951056524991301,0.309016967609354,-9.95348503368686E-7)); #41884=DIRECTION('',(-0.309017021140505,-0.951056507598488,-1.27513322897774E-7)); #41885=DIRECTION('',(0.951056507598064,-0.309017021140234,-9.95348503438075E-7)); #41886=DIRECTION('',(0.809016977832914,-0.587785275060614,-1.27513322897774E-7)); #41887=DIRECTION('',(0.587785275060225,0.809016977832595,-9.95348503438075E-7)); #41888=DIRECTION('',(-0.809017010916967,-0.587785229524322,-1.27513322897774E-7)); #41889=DIRECTION('',(0.587785229524139,-0.809017010916498,-9.95348503438075E-7)); #41890=DIRECTION('',(3.60822483003176E-16,-6.90328441975416E-32,1.)); #41891=DIRECTION('',(1.,6.53853491193175E-16,-3.60822483003176E-16)); #41892=DIRECTION('',(1.06972138436135E-48,-1.36639723263471E-33,1.)); #41893=DIRECTION('',(1.,7.82877305963722E-16,0.)); #41894=DIRECTION('',(-1.74209423294448E-14,-0.999933703768284,-0.0115146892377655)); #41895=DIRECTION('',(3.84407360940713E-14,0.0115146892377655,-0.999933703768284)); #41896=DIRECTION('',(-1.47659662275144E-14,-1.,-1.90332121278358E-15)); #41897=DIRECTION('',(1.23296632390367E-13,1.90332121278176E-15,-1.)); #41898=DIRECTION('',(1.,-1.5613300763418E-14,9.93989781957692E-14)); #41899=DIRECTION('',(9.95721707243438E-14,0.0115146892376903,-0.999933703768285)); #41900=DIRECTION('',(-0.10452846326767,-0.994521895368272,1.05685722274977E-11)); #41901=DIRECTION('',(1.06409135968085E-13,-1.06379709287752E-11,-1.)); #41902=DIRECTION('',(0.104528463267642,-0.994521895368275,1.05697197454833E-11)); #41903=DIRECTION('',(2.31608310281479E-12,-1.03845105734164E-11,-1.)); #41904=DIRECTION('',(-0.971266829365841,0.206449137714113,0.1184039683065)); #41905=DIRECTION('',(0.207911690817726,0.978147600733813,5.33884932807966E-16)); #41906=DIRECTION('',(-0.992965508106537,-2.48246613358415E-14,0.118403968306499)); #41907=DIRECTION('',(-2.49453235845461E-14,1.,4.62952624093959E-16)); #41908=DIRECTION('',(-0.971266829365843,-0.206449137714097,0.118403968306507)); #41909=DIRECTION('',(-0.20791169081771,0.978147600733816,4.52353690137393E-16)); #41910=DIRECTION('',(-9.86036469230286E-7,-1.86307197876842E-7,-0.999999999999497)); #41911=DIRECTION('',(0.999999988889197,-0.000149065872883601,-9.86008686230049E-7)); #41912=DIRECTION('',(9.86036469230285E-7,1.86307197876843E-7,0.999999999999497)); #41913=DIRECTION('',(-0.999999988889197,0.000149065872924905,9.86008686230041E-7)); #41914=DIRECTION('',(-6.11923483120969E-32,-9.37925914381127E-32,1.)); #41915=DIRECTION('',(1.,9.25877782440618E-16,6.1192348312097E-32)); #41916=DIRECTION('',(-0.207911690817746,0.978147600733809,-7.85592742270636E-15)); #41917=DIRECTION('',(0.978147600733809,0.207911690817746,1.81344438125502E-14)); #41918=DIRECTION('',(1.36834987785049E-14,1.,-7.85592742270636E-15)); #41919=DIRECTION('',(1.,-1.36834987785047E-14,1.81344438125502E-14)); #41920=DIRECTION('',(0.207911690817773,0.978147600733803,-7.85592742270636E-15)); #41921=DIRECTION('',(0.978147600733803,-0.207911690817773,1.81344438125502E-14)); #41922=DIRECTION('',(-3.7387683493371E-16,1.,-8.97855244485977E-16)); #41923=DIRECTION('',(1.,3.7387683493371E-16,1.56125112837964E-17)); #41924=DIRECTION('',(0.207911690817759,0.978147600733806,-8.97855244485977E-16)); #41925=DIRECTION('',(0.978147600733806,-0.207911690817759,1.56125112837964E-17)); #41926=DIRECTION('',(-0.20791169081776,0.978147600733806,-8.97855244485977E-16)); #41927=DIRECTION('',(0.978147600733806,0.20791169081776,1.56125112837964E-17)); #41928=DIRECTION('',(2.91911520702135E-16,-2.12086134204818E-16,1.)); #41929=DIRECTION('',(0.809016994374948,-0.587785252292473,-3.60822483003176E-16)); #41930=DIRECTION('',(-8.0314709006511E-34,-1.10543892410041E-33,1.)); #41931=DIRECTION('',(0.809016994374948,-0.587785252292472,-1.05205081791994E-39)); #41932=DIRECTION('',(-0.587746284345202,-0.808963359596816,-0.0115146892377655)); #41933=DIRECTION('',(0.00676816451872049,0.00931557927827597,-0.999933703768284)); #41934=DIRECTION('',(-0.587785252292485,-0.809016994374939,-1.90332121278358E-15)); #41935=DIRECTION('',(1.00867815092256E-13,-7.09321229694895E-14,-1.)); #41936=DIRECTION('',(0.809016994374938,-0.587785252292486,9.93979204499951E-14)); #41937=DIRECTION('',(0.00676816451872577,0.00931557927817923,-0.999933703768285)); #41938=DIRECTION('',(-0.669130606358871,-0.743144825477383,1.05685722274977E-11)); #41939=DIRECTION('',(-6.1667556268952E-12,-8.66884498787702E-12,-1.)); #41940=DIRECTION('',(-0.50000000000001,-0.866025403784433,1.05697197454833E-11)); #41941=DIRECTION('',(-4.23011157676756E-12,-9.76260502307849E-12,-1.)); #41942=DIRECTION('',(-0.664423612532784,0.737917179226882,0.1184039683065)); #41943=DIRECTION('',(0.743144825477372,0.669130606358883,5.33884932807966E-16)); #41944=DIRECTION('',(-0.803325970886358,0.583650481700105,0.118403968306499)); #41945=DIRECTION('',(0.587785252292453,0.809016994374962,4.62952624093959E-16)); #41946=DIRECTION('',(-0.907119129526483,0.403875457457354,0.118403968306507)); #41947=DIRECTION('',(0.406736643075846,0.913545457642581,4.52353690137393E-16)); #41948=DIRECTION('',(-9.07228883988715E-7,4.28852005579361E-7,-0.999999999999497)); #41949=DIRECTION('',(0.808929366664418,-0.587905842586151,-9.86008686230049E-7)); #41950=DIRECTION('',(9.07228883988714E-7,-4.2885200557936E-7,0.999999999999496)); #41951=DIRECTION('',(-0.808929366664393,0.587905842586184,9.86008686230041E-7)); #41952=DIRECTION('',(-1.04635550679763E-31,-3.99118408707367E-32,1.)); #41953=DIRECTION('',(0.809016994374948,-0.587785252292472,6.11923472600462E-32)); #41954=DIRECTION('',(0.406736643075813,0.913545457642595,-7.85592742270636E-15)); #41955=DIRECTION('',(0.913545457642595,-0.406736643075813,1.81344438125502E-14)); #41956=DIRECTION('',(0.587785252292484,0.809016994374939,-7.85592742270637E-15)); #41957=DIRECTION('',(0.809016994374939,-0.587785252292484,1.81344438125502E-14)); #41958=DIRECTION('',(0.743144825477403,0.669130606358848,-7.85592742270636E-15)); #41959=DIRECTION('',(0.669130606358848,-0.743144825477403,1.81344438125502E-14)); #41960=DIRECTION('',(0.587785252292473,0.809016994374948,-8.97855244485977E-16)); #41961=DIRECTION('',(0.809016994374948,-0.587785252292473,1.56125112837964E-17)); #41962=DIRECTION('',(0.743144825477394,0.669130606358859,-8.97855244485977E-16)); #41963=DIRECTION('',(0.669130606358859,-0.743144825477394,1.56125112837964E-17)); #41964=DIRECTION('',(0.4067366430758,0.913545457642601,-8.97855244485977E-16)); #41965=DIRECTION('',(0.913545457642601,-0.4067366430758,1.56125112837964E-17)); #41966=DIRECTION('',(2.91911520702135E-16,2.12086134204818E-16,1.)); #41967=DIRECTION('',(0.809016994374947,0.587785252292474,-3.60822483003176E-16)); #41968=DIRECTION('',(8.03147090065112E-34,-1.10543892410041E-33,1.)); #41969=DIRECTION('',(0.809016994374947,0.587785252292474,1.05205081791994E-39)); #41970=DIRECTION('',(0.587746284345174,-0.808963359596836,-0.0115146892377655)); #41971=DIRECTION('',(-0.00676816451865829,0.00931557927832116,-0.999933703768284)); #41972=DIRECTION('',(0.587785252292461,-0.809016994374956,-1.90332121278357E-15)); #41973=DIRECTION('',(9.86303268137591E-14,7.40117613832791E-14,-1.)); #41974=DIRECTION('',(0.809016994374957,0.58778525229246,9.9399655173471E-14)); #41975=DIRECTION('',(-0.00676816451856466,0.00931557927829628,-0.999933703768285)); #41976=DIRECTION('',(0.499999999999985,-0.866025403784447,1.05685722274977E-11)); #41977=DIRECTION('',(6.33892922560507E-12,-8.54375354621457E-12,-1.)); #41978=DIRECTION('',(0.66913060635885,-0.743144825477402,1.05697197454833E-11)); #41979=DIRECTION('',(7.97761275789122E-12,-7.03988604124184E-12,-1.)); #41980=DIRECTION('',(-0.907119129526491,-0.40387545745734,0.1184039683065)); #41981=DIRECTION('',(-0.406736643075831,0.913545457642587,5.33884932807966E-16)); #41982=DIRECTION('',(-0.803325970886329,-0.583650481700145,0.118403968306499)); #41983=DIRECTION('',(-0.587785252292493,0.809016994374933,4.62952624093959E-16)); #41984=DIRECTION('',(-0.664423612532796,-0.737917179226871,0.118403968306507)); #41985=DIRECTION('',(-0.74314482547736,0.669130606358896,4.52353690137393E-16)); #41986=DIRECTION('',(-6.88211637372828E-7,-7.30303384092844E-7,-0.999999999999496)); #41987=DIRECTION('',(0.80910460410782,0.587664648937262,-9.86008686230049E-7)); #41988=DIRECTION('',(6.88211637372827E-7,7.30303384092845E-7,0.999999999999497)); #41989=DIRECTION('',(-0.809104604107844,-0.587664648937229,9.86008686230041E-7)); #41990=DIRECTION('',(5.62425125936758E-33,-1.11847760652726E-31,1.)); #41991=DIRECTION('',(0.809016994374947,0.587785252292474,6.11923493641478E-32)); #41992=DIRECTION('',(-0.743144825477385,0.669130606358868,-7.85592742270636E-15)); #41993=DIRECTION('',(0.669130606358868,0.743144825477385,1.81344438125502E-14)); #41994=DIRECTION('',(-0.587785252292462,0.809016994374956,-7.85592742270635E-15)); #41995=DIRECTION('',(0.809016994374956,0.587785252292462,1.81344438125502E-14)); #41996=DIRECTION('',(-0.406736643075788,0.913545457642607,-7.85592742270637E-15)); #41997=DIRECTION('',(0.913545457642607,0.406736643075788,1.81344438125502E-14)); #41998=DIRECTION('',(-0.587785252292473,0.809016994374947,-8.97855244485977E-16)); #41999=DIRECTION('',(0.809016994374947,0.587785252292473,1.56125112837964E-17)); #42000=DIRECTION('',(-0.406736643075801,0.913545457642601,-8.97855244485977E-16)); #42001=DIRECTION('',(0.913545457642601,0.406736643075801,1.56125112837964E-17)); #42002=DIRECTION('',(-0.743144825477394,0.669130606358858,-8.97855244485977E-16)); #42003=DIRECTION('',(0.669130606358858,0.743144825477394,1.56125112837964E-17)); #42004=DIRECTION('',(1.11500279200547E-16,-3.43162573685968E-16,1.)); #42005=DIRECTION('',(0.309016994374948,-0.951056516295153,-3.60822483003176E-16)); #42006=DIRECTION('',(-1.29951928969092E-33,-4.22241202710935E-34,1.)); #42007=DIRECTION('',(0.309016994374948,-0.951056516295153,-1.70225398128659E-39)); #42008=DIRECTION('',(-0.95099346483198,-0.308996507712668,-0.0115146892377655)); #42009=DIRECTION('',(0.0109511202327024,0.00355823465937928,-0.999933703768284)); #42010=DIRECTION('',(-0.951056516295158,-0.309016994374933,-1.90332121278358E-15)); #42011=DIRECTION('',(3.99109207998429E-14,-1.16673907071603E-13,-1.)); #42012=DIRECTION('',(0.309016994374933,-0.951056516295159,9.93983541308641E-14)); #42013=DIRECTION('',(0.0109511202326498,0.00355823465929791,-0.999933703768285)); #42014=DIRECTION('',(-0.978147600733809,-0.207911690817743,1.05685722274977E-11)); #42015=DIRECTION('',(-1.00844293405992E-11,-3.38851490481397E-12,-1.)); #42016=DIRECTION('',(-0.913545457642606,-0.40673664307579,1.05697197454833E-11)); #42017=DIRECTION('',(-9.16054741022913E-12,-5.41171617266509E-12,-1.)); #42018=DIRECTION('',(-0.103793158640194,0.987525939157431,0.1184039683065)); #42019=DIRECTION('',(0.99452189536827,0.104528463267687,5.33884932807966E-16)); #42020=DIRECTION('',(-0.306843216833098,0.944366316941043,0.118403968306499)); #42021=DIRECTION('',(0.951056516295146,0.309016994374971,4.62952624093959E-16)); #42022=DIRECTION('',(-0.496482754053225,0.859933355102008,0.118403968306507)); #42023=DIRECTION('',(0.866025403784464,0.499999999999956,4.52353690137392E-16)); #42024=DIRECTION('',(-4.8189070063909E-7,8.80204319047809E-7,-0.999999999999497)); #42025=DIRECTION('',(0.308875220871757,-0.951102569616154,-9.86008686230049E-7)); #42026=DIRECTION('',(4.8189070063909E-7,-8.80204319047808E-7,0.999999999999497)); #42027=DIRECTION('',(-0.308875220871718,0.951102569616167,9.86008686230041E-7)); #42028=DIRECTION('',(-1.08111529119321E-31,2.92138756720196E-32,1.)); #42029=DIRECTION('',(0.309016994374948,-0.951056516295153,6.1192346609843E-32)); #42030=DIRECTION('',(0.866025403784446,0.499999999999988,-7.85592742270637E-15)); #42031=DIRECTION('',(0.499999999999988,-0.866025403784446,1.81344438125502E-14)); #42032=DIRECTION('',(0.951056516295158,0.309016994374934,-7.85592742270636E-15)); #42033=DIRECTION('',(0.309016994374934,-0.951056516295158,1.81344438125502E-14)); #42034=DIRECTION('',(0.994521895368275,0.10452846326764,-7.85592742270636E-15)); #42035=DIRECTION('',(0.10452846326764,-0.994521895368275,1.81344438125502E-14)); #42036=DIRECTION('',(0.951056516295154,0.309016994374948,-8.97855244485977E-16)); #42037=DIRECTION('',(0.309016994374948,-0.951056516295154,1.56125112837964E-17)); #42038=DIRECTION('',(0.994521895368273,0.104528463267654,-8.97855244485977E-16)); #42039=DIRECTION('',(0.104528463267654,-0.994521895368273,1.56125112837964E-17)); #42040=DIRECTION('',(0.866025403784439,0.5,-8.97855244485977E-16)); #42041=DIRECTION('',(0.5,-0.866025403784439,1.56125112837964E-17)); #42042=DIRECTION('',(1.11500279200547E-16,3.43162573685967E-16,1.)); #42043=DIRECTION('',(0.309016994374947,0.951056516295154,-3.60822483003176E-16)); #42044=DIRECTION('',(1.29951928969092E-33,-4.22241202710933E-34,1.)); #42045=DIRECTION('',(0.309016994374947,0.951056516295154,1.70225398128659E-39)); #42046=DIRECTION('',(0.950993464831969,-0.308996507712701,-0.0115146892377655)); #42047=DIRECTION('',(-0.0109511202326786,0.00355823465945239,-0.999933703768284)); #42048=DIRECTION('',(0.951056516295149,-0.309016994374961,-1.90332121278358E-15)); #42049=DIRECTION('',(3.62905887158052E-14,1.17850224272611E-13,-1.)); #42050=DIRECTION('',(0.309016994374962,0.951056516295149,9.93983541308641E-14)); #42051=DIRECTION('',(-0.0109511202325883,0.00355823465948731,-0.999933703768285)); #42052=DIRECTION('',(0.913545457642594,-0.406736643075816,1.05685722274977E-11)); #42053=DIRECTION('',(1.0150193803341E-11,-3.1861127005024E-12,-1.)); #42054=DIRECTION('',(0.978147600733803,-0.20791169081777,1.05697197454833E-11)); #42055=DIRECTION('',(1.0591965488538E-11,-1.00626431823888E-12,-1.)); #42056=DIRECTION('',(-0.49648275405324,-0.859933355102001,0.1184039683065)); #42057=DIRECTION('',(-0.866025403784455,0.499999999999971,5.33884932807966E-16)); #42058=DIRECTION('',(-0.306843216833051,-0.944366316941058,0.118403968306499)); #42059=DIRECTION('',(-0.951056516295161,0.309016994374924,4.62952624093959E-16)); #42060=DIRECTION('',(-0.103793158640211,-0.987525939157428,0.118403968306507)); #42061=DIRECTION('',(-0.994521895368268,0.104528463267704,4.52353690137393E-16)); #42062=DIRECTION('',(-1.27513351492167E-7,-9.95348499684449E-7,-0.999999999999497)); #42063=DIRECTION('',(0.309158761011284,0.951010441840149,-9.86008686230049E-7)); #42064=DIRECTION('',(1.27513351492166E-7,9.95348499684449E-7,0.999999999999497)); #42065=DIRECTION('',(-0.309158761011323,-0.951010441840137,9.86008686230041E-7)); #42066=DIRECTION('',(7.02925780110231E-32,-8.71808875472255E-32,1.)); #42067=DIRECTION('',(0.309016994374947,0.951056516295154,6.1192350014351E-32)); #42068=DIRECTION('',(-0.994521895368272,0.104528463267667,-7.85592742270636E-15)); #42069=DIRECTION('',(0.104528463267667,0.994521895368272,1.81344438125502E-14)); #42070=DIRECTION('',(-0.951056516295149,0.30901699437496,-7.85592742270637E-15)); #42071=DIRECTION('',(0.30901699437496,0.951056516295149,1.81344438125502E-14)); #42072=DIRECTION('',(-0.866025403784432,0.500000000000012,-7.85592742270636E-15)); #42073=DIRECTION('',(0.500000000000012,0.866025403784432,1.81344438125502E-14)); #42074=DIRECTION('',(-0.951056516295154,0.309016994374947,-8.97855244485977E-16)); #42075=DIRECTION('',(0.309016994374947,0.951056516295154,1.56125112837964E-17)); #42076=DIRECTION('',(-0.866025403784439,0.5,-8.97855244485977E-16)); #42077=DIRECTION('',(0.5,0.866025403784439,1.56125112837964E-17)); #42078=DIRECTION('',(-0.994521895368273,0.104528463267653,-8.97855244485977E-16)); #42079=DIRECTION('',(0.104528463267653,0.994521895368273,1.56125112837964E-17)); #42080=DIRECTION('',(-0.999999989156532,1.75325547741881E-5,0.000146217457252765)); #42081=DIRECTION('',(1.75303076893281E-5,0.999999999728235,-1.53693698878241E-5)); #42082=DIRECTION('',(-1.,-2.81313074724756E-9,1.53991743053684E-9)); #42083=DIRECTION('',(2.81313073627428E-9,-1.,-7.12588528026714E-9)); #42084=DIRECTION('',(-0.309016967609384,0.951056524991803,-1.27513322907017E-7)); #42085=DIRECTION('',(-0.951056524991301,-0.309016967609355,-9.95348503368686E-7)); #42086=DIRECTION('',(0.309017021140505,0.951056507598488,-1.27513322897774E-7)); #42087=DIRECTION('',(-0.951056507598064,0.309017021140234,-9.95348503438075E-7)); #42088=DIRECTION('',(-0.809016977832914,0.587785275060614,-1.27513322897774E-7)); #42089=DIRECTION('',(-0.587785275060225,-0.809016977832595,-9.95348503438075E-7)); #42090=DIRECTION('',(0.809017010916967,0.587785229524322,-1.27513322897774E-7)); #42091=DIRECTION('',(-0.587785229524139,0.809017010916498,-9.95348503438075E-7)); #42092=DIRECTION('',(-3.60822483003176E-16,2.48448307308062E-32,1.)); #42093=DIRECTION('',(-1.,-7.76318171107911E-16,-3.60822483003176E-16)); #42094=DIRECTION('',(-1.23705656489822E-48,1.36639365292319E-33,1.)); #42095=DIRECTION('',(-1.,-9.05341985878457E-16,-3.02166901922716E-54)); #42096=DIRECTION('',(1.72984857684769E-14,0.999933703768284,-0.0115146892377655)); #42097=DIRECTION('',(-3.84393259513395E-14,-0.0115146892377655,-0.999933703768284)); #42098=DIRECTION('',(1.46435015475996E-14,1.,-1.90332121278358E-15)); #42099=DIRECTION('',(-1.23296632390367E-13,-1.90332121278177E-15,-1.)); #42100=DIRECTION('',(-1.,1.54908360835033E-14,9.93989781957692E-14)); #42101=DIRECTION('',(-9.9570760581612E-14,-0.0115146892376903,-0.999933703768285)); #42102=DIRECTION('',(0.10452846326767,0.994521895368272,1.05685722274977E-11)); #42103=DIRECTION('',(-1.06409135968087E-13,1.06379709287752E-11,-1.)); #42104=DIRECTION('',(-0.104528463267642,0.994521895368275,1.05697197454833E-11)); #42105=DIRECTION('',(-2.31608310281479E-12,1.03845105734164E-11,-1.)); #42106=DIRECTION('',(0.971266829365841,-0.206449137714113,0.1184039683065)); #42107=DIRECTION('',(-0.207911690817726,-0.978147600733813,5.33884932807966E-16)); #42108=DIRECTION('',(0.992965508106537,2.49462645389581E-14,0.118403968306499)); #42109=DIRECTION('',(2.50677882644609E-14,-1.,4.62952624093959E-16)); #42110=DIRECTION('',(0.971266829365843,0.206449137714097,0.118403968306507)); #42111=DIRECTION('',(0.20791169081771,-0.978147600733816,4.52353690137393E-16)); #42112=DIRECTION('',(9.86036469230286E-7,1.86307197876843E-7,-0.999999999999497)); #42113=DIRECTION('',(-0.999999988889197,0.000149065872883479,-9.86008686230049E-7)); #42114=DIRECTION('',(-9.86036469230285E-7,-1.86307197876843E-7,0.999999999999497)); #42115=DIRECTION('',(0.999999988889197,-0.000149065872924782,9.86008686230041E-7)); #42116=DIRECTION('',(6.11923483120969E-32,9.37925878584012E-32,1.)); #42117=DIRECTION('',(-1.,-1.04834246235535E-15,6.1192348312097E-32)); #42118=DIRECTION('',(0.207911690817746,-0.978147600733809,-7.85592742270636E-15)); #42119=DIRECTION('',(-0.978147600733809,-0.207911690817746,1.81344438125502E-14)); #42120=DIRECTION('',(-1.35610340985901E-14,-1.,-7.85592742270636E-15)); #42121=DIRECTION('',(-1.,1.356103409859E-14,1.81344438125502E-14)); #42122=DIRECTION('',(-0.207911690817773,-0.978147600733803,-7.85592742270636E-15)); #42123=DIRECTION('',(-0.978147600733803,0.207911690817773,1.81344438125502E-14)); #42124=DIRECTION('',(4.96341514848445E-16,-1.,-8.97855244485977E-16)); #42125=DIRECTION('',(-1.,-4.96341514848445E-16,1.56125112837964E-17)); #42126=DIRECTION('',(-0.207911690817759,-0.978147600733806,-8.97855244485977E-16)); #42127=DIRECTION('',(-0.978147600733806,0.207911690817759,1.56125112837964E-17)); #42128=DIRECTION('',(0.20791169081776,-0.978147600733806,-8.97855244485977E-16)); #42129=DIRECTION('',(-0.978147600733806,-0.20791169081776,1.56125112837964E-17)); #42130=DIRECTION('',(-2.91911520702135E-16,2.12086134204818E-16,1.)); #42131=DIRECTION('',(-0.809016994374948,0.587785252292472,-3.60822483003176E-16)); #42132=DIRECTION('',(8.0314709006511E-34,1.1054353443889E-33,1.)); #42133=DIRECTION('',(-0.809016994374948,0.587785252292472,1.05205081791994E-39)); #42134=DIRECTION('',(0.587746284345202,0.808963359596816,-0.0115146892377655)); #42135=DIRECTION('',(-0.00676816451872049,-0.00931557927827597,-0.999933703768284)); #42136=DIRECTION('',(0.587785252292485,0.809016994374939,-1.90332121278358E-15)); #42137=DIRECTION('',(-1.00867815092256E-13,7.09321229694895E-14,-1.)); #42138=DIRECTION('',(-0.809016994374939,0.587785252292486,9.9399655173471E-14)); #42139=DIRECTION('',(-0.00676816451872577,-0.00931557927817923,-0.999933703768285)); #42140=DIRECTION('',(0.669130606358871,0.743144825477383,1.05685722274977E-11)); #42141=DIRECTION('',(6.1667556268952E-12,8.66884498787702E-12,-1.)); #42142=DIRECTION('',(0.50000000000001,0.866025403784433,1.05697197454833E-11)); #42143=DIRECTION('',(4.23011157676756E-12,9.76260502307849E-12,-1.)); #42144=DIRECTION('',(0.664423612532784,-0.737917179226882,0.1184039683065)); #42145=DIRECTION('',(-0.743144825477372,-0.669130606358883,5.33884932807966E-16)); #42146=DIRECTION('',(0.803325970886358,-0.583650481700104,0.118403968306499)); #42147=DIRECTION('',(-0.587785252292453,-0.809016994374962,4.62952624093959E-16)); #42148=DIRECTION('',(0.907119129526483,-0.403875457457354,0.118403968306507)); #42149=DIRECTION('',(-0.406736643075846,-0.913545457642581,4.52353690137393E-16)); #42150=DIRECTION('',(9.07228883988715E-7,-4.28852005579361E-7,-0.999999999999497)); #42151=DIRECTION('',(-0.808929366664418,0.587905842586151,-9.86008686230049E-7)); #42152=DIRECTION('',(-9.07228883988714E-7,4.2885200557936E-7,0.999999999999496)); #42153=DIRECTION('',(0.808929366664393,-0.587905842586184,9.86008686230041E-7)); #42154=DIRECTION('',(1.04635550679763E-31,3.99118372910252E-32,1.)); #42155=DIRECTION('',(-0.809016994374948,0.587785252292472,6.11923493641478E-32)); #42156=DIRECTION('',(-0.406736643075813,-0.913545457642595,-7.85592742270637E-15)); #42157=DIRECTION('',(-0.913545457642595,0.406736643075813,1.81344438125502E-14)); #42158=DIRECTION('',(-0.587785252292484,-0.80901699437494,-7.85592742270636E-15)); #42159=DIRECTION('',(-0.80901699437494,0.587785252292484,1.81344438125502E-14)); #42160=DIRECTION('',(-0.743144825477403,-0.669130606358848,-7.85592742270636E-15)); #42161=DIRECTION('',(-0.669130606358848,0.743144825477403,1.81344438125502E-14)); #42162=DIRECTION('',(-0.587785252292473,-0.809016994374948,-8.97855244485977E-16)); #42163=DIRECTION('',(-0.809016994374948,0.587785252292473,1.56125112837964E-17)); #42164=DIRECTION('',(-0.743144825477394,-0.669130606358859,-8.97855244485977E-16)); #42165=DIRECTION('',(-0.669130606358859,0.743144825477394,1.56125112837964E-17)); #42166=DIRECTION('',(-0.4067366430758,-0.913545457642601,-8.97855244485977E-16)); #42167=DIRECTION('',(-0.913545457642601,0.4067366430758,1.56125112837964E-17)); #42168=DIRECTION('',(-2.91911520702135E-16,-2.12086134204818E-16,1.)); #42169=DIRECTION('',(-0.809016994374947,-0.587785252292474,-3.60822483003176E-16)); #42170=DIRECTION('',(-8.03147090065112E-34,1.10543534438889E-33,1.)); #42171=DIRECTION('',(-0.809016994374947,-0.587785252292474,-1.05205081791994E-39)); #42172=DIRECTION('',(-0.587746284345174,0.808963359596836,-0.0115146892377655)); #42173=DIRECTION('',(0.00676816451865829,-0.00931557927832116,-0.999933703768284)); #42174=DIRECTION('',(-0.587785252292461,0.809016994374956,-1.90332121278358E-15)); #42175=DIRECTION('',(-9.86303268137591E-14,-7.40117613832791E-14,-1.)); #42176=DIRECTION('',(-0.809016994374957,-0.587785252292461,9.9400522535209E-14)); #42177=DIRECTION('',(0.00676816451856466,-0.00931557927829628,-0.999933703768285)); #42178=DIRECTION('',(-0.499999999999985,0.866025403784447,1.05685722274977E-11)); #42179=DIRECTION('',(-6.33892922560507E-12,8.54375354621457E-12,-1.)); #42180=DIRECTION('',(-0.66913060635885,0.743144825477402,1.05697197454833E-11)); #42181=DIRECTION('',(-7.97761275789122E-12,7.03988604124184E-12,-1.)); #42182=DIRECTION('',(0.907119129526491,0.40387545745734,0.1184039683065)); #42183=DIRECTION('',(0.406736643075831,-0.913545457642587,5.33884932807966E-16)); #42184=DIRECTION('',(0.803325970886329,0.583650481700145,0.118403968306499)); #42185=DIRECTION('',(0.587785252292493,-0.809016994374933,4.62952624093959E-16)); #42186=DIRECTION('',(0.664423612532796,0.737917179226871,0.118403968306507)); #42187=DIRECTION('',(0.743144825477361,-0.669130606358896,4.52353690137393E-16)); #42188=DIRECTION('',(6.88211637372828E-7,7.30303384092845E-7,-0.999999999999497)); #42189=DIRECTION('',(-0.80910460410782,-0.587664648937263,-9.86008686230049E-7)); #42190=DIRECTION('',(-6.88211637372827E-7,-7.30303384092845E-7,0.999999999999497)); #42191=DIRECTION('',(0.809104604107844,0.587664648937229,9.86008686230041E-7)); #42192=DIRECTION('',(-5.62425125936759E-33,1.11847757073015E-31,1.)); #42193=DIRECTION('',(-0.809016994374947,-0.587785252292474,6.11923472600462E-32)); #42194=DIRECTION('',(0.743144825477385,-0.669130606358868,-7.85592742270636E-15)); #42195=DIRECTION('',(-0.669130606358868,-0.743144825477385,1.81344438125502E-14)); #42196=DIRECTION('',(0.587785252292462,-0.809016994374955,-7.85592742270635E-15)); #42197=DIRECTION('',(-0.809016994374955,-0.587785252292462,1.81344438125502E-14)); #42198=DIRECTION('',(0.406736643075788,-0.913545457642607,-7.85592742270636E-15)); #42199=DIRECTION('',(-0.913545457642607,-0.406736643075788,1.81344438125502E-14)); #42200=DIRECTION('',(0.587785252292474,-0.809016994374947,-8.97855244485977E-16)); #42201=DIRECTION('',(-0.809016994374947,-0.587785252292474,1.56125112837964E-17)); #42202=DIRECTION('',(0.406736643075801,-0.913545457642601,-8.97855244485977E-16)); #42203=DIRECTION('',(-0.913545457642601,-0.406736643075801,1.56125112837964E-17)); #42204=DIRECTION('',(0.743144825477394,-0.669130606358858,-8.97855244485977E-16)); #42205=DIRECTION('',(-0.669130606358858,-0.743144825477394,1.56125112837964E-17)); #42206=DIRECTION('',(-1.11500279200547E-16,3.43162573685968E-16,1.)); #42207=DIRECTION('',(-0.309016994374948,0.951056516295153,-3.60822483003176E-16)); #42208=DIRECTION('',(1.29951928969092E-33,4.22237622999421E-34,1.)); #42209=DIRECTION('',(-0.309016994374948,0.951056516295153,1.70225398128659E-39)); #42210=DIRECTION('',(0.950993464831979,0.308996507712668,-0.0115146892377655)); #42211=DIRECTION('',(-0.0109511202327024,-0.00355823465937928,-0.999933703768284)); #42212=DIRECTION('',(0.951056516295158,0.309016994374934,-1.90332121278358E-15)); #42213=DIRECTION('',(-3.9910920799843E-14,1.16673907071603E-13,-1.)); #42214=DIRECTION('',(-0.309016994374933,0.951056516295158,9.93987878117331E-14)); #42215=DIRECTION('',(-0.0109511202326498,-0.00355823465929791,-0.999933703768285)); #42216=DIRECTION('',(0.978147600733809,0.207911690817743,1.05685722274977E-11)); #42217=DIRECTION('',(1.00844293405992E-11,3.38851490481397E-12,-1.)); #42218=DIRECTION('',(0.913545457642606,0.40673664307579,1.05697197454833E-11)); #42219=DIRECTION('',(9.16054741022913E-12,5.41171617266509E-12,-1.)); #42220=DIRECTION('',(0.103793158640194,-0.987525939157431,0.1184039683065)); #42221=DIRECTION('',(-0.99452189536827,-0.104528463267687,5.33884932807966E-16)); #42222=DIRECTION('',(0.306843216833098,-0.944366316941043,0.118403968306499)); #42223=DIRECTION('',(-0.951056516295146,-0.309016994374971,4.62952624093959E-16)); #42224=DIRECTION('',(0.496482754053225,-0.859933355102008,0.118403968306507)); #42225=DIRECTION('',(-0.866025403784464,-0.499999999999956,4.52353690137392E-16)); #42226=DIRECTION('',(4.8189070063909E-7,-8.80204319047809E-7,-0.999999999999497)); #42227=DIRECTION('',(-0.308875220871757,0.951102569616154,-9.86008686230049E-7)); #42228=DIRECTION('',(-4.8189070063909E-7,8.80204319047808E-7,0.999999999999497)); #42229=DIRECTION('',(0.308875220871718,-0.951102569616167,9.86008686230041E-7)); #42230=DIRECTION('',(1.08111529119321E-31,-2.92138792517311E-32,1.)); #42231=DIRECTION('',(-0.309016994374948,0.951056516295153,6.1192350014351E-32)); #42232=DIRECTION('',(-0.866025403784445,-0.499999999999988,-7.85592742270638E-15)); #42233=DIRECTION('',(-0.499999999999988,0.866025403784445,1.81344438125502E-14)); #42234=DIRECTION('',(-0.951056516295158,-0.309016994374935,-7.85592742270636E-15)); #42235=DIRECTION('',(-0.309016994374935,0.951056516295158,1.81344438125502E-14)); #42236=DIRECTION('',(-0.994521895368275,-0.10452846326764,-7.85592742270636E-15)); #42237=DIRECTION('',(-0.10452846326764,0.994521895368275,1.81344438125502E-14)); #42238=DIRECTION('',(-0.951056516295154,-0.309016994374948,-8.97855244485977E-16)); #42239=DIRECTION('',(-0.309016994374948,0.951056516295154,1.56125112837964E-17)); #42240=DIRECTION('',(-0.994521895368273,-0.104528463267654,-8.97855244485977E-16)); #42241=DIRECTION('',(-0.104528463267654,0.994521895368273,1.56125112837964E-17)); #42242=DIRECTION('',(-0.866025403784438,-0.5,-8.97855244485977E-16)); #42243=DIRECTION('',(-0.5,0.866025403784438,1.56125112837964E-17)); #42244=DIRECTION('',(-1.11500279200547E-16,-3.43162573685967E-16,1.)); #42245=DIRECTION('',(-0.309016994374947,-0.951056516295154,-3.60822483003176E-16)); #42246=DIRECTION('',(-1.29951928969092E-33,4.22237622999418E-34,1.)); #42247=DIRECTION('',(-0.309016994374947,-0.951056516295154,-1.70225398128659E-39)); #42248=DIRECTION('',(-0.950993464831969,0.308996507712701,-0.0115146892377655)); #42249=DIRECTION('',(0.0109511202326786,-0.00355823465945239,-0.999933703768284)); #42250=DIRECTION('',(-0.951056516295149,0.309016994374961,-1.90332121278358E-15)); #42251=DIRECTION('',(-3.62905887158052E-14,-1.17850224272611E-13,-1.)); #42252=DIRECTION('',(-0.309016994374962,-0.951056516295149,9.93983541308641E-14)); #42253=DIRECTION('',(0.0109511202325883,-0.00355823465948731,-0.999933703768285)); #42254=DIRECTION('',(-0.913545457642594,0.406736643075816,1.05685722274977E-11)); #42255=DIRECTION('',(-1.0150193803341E-11,3.1861127005024E-12,-1.)); #42256=DIRECTION('',(-0.978147600733803,0.20791169081777,1.05697197454833E-11)); #42257=DIRECTION('',(-1.0591965488538E-11,1.00626431823888E-12,-1.)); #42258=DIRECTION('',(0.49648275405324,0.859933355102001,0.1184039683065)); #42259=DIRECTION('',(0.866025403784456,-0.499999999999971,5.33884932807966E-16)); #42260=DIRECTION('',(0.306843216833051,0.944366316941058,0.118403968306499)); #42261=DIRECTION('',(0.951056516295161,-0.309016994374924,4.62952624093959E-16)); #42262=DIRECTION('',(0.103793158640211,0.987525939157428,0.118403968306507)); #42263=DIRECTION('',(0.994521895368268,-0.104528463267703,4.52353690137393E-16)); #42264=DIRECTION('',(1.27513351492167E-7,9.95348499684449E-7,-0.999999999999497)); #42265=DIRECTION('',(-0.309158761011283,-0.951010441840149,-9.86008686230049E-7)); #42266=DIRECTION('',(-1.27513351492166E-7,-9.95348499684449E-7,0.999999999999497)); #42267=DIRECTION('',(0.309158761011323,0.951010441840137,9.86008686230041E-7)); #42268=DIRECTION('',(-7.02925780110231E-32,8.71808839675139E-32,1.)); #42269=DIRECTION('',(-0.309016994374946,-0.951056516295154,6.1192346609843E-32)); #42270=DIRECTION('',(0.994521895368272,-0.104528463267667,-7.85592742270636E-15)); #42271=DIRECTION('',(-0.104528463267667,-0.994521895368272,1.81344438125502E-14)); #42272=DIRECTION('',(0.951056516295149,-0.30901699437496,-7.85592742270637E-15)); #42273=DIRECTION('',(-0.30901699437496,-0.951056516295149,1.81344438125502E-14)); #42274=DIRECTION('',(0.866025403784432,-0.500000000000012,-7.85592742270636E-15)); #42275=DIRECTION('',(-0.500000000000012,-0.866025403784432,1.81344438125502E-14)); #42276=DIRECTION('',(0.951056516295154,-0.309016994374947,-8.97855244485977E-16)); #42277=DIRECTION('',(-0.309016994374947,-0.951056516295154,1.56125112837964E-17)); #42278=DIRECTION('',(0.866025403784439,-0.499999999999999,-8.97855244485977E-16)); #42279=DIRECTION('',(-0.499999999999999,-0.866025403784439,1.56125112837963E-17)); #42280=DIRECTION('',(0.994521895368273,-0.104528463267653,-8.97855244485977E-16)); #42281=DIRECTION('',(-0.104528463267653,-0.994521895368273,1.56125112837964E-17)); #42282=DIRECTION('center_axis',(0.,0.,1.)); #42283=DIRECTION('ref_axis',(1.,0.,0.)); #42284=CARTESIAN_POINT('',(0.,0.,0.)); #42285=CARTESIAN_POINT('',(0.,0.,0.)); #42286=CARTESIAN_POINT('Origin',(-2.64036585225274,30.960360235731,-0.75)); #42287=CARTESIAN_POINT('',(-2.89036585225274,35.9370078740146,-0.75)); #42288=CARTESIAN_POINT('',(-2.89036585225274,36.1420052695755,-0.75)); #42289=CARTESIAN_POINT('',(-2.89036585225274,30.960360235731,-0.75)); #42290=CARTESIAN_POINT('',(-2.64036585225274,36.1420052695755,-0.5)); #42291=CARTESIAN_POINT('Origin',(-2.64036585225274,36.1420052695755,-0.75)); #42292=CARTESIAN_POINT('',(-2.64036585225274,35.6870078740146,-0.5)); #42293=CARTESIAN_POINT('',(-2.64036585225274,30.960360235731,-0.5)); #42294=CARTESIAN_POINT('Origin',(-2.64036585225274,35.6870078740146,-0.75)); #42295=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-0.75)); #42296=CARTESIAN_POINT('',(-2.1176103350427,36.8916599810504,-0.75)); #42297=CARTESIAN_POINT('',(-2.12519550744605,36.6417750772255,-0.5)); #42298=CARTESIAN_POINT('Origin',(-2.12519550744605,36.6417750772255,-0.75)); #42299=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-0.5)); #42300=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-0.75)); #42301=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-0.75)); #42302=CARTESIAN_POINT('',(39.5811890810198,26.2167896788284,-0.75)); #42303=CARTESIAN_POINT('',(39.467769392332,25.9939983544507,-0.5)); #42304=CARTESIAN_POINT('Origin',(39.467769392332,25.9939983544507,-0.75)); #42305=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-0.5)); #42306=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-0.75)); #42307=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-0.75)); #42308=CARTESIAN_POINT('',(39.9855964842705,25.6377009199215,-0.75)); #42309=CARTESIAN_POINT('',(39.7373743278325,25.6079391818461,-0.5)); #42310=CARTESIAN_POINT('Origin',(39.7373743278325,25.6079391818461,-0.75)); #42311=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-0.5)); #42312=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-0.75)); #42313=CARTESIAN_POINT('Origin',(39.5008158058293,27.580910864705,-0.75)); #42314=CARTESIAN_POINT('',(40.693886377084,19.7303428169754,-0.75)); #42315=CARTESIAN_POINT('',(40.445664220646,19.7005810789,-0.5)); #42316=CARTESIAN_POINT('Origin',(40.445664220646,19.7005810789,-0.75)); #42317=CARTESIAN_POINT('',(39.5008158058293,27.580910864705,-0.5)); #42318=CARTESIAN_POINT('',(39.7490379622674,27.6106726027804,-0.75)); #42319=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-0.75)); #42320=CARTESIAN_POINT('',(39.94921990777,18.8910576027492,-0.75)); #42321=CARTESIAN_POINT('',(39.94921990777,19.1410576027492,-0.5)); #42322=CARTESIAN_POINT('Origin',(39.94921990777,19.1410576027492,-0.75)); #42323=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-0.5)); #42324=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-0.75)); #42325=CARTESIAN_POINT('Origin',(26.8184492773241,19.1410576027492,-0.75)); #42326=CARTESIAN_POINT('',(-6.14062445282655,18.8910576027492,-0.75)); #42327=CARTESIAN_POINT('',(-6.14062445282655,19.1410576027492,-0.5)); #42328=CARTESIAN_POINT('Origin',(-6.14062445282655,19.1410576027492,-0.75)); #42329=CARTESIAN_POINT('',(26.8184492773241,19.1410576027492,-0.5)); #42330=CARTESIAN_POINT('',(26.8184492773241,18.8910576027492,-0.75)); #42331=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-0.75)); #42332=CARTESIAN_POINT('',(-6.84550173432602,19.3848389109527,-0.75)); #42333=CARTESIAN_POINT('',(-6.61054264049287,19.4702451415515,-0.5)); #42334=CARTESIAN_POINT('Origin',(-6.61054264049287,19.4702451415515,-0.75)); #42335=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-0.5)); #42336=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-0.75)); #42337=CARTESIAN_POINT('Origin',(-6.43129186997176,18.9771125174417,-0.75)); #42338=CARTESIAN_POINT('',(-11.8519561416317,33.1579791445123,-0.75)); #42339=CARTESIAN_POINT('',(-11.6169970477985,33.2433853751112,-0.5)); #42340=CARTESIAN_POINT('Origin',(-11.6169970477985,33.2433853751112,-0.75)); #42341=CARTESIAN_POINT('',(-6.43129186997176,18.9771125174417,-0.5)); #42342=CARTESIAN_POINT('',(-6.66625096380492,18.8917062868429,-0.75)); #42343=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-0.75)); #42344=CARTESIAN_POINT('',(-11.8970788601322,33.4141978363089,-0.75)); #42345=CARTESIAN_POINT('',(-11.6470788601322,33.4141978363089,-0.5)); #42346=CARTESIAN_POINT('Origin',(-11.6470788601322,33.4141978363089,-0.75)); #42347=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-0.5)); #42348=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-0.75)); #42349=CARTESIAN_POINT('Origin',(-11.6470788601322,29.6329139014729,-0.75)); #42350=CARTESIAN_POINT('',(-11.8970788601322,35.1870078740146,-0.75)); #42351=CARTESIAN_POINT('',(-11.6470788601322,35.1870078740146,-0.5)); #42352=CARTESIAN_POINT('Origin',(-11.6470788601322,35.1870078740146,-0.75)); #42353=CARTESIAN_POINT('',(-11.6470788601322,29.6329139014729,-0.5)); #42354=CARTESIAN_POINT('',(-11.8970788601322,29.6329139014729,-0.75)); #42355=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-0.75)); #42356=CARTESIAN_POINT('',(-11.1470788601322,35.9370078740146,-0.75)); #42357=CARTESIAN_POINT('',(-11.1470788601322,35.6870078740146,-0.5)); #42358=CARTESIAN_POINT('Origin',(-11.1470788601322,35.6870078740146,-0.75)); #42359=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-0.5)); #42360=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-0.75)); #42361=CARTESIAN_POINT('Origin',(0.472651676615353,35.6870078740146,-0.75)); #42362=CARTESIAN_POINT('',(0.472651676615353,35.6870078740146,-0.5)); #42363=CARTESIAN_POINT('',(0.472651676615353,35.9370078740146,-0.75)); #42364=CARTESIAN_POINT('Origin',(40.7945163412854,18.8910576027492,-1.)); #42365=CARTESIAN_POINT('',(39.94921990777,18.8910576027492,-1.)); #42366=CARTESIAN_POINT('',(39.94921990777,18.8910576027492,-1.)); #42367=CARTESIAN_POINT('',(-6.14062445282655,18.8910576027492,-1.)); #42368=CARTESIAN_POINT('',(26.7203270504332,18.8910576027492,-1.)); #42369=CARTESIAN_POINT('',(-6.14062445282655,18.8910576027492,-1.)); #42370=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-1.)); #42371=CARTESIAN_POINT('',(40.693886377084,19.7303428169754,-1.)); #42372=CARTESIAN_POINT('',(40.693886377084,19.7303428169754,-1.)); #42373=CARTESIAN_POINT('Origin',(39.94921990777,19.6410576027492,-1.)); #42374=CARTESIAN_POINT('Origin',(39.9380565797841,26.0341985162166,-1.)); #42375=CARTESIAN_POINT('',(39.9855964842705,25.6377009199215,-1.)); #42376=CARTESIAN_POINT('',(39.9855964842705,25.6377009199215,-1.)); #42377=CARTESIAN_POINT('',(40.26216086561,23.3310679216688,-1.)); #42378=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-1.)); #42379=CARTESIAN_POINT('',(39.5811890810198,26.2167896788284,-1.)); #42380=CARTESIAN_POINT('',(39.5811890810198,26.2167896788284,-1.)); #42381=CARTESIAN_POINT('Origin',(39.2409300149565,25.5484157056953,-1.)); #42382=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-1.)); #42383=CARTESIAN_POINT('',(-2.1176103350427,36.8916599810504,-1.)); #42384=CARTESIAN_POINT('',(-2.1176103350427,36.8916599810504,-1.)); #42385=CARTESIAN_POINT('Origin',(-5.1061682619613,-61.5629921259854,-1.)); #42386=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-1.)); #42387=CARTESIAN_POINT('',(-2.89036585225274,36.1420052695755,-1.)); #42388=CARTESIAN_POINT('',(-2.89036585225274,36.1420052695755,-1.)); #42389=CARTESIAN_POINT('Origin',(-2.14036585225274,36.1420052695755,-1.)); #42390=CARTESIAN_POINT('Origin',(-2.89036585225274,35.9370078740146,-1.)); #42391=CARTESIAN_POINT('',(-2.89036585225274,35.9370078740146,-1.)); #42392=CARTESIAN_POINT('',(-2.89036585225274,35.9370078740146,-1.)); #42393=CARTESIAN_POINT('',(-2.89036585225274,26.6074675060144,-1.)); #42394=CARTESIAN_POINT('Origin',(12.8423822133629,25.9837125974475,-0.5)); #42395=CARTESIAN_POINT('Origin',(-8.39056581893379,36.5639004276945,-0.500596150920819)); #42396=CARTESIAN_POINT('',(-8.52406581893379,36.6040078740146,-0.50059615092082)); #42397=CARTESIAN_POINT('Origin',(-8.39056581893379,36.6040078740146,-0.500596150920819)); #42398=CARTESIAN_POINT('',(-8.39056581893379,36.5237929813745,-0.500596150920819)); #42399=CARTESIAN_POINT('',(-8.45731581893379,36.5639004276945,-0.50059615092082)); #42400=CARTESIAN_POINT('Origin',(-8.39056581893379,37.0205078740146,-0.500596150920819)); #42401=CARTESIAN_POINT('',(-8.52406581893379,37.4370078740146,-0.50059615092082)); #42402=CARTESIAN_POINT('Origin',(-8.39056581893379,37.4370078740146,-0.500596150920819)); #42403=CARTESIAN_POINT('',(-8.52406581893379,37.0205078740146,-0.50059615092082)); #42404=CARTESIAN_POINT('Origin',(-14.390565752297,36.5639004276945,-0.501490377299646)); #42405=CARTESIAN_POINT('',(-14.524065752297,36.6040078740146,-0.501490377299647)); #42406=CARTESIAN_POINT('Origin',(-14.390565752297,36.6040078740146,-0.501490377299646)); #42407=CARTESIAN_POINT('',(-14.390565752297,36.5237929813745,-0.501490377299646)); #42408=CARTESIAN_POINT('',(-14.457315752297,36.5639004276945,-0.501490377299647)); #42409=CARTESIAN_POINT('Origin',(-14.390565752297,37.0205078740146,-0.501490377299646)); #42410=CARTESIAN_POINT('',(-14.524065752297,37.4370078740146,-0.501490377299647)); #42411=CARTESIAN_POINT('Origin',(-14.390565752297,37.4370078740146,-0.501490377299646)); #42412=CARTESIAN_POINT('',(-14.524065752297,37.0205078740146,-0.501490377299647)); #42413=CARTESIAN_POINT('Origin',(-11.3905657856154,36.5639004276945,-0.501043264110235)); #42414=CARTESIAN_POINT('',(-11.5240657856154,36.6040078740146,-0.501043264110236)); #42415=CARTESIAN_POINT('Origin',(-11.3905657856154,36.6040078740146,-0.501043264110235)); #42416=CARTESIAN_POINT('',(-11.3905657856154,36.5237929813745,-0.501043264110235)); #42417=CARTESIAN_POINT('',(-11.4573157856154,36.5639004276945,-0.501043264110236)); #42418=CARTESIAN_POINT('Origin',(-11.3905657856154,37.0205078740146,-0.501043264110235)); #42419=CARTESIAN_POINT('',(-11.5240657856154,37.4370078740146,-0.501043264110236)); #42420=CARTESIAN_POINT('Origin',(-11.3905657856154,37.4370078740146,-0.501043264110235)); #42421=CARTESIAN_POINT('',(-11.5240657856154,37.0205078740146,-0.501043264110236)); #42422=CARTESIAN_POINT('Origin',(-5.39056585225218,36.5639004276945,-0.500149037731409)); #42423=CARTESIAN_POINT('',(-5.52406585225218,36.6040078740146,-0.50014903773141)); #42424=CARTESIAN_POINT('Origin',(-5.39056585225218,36.6040078740146,-0.500149037731409)); #42425=CARTESIAN_POINT('',(-5.39056585225218,36.5237929813745,-0.500149037731409)); #42426=CARTESIAN_POINT('',(-5.45731585225218,36.5639004276945,-0.500149037731409)); #42427=CARTESIAN_POINT('Origin',(-5.39056585225218,37.0205078740146,-0.500149037731409)); #42428=CARTESIAN_POINT('',(-5.52406585225218,37.4370078740146,-0.50014903773141)); #42429=CARTESIAN_POINT('Origin',(-5.39056585225218,37.4370078740146,-0.500149037731409)); #42430=CARTESIAN_POINT('',(-5.52406585225218,37.0205078740146,-0.50014903773141)); #42431=CARTESIAN_POINT('Origin',(43.0594251158586,4.86825199896037,-0.590622579685749)); #42432=CARTESIAN_POINT('',(43.085274410726,4.87135131915933,-0.453680747437592)); #42433=CARTESIAN_POINT('Origin',(43.0990322594531,4.87300087976331,-0.586459701147196)); #42434=CARTESIAN_POINT('',(43.0198179722641,4.86350311815743,-0.594785458224302)); #42435=CARTESIAN_POINT('',(43.0525461914951,4.86742721865838,-0.524233102830947)); #42436=CARTESIAN_POINT('Origin',(43.5103368131121,4.92231613256297,-0.543229850573569)); #42437=CARTESIAN_POINT('',(43.9078835180441,4.96998182475864,-0.367221046290339)); #42438=CARTESIAN_POINT('Origin',(43.9216413667712,4.97163138536262,-0.499999999999942)); #42439=CARTESIAN_POINT('',(43.4965789643851,4.92066657195898,-0.410450896863966)); #42440=CARTESIAN_POINT('Origin',(42.3451434020493,10.8255837534724,-0.590622579685752)); #42441=CARTESIAN_POINT('',(42.3709926969166,10.8286830736713,-0.453680747437596)); #42442=CARTESIAN_POINT('Origin',(42.3847505456437,10.8303326342753,-0.5864597011472)); #42443=CARTESIAN_POINT('',(42.3055362584548,10.8208348726695,-0.594785458224305)); #42444=CARTESIAN_POINT('',(42.3382644776857,10.8247589731704,-0.524233102830951)); #42445=CARTESIAN_POINT('Origin',(42.7960550993028,10.879647887075,-0.543229850573573)); #42446=CARTESIAN_POINT('',(43.1936018042348,10.9273135792707,-0.367221046290342)); #42447=CARTESIAN_POINT('Origin',(43.2073596529619,10.9289631398746,-0.499999999999945)); #42448=CARTESIAN_POINT('',(42.7822972505757,10.877998326471,-0.410450896863969)); #42449=CARTESIAN_POINT('Origin',(41.6308616882399,16.7829155079844,-0.59062257968575)); #42450=CARTESIAN_POINT('',(41.6567109831073,16.7860148281834,-0.453680747437593)); #42451=CARTESIAN_POINT('Origin',(41.6704688318344,16.7876643887874,-0.586459701147197)); #42452=CARTESIAN_POINT('',(41.5912545446455,16.7781666271815,-0.594785458224303)); #42453=CARTESIAN_POINT('',(41.6239827638764,16.7820907276824,-0.524233102830949)); #42454=CARTESIAN_POINT('Origin',(42.0817733854934,16.836979641587,-0.54322985057357)); #42455=CARTESIAN_POINT('',(42.4793200904255,16.8846453337827,-0.36722104629034)); #42456=CARTESIAN_POINT('Origin',(42.4930779391525,16.8862948943867,-0.499999999999943)); #42457=CARTESIAN_POINT('',(42.0680155367664,16.835330080983,-0.410450896863967)); #42458=CARTESIAN_POINT('Origin',(40.9165799744306,22.7402472624964,-0.590622579685752)); #42459=CARTESIAN_POINT('',(40.942429269298,22.7433465826954,-0.453680747437595)); #42460=CARTESIAN_POINT('Origin',(40.956187118025,22.7449961432994,-0.586459701147199)); #42461=CARTESIAN_POINT('',(40.8769728308361,22.7354983816935,-0.594785458224305)); #42462=CARTESIAN_POINT('',(40.909701050067,22.7394224821945,-0.52423310283095)); #42463=CARTESIAN_POINT('Origin',(41.3674916716841,22.794311396099,-0.543229850573572)); #42464=CARTESIAN_POINT('',(41.7650383766161,22.8419770882947,-0.367221046290341)); #42465=CARTESIAN_POINT('Origin',(41.7787962253432,22.8436266488987,-0.499999999999945)); #42466=CARTESIAN_POINT('',(41.353733822957,22.7926618354951,-0.410450896863969)); #42467=CARTESIAN_POINT('Origin',(40.5594391175259,25.7189131397525,-0.590622579685751)); #42468=CARTESIAN_POINT('',(40.5852884123933,25.7220124599514,-0.453680747437591)); #42469=CARTESIAN_POINT('Origin',(40.5990462611203,25.7236620205554,-0.586459701147198)); #42470=CARTESIAN_POINT('',(40.5198319739314,25.7141642589495,-0.594785458224304)); #42471=CARTESIAN_POINT('',(40.5525601931624,25.7180883594505,-0.524233102830949)); #42472=CARTESIAN_POINT('Origin',(41.0103508147794,25.7729772733551,-0.543229850573571)); #42473=CARTESIAN_POINT('',(41.4078975197114,25.8206429655507,-0.36722104629034)); #42474=CARTESIAN_POINT('Origin',(41.4216553684385,25.8222925261547,-0.499999999999943)); #42475=CARTESIAN_POINT('',(40.9965929660524,25.7713277127511,-0.410450896863968)); #42476=CARTESIAN_POINT('Origin',(41.2737208313352,19.7615813852404,-0.590622579685749)); #42477=CARTESIAN_POINT('',(41.2995701262026,19.7646807054394,-0.453680747437592)); #42478=CARTESIAN_POINT('Origin',(41.3133279749297,19.7663302660434,-0.586459701147196)); #42479=CARTESIAN_POINT('',(41.2341136877408,19.7568325044375,-0.594785458224302)); #42480=CARTESIAN_POINT('',(41.2668419069717,19.7607566049384,-0.524233102830947)); #42481=CARTESIAN_POINT('Origin',(41.7246325285888,19.815645518843,-0.543229850573569)); #42482=CARTESIAN_POINT('',(42.1221792335208,19.8633112110387,-0.367221046290338)); #42483=CARTESIAN_POINT('Origin',(42.1359370822478,19.8649607716427,-0.499999999999942)); #42484=CARTESIAN_POINT('',(41.7108746798617,19.813995958239,-0.410450896863966)); #42485=CARTESIAN_POINT('Origin',(41.9880025451446,13.8042496307284,-0.590622579685752)); #42486=CARTESIAN_POINT('',(42.013851840012,13.8073489509274,-0.453680747437596)); #42487=CARTESIAN_POINT('Origin',(42.027609688739,13.8089985115313,-0.5864597011472)); #42488=CARTESIAN_POINT('',(41.9483954015501,13.7995007499255,-0.594785458224305)); #42489=CARTESIAN_POINT('',(41.9811236207811,13.8034248504264,-0.524233102830951)); #42490=CARTESIAN_POINT('Origin',(42.4389142423981,13.858313764331,-0.543229850573573)); #42491=CARTESIAN_POINT('',(42.8364609473301,13.9059794565267,-0.367221046290342)); #42492=CARTESIAN_POINT('Origin',(42.8502187960572,13.9076290171307,-0.499999999999945)); #42493=CARTESIAN_POINT('',(42.425156393671,13.856664203727,-0.410450896863969)); #42494=CARTESIAN_POINT('Origin',(42.7022842589539,7.84691787621638,-0.59062257968575)); #42495=CARTESIAN_POINT('',(42.7281335538213,7.85001719641534,-0.453680747437594)); #42496=CARTESIAN_POINT('Origin',(42.7418914025484,7.85166675701932,-0.586459701147198)); #42497=CARTESIAN_POINT('',(42.6626771153595,7.84216899541344,-0.594785458224303)); #42498=CARTESIAN_POINT('',(42.6954053345904,7.84609309591439,-0.524233102830949)); #42499=CARTESIAN_POINT('Origin',(43.1531959562075,7.90098200981897,-0.543229850573571)); #42500=CARTESIAN_POINT('',(43.5507426611395,7.94864770201465,-0.36722104629034)); #42501=CARTESIAN_POINT('Origin',(43.5645005098665,7.95029726261863,-0.499999999999943)); #42502=CARTESIAN_POINT('',(43.1394381074804,7.89933244921499,-0.410450896863967)); #42503=CARTESIAN_POINT('Origin',(43.8674776700168,1.94365025530695,-0.543229850573572)); #42504=CARTESIAN_POINT('',(44.2650243749488,1.99131594750263,-0.367221046290342)); #42505=CARTESIAN_POINT('Origin',(44.2787822236759,1.99296550810661,-0.499999999999945)); #42506=CARTESIAN_POINT('',(43.4424152676307,1.89268544190331,-0.453680747437597)); #42507=CARTESIAN_POINT('',(43.8537198212897,1.94200069470297,-0.410450896863969)); #42508=CARTESIAN_POINT('Origin',(43.4561731163577,1.89433500250729,-0.586459701147199)); #42509=CARTESIAN_POINT('',(43.7722336392993,1.83300000000008,-0.463900132844221)); #42510=CARTESIAN_POINT('',(43.5147234501336,1.83300000000008,-0.465569689559896)); #42511=CARTESIAN_POINT('Ctrl Pts',(43.7722336392993,1.83300000000008,-0.46390013284422)); #42512=CARTESIAN_POINT('Ctrl Pts',(43.7638964859193,1.83705782403436,-0.459191746986099)); #42513=CARTESIAN_POINT('Ctrl Pts',(43.7549847344066,1.84126407028635,-0.455232195801993)); #42514=CARTESIAN_POINT('Ctrl Pts',(43.7257117539467,1.85451103190218,-0.44481737580394)); #42515=CARTESIAN_POINT('Ctrl Pts',(43.7042280019291,1.8635793794659,-0.440910511426496)); #42516=CARTESIAN_POINT('Ctrl Pts',(43.6745504153676,1.87228013483581,-0.438753758317197)); #42517=CARTESIAN_POINT('Ctrl Pts',(43.6645787712287,1.87463937561375,-0.438489214350642)); #42518=CARTESIAN_POINT('Ctrl Pts',(43.6444424961865,1.87701902834621,-0.438960494114637)); #42519=CARTESIAN_POINT('Ctrl Pts',(43.6342665720708,1.87694394441189,-0.439691066558814)); #42520=CARTESIAN_POINT('Ctrl Pts',(43.6250578885302,1.8758398269089,-0.440658938200153)); #42521=CARTESIAN_POINT('Ctrl Pts',(43.6045400302668,1.87337974384352,-0.442815452002217)); #42522=CARTESIAN_POINT('Ctrl Pts',(43.5852399215954,1.86625525064562,-0.446286483392451)); #42523=CARTESIAN_POINT('Ctrl Pts',(43.5487191062926,1.85046947628672,-0.454777457016266)); #42524=CARTESIAN_POINT('Ctrl Pts',(43.5315398587811,1.84185121120185,-0.459689130393633)); #42525=CARTESIAN_POINT('Ctrl Pts',(43.5147234501336,1.83300000000007,-0.465569689559897)); #42526=CARTESIAN_POINT('',(43.5102071844686,1.79444408726076,-0.499999999999974)); #42527=CARTESIAN_POINT('Ctrl Pts',(43.5102071844686,1.79444408726076,-0.500000000000012)); #42528=CARTESIAN_POINT('Ctrl Pts',(43.5102071844686,1.79829347307883,-0.494982130900035)); #42529=CARTESIAN_POINT('Ctrl Pts',(43.5104902901582,1.80250858741046,-0.49022340422448)); #42530=CARTESIAN_POINT('Ctrl Pts',(43.5117924449976,1.81484623304924,-0.478077868981062)); #42531=CARTESIAN_POINT('Ctrl Pts',(43.5131985639151,1.8235906183637,-0.471282258409506)); #42532=CARTESIAN_POINT('Ctrl Pts',(43.5147234501336,1.83300000000008,-0.465569689559896)); #42533=CARTESIAN_POINT('Ctrl Pts',(43.7722336392993,1.83300000000008,-0.463900132844222)); #42534=CARTESIAN_POINT('Ctrl Pts',(43.7741223616209,1.82695706990432,-0.47062455604246)); #42535=CARTESIAN_POINT('Ctrl Pts',(43.7756200766989,1.8216063117037,-0.478008059835352)); #42536=CARTESIAN_POINT('Ctrl Pts',(43.7774714629236,1.81148065032463,-0.495393492920261)); #42537=CARTESIAN_POINT('Ctrl Pts',(43.7775367771647,1.80668069460008,-0.50648495762734)); #42538=CARTESIAN_POINT('Ctrl Pts',(43.7744957263166,1.79999805187884,-0.529099348890826)); #42539=CARTESIAN_POINT('Ctrl Pts',(43.7713592909727,1.79808635005211,-0.540609636709037)); #42540=CARTESIAN_POINT('Ctrl Pts',(43.7616734742985,1.79614842322087,-0.564137062015868)); #42541=CARTESIAN_POINT('Ctrl Pts',(43.7524372406168,1.79681205667401,-0.578785602740384)); #42542=CARTESIAN_POINT('Ctrl Pts',(43.7323310899735,1.79929159125735,-0.601052917425018)); #42543=CARTESIAN_POINT('Ctrl Pts',(43.7190097791757,1.80133898210884,-0.611477840872916)); #42544=CARTESIAN_POINT('Ctrl Pts',(43.6894166969155,1.8034441687505,-0.626524406851949)); #42545=CARTESIAN_POINT('Ctrl Pts',(43.6731285132335,1.80328718762388,-0.63114304906133)); #42546=CARTESIAN_POINT('Ctrl Pts',(43.6576617343148,1.80143272696794,-0.632768673031601)); #42547=CARTESIAN_POINT('Ctrl Pts',(43.6417491731716,1.79952481720013,-0.63444115060168)); #42548=CARTESIAN_POINT('Ctrl Pts',(43.6248312739554,1.79563126425786,-0.633183422452731)); #42549=CARTESIAN_POINT('Ctrl Pts',(43.5929935299647,1.78617419267054,-0.624588361186941)); #42550=CARTESIAN_POINT('Ctrl Pts',(43.5780184732247,1.78078724830439,-0.617262259761503)); #42551=CARTESIAN_POINT('Ctrl Pts',(43.5539026950602,1.77304188024087,-0.599792699322437)); #42552=CARTESIAN_POINT('Ctrl Pts',(43.5417922775923,1.76977725743804,-0.587464819407203)); #42553=CARTESIAN_POINT('Ctrl Pts',(43.5273073091444,1.76882355966511,-0.566291100477284)); #42554=CARTESIAN_POINT('Ctrl Pts',(43.5218254908769,1.76970088249435,-0.55565727478711)); #42555=CARTESIAN_POINT('Ctrl Pts',(43.5141385543429,1.77511226735676,-0.53412988856279)); #42556=CARTESIAN_POINT('Ctrl Pts',(43.5119028290932,1.77967005945406,-0.523253242899191)); #42557=CARTESIAN_POINT('Ctrl Pts',(43.5104245912256,1.78797329106501,-0.509000800138926)); #42558=CARTESIAN_POINT('Ctrl Pts',(43.5102071844686,1.79106809172984,-0.504400780918558)); #42559=CARTESIAN_POINT('Ctrl Pts',(43.5102071844686,1.79444408726076,-0.500000000000012)); #42560=CARTESIAN_POINT('Origin',(43.4165659727633,1.88958612170436,-0.590622579685752)); #42561=CARTESIAN_POINT('',(43.3769588291688,1.88483724090142,-0.594785458224305)); #42562=CARTESIAN_POINT('',(43.4096870483997,1.88876134140236,-0.52423310283095)); #42563=CARTESIAN_POINT('Origin',(43.6437071844686,1.87310744632016,-0.499999999999936)); #42564=CARTESIAN_POINT('Origin',(43.6437071844686,1.83300000000008,-0.499999999999936)); #42565=CARTESIAN_POINT('Origin',(-14.976561613426,15.4370124962004,-0.408735412577431)); #42566=CARTESIAN_POINT('',(-15.0024947971124,15.4370124967848,-0.545696455338526)); #42567=CARTESIAN_POINT('Origin',(-15.0164493469586,15.4370124970993,-0.412927782306859)); #42568=CARTESIAN_POINT('',(-14.9366738798933,15.4370124953015,-0.404543042848004)); #42569=CARTESIAN_POINT('',(-14.9695843385029,15.4370124960432,-0.475119749093264)); #42570=CARTESIAN_POINT('Origin',(-15.4306677163795,15.4370125064342,-0.456463887257826)); #42571=CARTESIAN_POINT('',(-15.8309315359542,15.4370125154546,-0.632768665240456)); #42572=CARTESIAN_POINT('Origin',(-15.8448860858004,15.437012515769,-0.499999992208792)); #42573=CARTESIAN_POINT('',(-15.4167131665333,15.4370125061197,-0.58923256028949)); #42574=CARTESIAN_POINT('Origin',(-14.9765614811576,21.4370124962003,-0.408735384527725)); #42575=CARTESIAN_POINT('',(-15.002494664844,21.4370124967848,-0.545696427288819)); #42576=CARTESIAN_POINT('Origin',(-15.0164492146902,21.4370124970993,-0.412927754257153)); #42577=CARTESIAN_POINT('',(-14.936673747625,21.4370124953014,-0.404543014798297)); #42578=CARTESIAN_POINT('',(-14.9695842062345,21.4370124960431,-0.475119721043558)); #42579=CARTESIAN_POINT('Origin',(-15.4306675841111,21.4370125064341,-0.456463859208119)); #42580=CARTESIAN_POINT('',(-15.8309314036858,21.4370125154545,-0.63276863719075)); #42581=CARTESIAN_POINT('Origin',(-15.844885953532,21.437012515769,-0.499999964159085)); #42582=CARTESIAN_POINT('',(-15.4167130342649,21.4370125061196,-0.589232532239784)); #42583=CARTESIAN_POINT('Origin',(-14.9765613488892,27.4370124962003,-0.408735356478012)); #42584=CARTESIAN_POINT('',(-15.0024945325757,27.4370124967847,-0.545696399239106)); #42585=CARTESIAN_POINT('Origin',(-15.0164490824219,27.4370124970992,-0.41292772620744)); #42586=CARTESIAN_POINT('',(-14.9366736153566,27.4370124953014,-0.404542986748584)); #42587=CARTESIAN_POINT('',(-14.9695840739661,27.4370124960431,-0.475119692993845)); #42588=CARTESIAN_POINT('Origin',(-15.4306674518428,27.4370125064341,-0.456463831158406)); #42589=CARTESIAN_POINT('',(-15.8309312714174,27.4370125154545,-0.632768609141037)); #42590=CARTESIAN_POINT('Origin',(-15.8448858212637,27.4370125157689,-0.499999936109372)); #42591=CARTESIAN_POINT('',(-15.4167129019965,27.4370125061196,-0.589232504190071)); #42592=CARTESIAN_POINT('Origin',(-14.9765612166209,33.4370124962002,-0.408735328428309)); #42593=CARTESIAN_POINT('',(-15.0024944003073,33.4370124967847,-0.545696371189403)); #42594=CARTESIAN_POINT('Origin',(-15.0164489501535,33.4370124970991,-0.412927698157737)); #42595=CARTESIAN_POINT('',(-14.9366734830882,33.4370124953013,-0.404542958698881)); #42596=CARTESIAN_POINT('',(-14.9695839416978,33.437012496043,-0.475119664944142)); #42597=CARTESIAN_POINT('Origin',(-15.4306673195744,33.437012506434,-0.456463803108703)); #42598=CARTESIAN_POINT('',(-15.8309311391491,33.4370125154544,-0.632768581091334)); #42599=CARTESIAN_POINT('Origin',(-15.8448856889953,33.4370125157689,-0.499999908059669)); #42600=CARTESIAN_POINT('',(-15.4167127697282,33.4370125061195,-0.589232476140368)); #42601=CARTESIAN_POINT('Origin',(-14.9765611504867,36.4370124962002,-0.408735314403509)); #42602=CARTESIAN_POINT('',(-15.0024943341731,36.4370124967846,-0.545696357164603)); #42603=CARTESIAN_POINT('Origin',(-15.0164488840193,36.4370124970991,-0.412927684132936)); #42604=CARTESIAN_POINT('',(-14.9366734169541,36.4370124953013,-0.404542944674081)); #42605=CARTESIAN_POINT('',(-14.9695838755636,36.437012496043,-0.475119650919341)); #42606=CARTESIAN_POINT('Origin',(-15.4306672534402,36.437012506434,-0.456463789083903)); #42607=CARTESIAN_POINT('',(-15.8309310730149,36.4370125154544,-0.632768567066534)); #42608=CARTESIAN_POINT('Origin',(-15.8448856228611,36.4370125157688,-0.499999894034869)); #42609=CARTESIAN_POINT('',(-15.416712703594,36.4370125061195,-0.589232462115568)); #42610=CARTESIAN_POINT('Origin',(-14.9765612827551,30.4370124962003,-0.40873534245316)); #42611=CARTESIAN_POINT('',(-15.0024944664415,30.4370124967847,-0.545696385214254)); #42612=CARTESIAN_POINT('Origin',(-15.0164490162877,30.4370124970992,-0.412927712182588)); #42613=CARTESIAN_POINT('',(-14.9366735492224,30.4370124953014,-0.404542972723732)); #42614=CARTESIAN_POINT('',(-14.9695840078319,30.437012496043,-0.475119678968992)); #42615=CARTESIAN_POINT('Origin',(-15.4306673857086,30.437012506434,-0.456463817133554)); #42616=CARTESIAN_POINT('',(-15.8309312052832,30.4370125154544,-0.632768595116185)); #42617=CARTESIAN_POINT('Origin',(-15.8448857551295,30.4370125157689,-0.49999992208452)); #42618=CARTESIAN_POINT('',(-15.4167128358624,30.4370125061196,-0.589232490165219)); #42619=CARTESIAN_POINT('Origin',(-14.9765614150234,24.4370124962003,-0.408735370502867)); #42620=CARTESIAN_POINT('',(-15.0024945987098,24.4370124967848,-0.545696413263961)); #42621=CARTESIAN_POINT('Origin',(-15.0164491485561,24.4370124970992,-0.412927740232295)); #42622=CARTESIAN_POINT('',(-14.9366736814908,24.4370124953014,-0.404543000773439)); #42623=CARTESIAN_POINT('',(-14.9695841401003,24.4370124960431,-0.475119707018699)); #42624=CARTESIAN_POINT('Origin',(-15.4306675179769,24.4370125064341,-0.456463845183261)); #42625=CARTESIAN_POINT('',(-15.8309313375516,24.4370125154545,-0.632768623165892)); #42626=CARTESIAN_POINT('Origin',(-15.8448858873978,24.437012515769,-0.499999950134227)); #42627=CARTESIAN_POINT('',(-15.4167129681307,24.4370125061196,-0.589232518214926)); #42628=CARTESIAN_POINT('Origin',(-14.9765615472918,18.4370124962004,-0.408735398552571)); #42629=CARTESIAN_POINT('',(-15.0024947309782,18.4370124967848,-0.545696441313664)); #42630=CARTESIAN_POINT('Origin',(-15.0164492808244,18.4370124970993,-0.412927768281998)); #42631=CARTESIAN_POINT('',(-14.9366738137592,18.4370124953015,-0.404543028823143)); #42632=CARTESIAN_POINT('',(-14.9695842723687,18.4370124960431,-0.475119735068403)); #42633=CARTESIAN_POINT('Origin',(-15.4306676502453,18.4370125064341,-0.456463873232965)); #42634=CARTESIAN_POINT('',(-15.83093146982,18.4370125154545,-0.632768651215596)); #42635=CARTESIAN_POINT('Origin',(-15.8448860196662,18.437012515769,-0.499999978183931)); #42636=CARTESIAN_POINT('',(-15.4167131003991,18.4370125061197,-0.58923254626463)); #42637=CARTESIAN_POINT('Origin',(-14.9765616795602,12.4370124962005,-0.408735426602278)); #42638=CARTESIAN_POINT('',(-15.0024948632466,12.4370124967849,-0.54569646936337)); #42639=CARTESIAN_POINT('Origin',(-15.0164494130928,12.4370124970994,-0.412927796331705)); #42640=CARTESIAN_POINT('',(-14.9366739460275,12.4370124953015,-0.40454305687285)); #42641=CARTESIAN_POINT('',(-14.969584404637,12.4370124960432,-0.47511976311811)); #42642=CARTESIAN_POINT('Origin',(-15.4306677825137,12.4370125064342,-0.456463901282672)); #42643=CARTESIAN_POINT('',(-15.8309316020883,12.4370125154546,-0.632768679265303)); #42644=CARTESIAN_POINT('Origin',(-15.8448861519346,12.4370125157691,-0.500000006233638)); #42645=CARTESIAN_POINT('',(-15.4167132326674,12.4370125061197,-0.589232574314337)); #42646=CARTESIAN_POINT('Origin',(-5.93960701444364,3.97200768492188,-0.441974303897537)); #42647=CARTESIAN_POINT('',(-6.06870233811543,4.02452741257488,-0.444607337062643)); #42648=CARTESIAN_POINT('Origin',(-5.9646982739671,3.94082911724797,-0.444607337062643)); #42649=CARTESIAN_POINT('',(-5.91451575492017,4.00318625259579,-0.43934127073243)); #42650=CARTESIAN_POINT('',(-5.9916090465178,4.01385683258534,-0.441974303897537)); #42651=CARTESIAN_POINT('Origin',(-6.2252611008327,3.61705199784363,-0.471950347248697)); #42652=CARTESIAN_POINT('',(-6.58982799184664,3.3769731737662,-0.499293357434751)); #42653=CARTESIAN_POINT('Origin',(-6.48582392769831,3.29327487843929,-0.499293357434751)); #42654=CARTESIAN_POINT('',(-6.32926516498103,3.70075029317054,-0.471950347248697)); #42655=CARTESIAN_POINT('Origin',(-10.2244187693997,7.42025190806588,-0.441971309125308)); #42656=CARTESIAN_POINT('',(-10.3535140930715,7.47277163571888,-0.444604342290415)); #42657=CARTESIAN_POINT('Origin',(-10.2495100289231,7.38907334039197,-0.444604342290415)); #42658=CARTESIAN_POINT('',(-10.1993275098762,7.45143047573978,-0.439338275960202)); #42659=CARTESIAN_POINT('',(-10.2764208014738,7.46210105572933,-0.441971309125308)); #42660=CARTESIAN_POINT('Origin',(-10.5100728557887,7.06529622098763,-0.471947352476469)); #42661=CARTESIAN_POINT('',(-10.8746397468027,6.82521739691019,-0.499290362662522)); #42662=CARTESIAN_POINT('Origin',(-10.7706356826543,6.74151910158329,-0.499290362662522)); #42663=CARTESIAN_POINT('',(-10.6140769199371,7.14899451631454,-0.471947352476469)); #42664=CARTESIAN_POINT('Origin',(-14.5092305243557,10.8684961312099,-0.44196831435307)); #42665=CARTESIAN_POINT('',(-14.6383258480275,10.9210158588629,-0.444601347518176)); #42666=CARTESIAN_POINT('Origin',(-14.5343217838792,10.837317563536,-0.444601347518176)); #42667=CARTESIAN_POINT('',(-14.4841392648322,10.8996746988838,-0.439335281187963)); #42668=CARTESIAN_POINT('',(-14.5612325564299,10.9103452788733,-0.44196831435307)); #42669=CARTESIAN_POINT('Origin',(-14.7948846107448,10.5135404441316,-0.47194435770423)); #42670=CARTESIAN_POINT('',(-15.1594515017587,10.2734616200542,-0.499287367890284)); #42671=CARTESIAN_POINT('Origin',(-15.0554474376104,10.1897633247273,-0.499287367890284)); #42672=CARTESIAN_POINT('',(-14.8988886748931,10.5972387394585,-0.47194435770423)); #42673=CARTESIAN_POINT('Origin',(-12.3668246468777,9.14437401963787,-0.441969811739201)); #42674=CARTESIAN_POINT('',(-12.4959199705495,9.19689374729086,-0.444602844904307)); #42675=CARTESIAN_POINT('Origin',(-12.3919159064011,9.11319545196396,-0.444602844904307)); #42676=CARTESIAN_POINT('',(-12.3417333873542,9.17555258731177,-0.439336778574094)); #42677=CARTESIAN_POINT('',(-12.4188266789518,9.18622316730132,-0.441969811739201)); #42678=CARTESIAN_POINT('Origin',(-12.6524787332667,8.78941833255962,-0.471945855090361)); #42679=CARTESIAN_POINT('',(-13.0170456242807,8.54933950848218,-0.499288865276415)); #42680=CARTESIAN_POINT('Origin',(-12.9130415601323,8.46564121315528,-0.499288865276415)); #42681=CARTESIAN_POINT('',(-12.7564827974151,8.87311662788653,-0.471945855090361)); #42682=CARTESIAN_POINT('Origin',(-8.08201289192167,5.69612979649389,-0.441972806511423)); #42683=CARTESIAN_POINT('',(-8.21110821559347,5.74864952414689,-0.444605839676529)); #42684=CARTESIAN_POINT('Origin',(-8.10710415144514,5.66495122881999,-0.444605839676529)); #42685=CARTESIAN_POINT('',(-8.05692163239821,5.7273083641678,-0.439339773346316)); #42686=CARTESIAN_POINT('',(-8.13401492399584,5.73797894415735,-0.441972806511423)); #42687=CARTESIAN_POINT('Origin',(-8.36766697831074,5.34117410941565,-0.471948849862583)); #42688=CARTESIAN_POINT('',(-8.73223386932467,5.10109528533821,-0.499291860048637)); #42689=CARTESIAN_POINT('Origin',(-8.62822980517634,5.0173969900113,-0.499291860048637)); #42690=CARTESIAN_POINT('',(-8.47167104245907,5.42487240474255,-0.471948849862583)); #42691=CARTESIAN_POINT('Origin',(-3.79720113696562,2.24788557334989,-0.441975801283651)); #42692=CARTESIAN_POINT('',(-3.92629646063742,2.30040530100288,-0.444608834448757)); #42693=CARTESIAN_POINT('Origin',(-3.82229239648909,2.21670700567598,-0.444608834448757)); #42694=CARTESIAN_POINT('',(-3.77210987744216,2.27906414102379,-0.439342768118544)); #42695=CARTESIAN_POINT('',(-3.84920316903979,2.28973472101334,-0.441975801283651)); #42696=CARTESIAN_POINT('Origin',(-4.08285522335469,1.89292988627164,-0.471951844634811)); #42697=CARTESIAN_POINT('',(-4.44742211436862,1.65285106219421,-0.499294854820865)); #42698=CARTESIAN_POINT('Origin',(-4.34341805022029,1.5691527668673,-0.499294854820865)); #42699=CARTESIAN_POINT('',(-4.18685928750302,1.97662818159855,-0.471951844634811)); #42700=CARTESIAN_POINT('Origin',(40.6437071844686,1.87310744632017,-0.499999999999939)); #42701=CARTESIAN_POINT('',(40.5102071844686,1.83300000000008,-0.499999999999939)); #42702=CARTESIAN_POINT('Origin',(40.6437071844686,1.83300000000008,-0.499999999999939)); #42703=CARTESIAN_POINT('',(40.6437071844686,1.91321489264026,-0.499999999999939)); #42704=CARTESIAN_POINT('',(40.5769571844686,1.87310744632017,-0.499999999999939)); #42705=CARTESIAN_POINT('Origin',(40.6437071844686,1.41650000000008,-0.499999999999939)); #42706=CARTESIAN_POINT('',(40.5102071844686,1.00000000000008,-0.499999999999939)); #42707=CARTESIAN_POINT('Origin',(40.6437071844686,1.00000000000008,-0.499999999999939)); #42708=CARTESIAN_POINT('',(40.5102071844686,1.41650000000008,-0.499999999999939)); #42709=CARTESIAN_POINT('Origin',(34.6437071844686,1.87310744632017,-0.499999999999953)); #42710=CARTESIAN_POINT('',(34.5102071844686,1.83300000000008,-0.499999999999953)); #42711=CARTESIAN_POINT('Origin',(34.6437071844686,1.83300000000008,-0.499999999999953)); #42712=CARTESIAN_POINT('',(34.6437071844686,1.91321489264026,-0.499999999999953)); #42713=CARTESIAN_POINT('',(34.5769571844686,1.87310744632017,-0.499999999999953)); #42714=CARTESIAN_POINT('Origin',(34.6437071844686,1.41650000000008,-0.499999999999953)); #42715=CARTESIAN_POINT('',(34.5102071844686,1.00000000000008,-0.499999999999953)); #42716=CARTESIAN_POINT('Origin',(34.6437071844686,1.00000000000008,-0.499999999999953)); #42717=CARTESIAN_POINT('',(34.5102071844686,1.41650000000008,-0.499999999999953)); #42718=CARTESIAN_POINT('Origin',(28.6437071844686,1.87310744632017,-0.499999999999956)); #42719=CARTESIAN_POINT('',(28.5102071844686,1.83300000000008,-0.499999999999956)); #42720=CARTESIAN_POINT('Origin',(28.6437071844686,1.83300000000008,-0.499999999999956)); #42721=CARTESIAN_POINT('',(28.6437071844686,1.91321489264026,-0.499999999999956)); #42722=CARTESIAN_POINT('',(28.5769571844686,1.87310744632017,-0.499999999999956)); #42723=CARTESIAN_POINT('Origin',(28.6437071844686,1.41650000000008,-0.499999999999956)); #42724=CARTESIAN_POINT('',(28.5102071844686,1.00000000000008,-0.499999999999956)); #42725=CARTESIAN_POINT('Origin',(28.6437071844686,1.00000000000008,-0.499999999999956)); #42726=CARTESIAN_POINT('',(28.5102071844686,1.41650000000008,-0.499999999999956)); #42727=CARTESIAN_POINT('Origin',(22.6437071844686,1.87310744632017,-0.499999999999973)); #42728=CARTESIAN_POINT('',(22.5102071844686,1.83300000000008,-0.499999999999973)); #42729=CARTESIAN_POINT('Origin',(22.6437071844686,1.83300000000008,-0.499999999999973)); #42730=CARTESIAN_POINT('',(22.6437071844686,1.91321489264026,-0.499999999999973)); #42731=CARTESIAN_POINT('',(22.5769571844686,1.87310744632017,-0.499999999999973)); #42732=CARTESIAN_POINT('Origin',(22.6437071844686,1.41650000000008,-0.499999999999973)); #42733=CARTESIAN_POINT('',(22.5102071844686,1.00000000000008,-0.499999999999973)); #42734=CARTESIAN_POINT('Origin',(22.6437071844686,1.00000000000008,-0.499999999999973)); #42735=CARTESIAN_POINT('',(22.5102071844686,1.41650000000008,-0.499999999999973)); #42736=CARTESIAN_POINT('Origin',(16.6437071844686,1.87310744632017,-0.49999999999997)); #42737=CARTESIAN_POINT('',(16.5102071844686,1.83300000000008,-0.49999999999997)); #42738=CARTESIAN_POINT('Origin',(16.6437071844686,1.83300000000008,-0.49999999999997)); #42739=CARTESIAN_POINT('',(16.6437071844686,1.91321489264026,-0.49999999999997)); #42740=CARTESIAN_POINT('',(16.5769571844686,1.87310744632017,-0.49999999999997)); #42741=CARTESIAN_POINT('Origin',(16.6437071844686,1.41650000000008,-0.49999999999997)); #42742=CARTESIAN_POINT('',(16.5102071844686,1.00000000000008,-0.49999999999997)); #42743=CARTESIAN_POINT('Origin',(16.6437071844686,1.00000000000008,-0.49999999999997)); #42744=CARTESIAN_POINT('',(16.5102071844686,1.41650000000008,-0.49999999999997)); #42745=CARTESIAN_POINT('Origin',(10.6437071844686,1.87310744632017,-0.499999999999981)); #42746=CARTESIAN_POINT('',(10.5102071844686,1.83300000000009,-0.499999999999981)); #42747=CARTESIAN_POINT('Origin',(10.6437071844686,1.83300000000009,-0.499999999999981)); #42748=CARTESIAN_POINT('',(10.6437071844686,1.91321489264026,-0.499999999999981)); #42749=CARTESIAN_POINT('',(10.5769571844686,1.87310744632017,-0.499999999999981)); #42750=CARTESIAN_POINT('Origin',(10.6437071844686,1.41650000000009,-0.499999999999981)); #42751=CARTESIAN_POINT('',(10.5102071844686,1.00000000000009,-0.499999999999981)); #42752=CARTESIAN_POINT('Origin',(10.6437071844686,1.00000000000009,-0.499999999999981)); #42753=CARTESIAN_POINT('',(10.5102071844686,1.41650000000009,-0.499999999999981)); #42754=CARTESIAN_POINT('Origin',(4.64370718446854,1.87310744632018,-0.499999999999992)); #42755=CARTESIAN_POINT('',(4.51020718446854,1.83300000000009,-0.499999999999992)); #42756=CARTESIAN_POINT('Origin',(4.64370718446854,1.83300000000009,-0.499999999999992)); #42757=CARTESIAN_POINT('',(4.64370718446854,1.91321489264027,-0.499999999999992)); #42758=CARTESIAN_POINT('',(4.57695718446854,1.87310744632018,-0.499999999999992)); #42759=CARTESIAN_POINT('Origin',(4.64370718446854,1.41650000000009,-0.499999999999992)); #42760=CARTESIAN_POINT('',(4.51020718446854,1.00000000000009,-0.499999999999992)); #42761=CARTESIAN_POINT('Origin',(4.64370718446854,1.00000000000009,-0.499999999999992)); #42762=CARTESIAN_POINT('',(4.51020718446854,1.41650000000009,-0.499999999999992)); #42763=CARTESIAN_POINT('Origin',(-1.35629281553146,1.87310744632018,-0.500000000000003)); #42764=CARTESIAN_POINT('',(-1.48979281553146,1.83300000000009,-0.500000000000003)); #42765=CARTESIAN_POINT('Origin',(-1.35629281553146,1.83300000000009,-0.500000000000003)); #42766=CARTESIAN_POINT('',(-1.35629281553146,1.91321489264027,-0.500000000000003)); #42767=CARTESIAN_POINT('',(-1.42304281553146,1.87310744632018,-0.500000000000003)); #42768=CARTESIAN_POINT('Origin',(-1.35629281553146,1.41650000000009,-0.500000000000003)); #42769=CARTESIAN_POINT('',(-1.48979281553146,1.00000000000009,-0.500000000000003)); #42770=CARTESIAN_POINT('Origin',(-1.35629281553146,1.00000000000009,-0.500000000000003)); #42771=CARTESIAN_POINT('',(-1.48979281553146,1.41650000000009,-0.500000000000003)); #42772=CARTESIAN_POINT('Origin',(1.64370718446855,1.87310744632018,-0.500000000000003)); #42773=CARTESIAN_POINT('',(1.51020718446855,1.83300000000009,-0.500000000000003)); #42774=CARTESIAN_POINT('Origin',(1.64370718446855,1.83300000000009,-0.500000000000003)); #42775=CARTESIAN_POINT('',(1.64370718446855,1.91321489264027,-0.500000000000003)); #42776=CARTESIAN_POINT('',(1.57695718446855,1.87310744632018,-0.500000000000003)); #42777=CARTESIAN_POINT('Origin',(1.64370718446855,1.41650000000009,-0.500000000000003)); #42778=CARTESIAN_POINT('',(1.51020718446855,1.00000000000009,-0.500000000000003)); #42779=CARTESIAN_POINT('Origin',(1.64370718446855,1.00000000000009,-0.500000000000003)); #42780=CARTESIAN_POINT('',(1.51020718446855,1.41650000000009,-0.500000000000003)); #42781=CARTESIAN_POINT('Origin',(7.64370718446854,1.87310744632018,-0.499999999999992)); #42782=CARTESIAN_POINT('',(7.51020718446854,1.83300000000009,-0.499999999999992)); #42783=CARTESIAN_POINT('Origin',(7.64370718446854,1.83300000000009,-0.499999999999992)); #42784=CARTESIAN_POINT('',(7.64370718446854,1.91321489264027,-0.499999999999992)); #42785=CARTESIAN_POINT('',(7.57695718446854,1.87310744632018,-0.499999999999992)); #42786=CARTESIAN_POINT('Origin',(7.64370718446854,1.41650000000009,-0.499999999999992)); #42787=CARTESIAN_POINT('',(7.51020718446854,1.00000000000009,-0.499999999999992)); #42788=CARTESIAN_POINT('Origin',(7.64370718446854,1.00000000000009,-0.499999999999992)); #42789=CARTESIAN_POINT('',(7.51020718446854,1.41650000000009,-0.499999999999992)); #42790=CARTESIAN_POINT('Origin',(13.6437071844686,1.87310744632017,-0.499999999999975)); #42791=CARTESIAN_POINT('',(13.5102071844686,1.83300000000008,-0.499999999999975)); #42792=CARTESIAN_POINT('Origin',(13.6437071844686,1.83300000000008,-0.499999999999975)); #42793=CARTESIAN_POINT('',(13.6437071844686,1.91321489264026,-0.499999999999975)); #42794=CARTESIAN_POINT('',(13.5769571844686,1.87310744632017,-0.499999999999975)); #42795=CARTESIAN_POINT('Origin',(13.6437071844686,1.41650000000008,-0.499999999999975)); #42796=CARTESIAN_POINT('',(13.5102071844686,1.00000000000008,-0.499999999999975)); #42797=CARTESIAN_POINT('Origin',(13.6437071844686,1.00000000000008,-0.499999999999975)); #42798=CARTESIAN_POINT('',(13.5102071844686,1.41650000000008,-0.499999999999975)); #42799=CARTESIAN_POINT('Origin',(19.6437071844686,1.87310744632017,-0.499999999999975)); #42800=CARTESIAN_POINT('',(19.5102071844686,1.83300000000008,-0.499999999999975)); #42801=CARTESIAN_POINT('Origin',(19.6437071844686,1.83300000000008,-0.499999999999975)); #42802=CARTESIAN_POINT('',(19.6437071844686,1.91321489264026,-0.499999999999975)); #42803=CARTESIAN_POINT('',(19.5769571844686,1.87310744632017,-0.499999999999975)); #42804=CARTESIAN_POINT('Origin',(19.6437071844686,1.41650000000008,-0.499999999999975)); #42805=CARTESIAN_POINT('',(19.5102071844686,1.00000000000008,-0.499999999999975)); #42806=CARTESIAN_POINT('Origin',(19.6437071844686,1.00000000000008,-0.499999999999975)); #42807=CARTESIAN_POINT('',(19.5102071844686,1.41650000000008,-0.499999999999975)); #42808=CARTESIAN_POINT('Origin',(25.6437071844686,1.87310744632017,-0.499999999999959)); #42809=CARTESIAN_POINT('',(25.5102071844686,1.83300000000008,-0.499999999999959)); #42810=CARTESIAN_POINT('Origin',(25.6437071844686,1.83300000000008,-0.499999999999959)); #42811=CARTESIAN_POINT('',(25.6437071844686,1.91321489264026,-0.499999999999959)); #42812=CARTESIAN_POINT('',(25.5769571844686,1.87310744632017,-0.499999999999959)); #42813=CARTESIAN_POINT('Origin',(25.6437071844686,1.41650000000008,-0.499999999999959)); #42814=CARTESIAN_POINT('',(25.5102071844686,1.00000000000008,-0.499999999999959)); #42815=CARTESIAN_POINT('Origin',(25.6437071844686,1.00000000000008,-0.499999999999959)); #42816=CARTESIAN_POINT('',(25.5102071844686,1.41650000000008,-0.499999999999959)); #42817=CARTESIAN_POINT('Origin',(31.6437071844686,1.87310744632017,-0.499999999999953)); #42818=CARTESIAN_POINT('',(31.5102071844686,1.83300000000008,-0.499999999999953)); #42819=CARTESIAN_POINT('Origin',(31.6437071844686,1.83300000000008,-0.499999999999953)); #42820=CARTESIAN_POINT('',(31.6437071844686,1.91321489264026,-0.499999999999953)); #42821=CARTESIAN_POINT('',(31.5769571844686,1.87310744632017,-0.499999999999953)); #42822=CARTESIAN_POINT('Origin',(31.6437071844686,1.41650000000008,-0.499999999999953)); #42823=CARTESIAN_POINT('',(31.5102071844686,1.00000000000008,-0.499999999999953)); #42824=CARTESIAN_POINT('Origin',(31.6437071844686,1.00000000000008,-0.499999999999953)); #42825=CARTESIAN_POINT('',(31.5102071844686,1.41650000000008,-0.499999999999953)); #42826=CARTESIAN_POINT('Origin',(37.6437071844686,1.87310744632017,-0.499999999999945)); #42827=CARTESIAN_POINT('',(37.5102071844686,1.83300000000008,-0.499999999999945)); #42828=CARTESIAN_POINT('Origin',(37.6437071844686,1.83300000000008,-0.499999999999945)); #42829=CARTESIAN_POINT('',(37.6437071844686,1.91321489264026,-0.499999999999945)); #42830=CARTESIAN_POINT('',(37.5769571844686,1.87310744632017,-0.499999999999945)); #42831=CARTESIAN_POINT('Origin',(37.6437071844686,1.41650000000008,-0.499999999999945)); #42832=CARTESIAN_POINT('',(37.5102071844686,1.00000000000008,-0.499999999999945)); #42833=CARTESIAN_POINT('Origin',(37.6437071844686,1.00000000000008,-0.499999999999945)); #42834=CARTESIAN_POINT('',(37.5102071844686,1.41650000000008,-0.499999999999945)); #42835=CARTESIAN_POINT('Origin',(43.6437071844686,1.41650000000008,-0.499999999999936)); #42836=CARTESIAN_POINT('',(43.5102071844686,1.00000000000008,-0.499999999999936)); #42837=CARTESIAN_POINT('Origin',(43.6437071844686,1.00000000000008,-0.499999999999936)); #42838=CARTESIAN_POINT('',(43.5102071844686,1.41650000000008,-0.499999999999936)); #42839=CARTESIAN_POINT('Origin',(-15.7237399632465,3.5435152904548E-7,-1.65263166435295)); #42840=CARTESIAN_POINT('',(-15.8970788601322,10.8251984471929,-0.00341990418756021)); #42841=CARTESIAN_POINT('',(-15.7923340712839,10.8250653353448,-0.999999999999974)); #42842=CARTESIAN_POINT('',(-15.7235894145374,10.8249779732105,-1.65406171858541)); #42843=CARTESIAN_POINT('',(-15.8970788601322,37.4370078740146,-0.00341419817619935)); #42844=CARTESIAN_POINT('',(-15.8970788601322,18.7185039377402,-0.00341821173252696)); #42845=CARTESIAN_POINT('',(-15.7923334715549,37.4370078740146,-1.)); #42846=CARTESIAN_POINT('',(-15.7516346356764,37.4370078740146,-1.38722355741109)); #42847=CARTESIAN_POINT('',(-15.7923338933967,18.7185042434339,-0.999999999999974)); #42848=CARTESIAN_POINT('Origin',(-5.10616826196132,37.4370078740146,0.)); #42849=CARTESIAN_POINT('',(-15.8970788601322,37.4370078740146,0.)); #42850=CARTESIAN_POINT('',(-15.8970788601322,37.4370078740146,0.)); #42851=CARTESIAN_POINT('',(-4.39056585225258,37.4370078740146,7.88520604891253E-14)); #42852=CARTESIAN_POINT('',(-15.8970788601322,37.4370078740146,0.)); #42853=CARTESIAN_POINT('',(-4.39056585225274,37.4370078740146,-0.999999999999974)); #42854=CARTESIAN_POINT('',(-4.39056585225274,37.4370078740146,-0.249999999998793)); #42855=CARTESIAN_POINT('',(2.51793400308577,37.4370078740146,-1.)); #42856=CARTESIAN_POINT('Origin',(-15.8970788601322,37.4370078740146,0.)); #42857=CARTESIAN_POINT('',(-15.8970788601322,10.8249096359415,0.)); #42858=CARTESIAN_POINT('',(-15.8970788601322,10.8808066775348,-0.661894319139126)); #42859=CARTESIAN_POINT('',(-15.8970788601322,10.8091416444476,0.)); #42860=CARTESIAN_POINT('Origin',(-4.39056585225274,38.4370078740133,-0.499999999997587)); #42861=CARTESIAN_POINT('',(-4.39056585225274,39.4370078740151,-0.999999999990501)); #42862=CARTESIAN_POINT('',(-4.39056585225274,39.4370078740151,-0.999999999990501)); #42863=CARTESIAN_POINT('',(-4.39056585225258,39.437007874015,7.83275456743417E-14)); #42864=CARTESIAN_POINT('',(-4.39056585225258,37.4370078740146,7.88520604891253E-14)); #42865=CARTESIAN_POINT('',(-4.39056585225258,39.437007874015,7.83275456743417E-14)); #42866=CARTESIAN_POINT('Origin',(-0.957296861685319,-1.18954351247947,-0.100457068857486)); #42867=CARTESIAN_POINT('',(-3.583641870235,1.00000000000009,-1.)); #42868=CARTESIAN_POINT('',(-11.3952354293372,7.28645688067591,-0.999999999999974)); #42869=CARTESIAN_POINT('',(-3.68858013220803,1.00000000000009,0.)); #42870=CARTESIAN_POINT('',(-3.66859751242157,1.00000000000009,-0.190422629560907)); #42871=CARTESIAN_POINT('',(-1.34333388812709,-0.887360021541546,0.)); #42872=CARTESIAN_POINT('Origin',(12.646137759581,17.2779271380141,0.)); #42873=CARTESIAN_POINT('',(44.3452862765084,1.00000000000008,0.)); #42874=CARTESIAN_POINT('',(45.6430320861992,1.00000000000008,0.)); #42875=CARTESIAN_POINT('',(41.1150650565499,27.9410501147081,0.)); #42876=CARTESIAN_POINT('',(42.9035004061556,13.0249427958225,0.)); #42877=CARTESIAN_POINT('',(-4.06544615592089,39.4370078740147,0.)); #42878=CARTESIAN_POINT('Origin',(-4.06544615592127,-54.1233663829335,0.)); #42879=CARTESIAN_POINT('',(8.84691829945298,39.4370078740034,0.)); #42880=CARTESIAN_POINT('Origin',(43.3627818921558,5.19918034479294,4.55761202976736)); #42881=CARTESIAN_POINT('',(44.450390511774,1.00000000000008,-1.)); #42882=CARTESIAN_POINT('',(43.8405038517,1.00000000000008,4.80268395971321)); #42883=CARTESIAN_POINT('',(41.2201692918158,27.941050114708,-0.999999999999973)); #42884=CARTESIAN_POINT('',(41.6949875653194,23.9809179656147,-0.999999999999973)); #42885=CARTESIAN_POINT('',(41.5008991090396,27.9410501147078,-3.67096579423532)); #42886=CARTESIAN_POINT('Origin',(-3.7079633989208,1.00000000000009,0.)); #42887=CARTESIAN_POINT('',(45.6430320861992,1.00000000000008,-1.)); #42888=CARTESIAN_POINT('Origin',(-4.39036585225274,37.4370078740146,-0.999999999999974)); #42889=CARTESIAN_POINT('',(-3.96034192065538,39.4370078740147,-0.999999999999973)); #42890=CARTESIAN_POINT('',(-1.59298040918307,39.4370078740127,-0.999999999999973)); #42891=CARTESIAN_POINT('Origin',(-3.96034192065577,-54.1233663829335,-0.999999999999973)); #42892=CARTESIAN_POINT('',(-11.1470788601322,35.9370078740146,-1.)); #42893=CARTESIAN_POINT('',(0.374529449724436,35.9370078740146,-1.)); #42894=CARTESIAN_POINT('',(-11.8970788601322,35.1870078740146,-1.)); #42895=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-1.)); #42896=CARTESIAN_POINT('',(-11.8970788601322,33.4141978363089,-1.)); #42897=CARTESIAN_POINT('',(-11.8970788601323,25.2800211717562,-1.)); #42898=CARTESIAN_POINT('',(-11.8519561416317,33.1579791445123,-1.)); #42899=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-1.)); #42900=CARTESIAN_POINT('',(-6.84550173432602,19.3848389109527,-1.)); #42901=CARTESIAN_POINT('',(-5.28011264881803,15.078333420096,-1.)); #42902=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-1.)); #42903=CARTESIAN_POINT('Origin',(-3.18596081840062,39.4370078740147,-8.36774403332593)); #42904=CARTESIAN_POINT('',(-3.18596081840062,39.4370078740147,-8.36774403332593)); #42905=CARTESIAN_POINT('Origin',(-3.18596081840099,-54.1233663829335,-8.36774403332609)); #42906=CARTESIAN_POINT('Origin',(-6.14062445282655,19.6410576027492,-1.)); #42907=CARTESIAN_POINT('',(-6.84550173432602,19.3848389109527,-1.)); #42908=CARTESIAN_POINT('Origin',(-11.1470788601322,35.1870078740146,-1.)); #42909=CARTESIAN_POINT('',(-11.8970788601322,35.1870078740146,-1.)); #42910=CARTESIAN_POINT('',(-11.1470788601322,35.9370078740146,-1.)); #42911=CARTESIAN_POINT('Origin',(-11.8970788601322,33.282115205498,-1.)); #42912=CARTESIAN_POINT('',(-11.8970788601322,33.4141978363089,-1.)); #42913=CARTESIAN_POINT('Origin',(-11.1470788601322,33.4141978363089,-1.)); #42914=CARTESIAN_POINT('',(-11.8519561416317,33.1579791445123,-1.)); #42915=CARTESIAN_POINT('Origin',(-6.66601517100207,18.8910576027492,-1.)); #42916=CARTESIAN_POINT('Origin',(-11.8970788601322,35.9370078740146,-1.)); #42917=CARTESIAN_POINT('',(0.,0.,0.)); #42918=CARTESIAN_POINT('Origin',(40.2818420751731,-1.77944217033243,-0.470000000001157)); #42919=CARTESIAN_POINT('',(40.1838420751731,-1.75000000000008,-0.470000000001157)); #42920=CARTESIAN_POINT('Origin',(40.2818420751731,-1.75000000000008,-0.470000000001157)); #42921=CARTESIAN_POINT('',(40.2818420751731,-1.80888434066478,-0.470000000001157)); #42922=CARTESIAN_POINT('',(40.2328420751731,-1.77944217033243,-0.470000000001157)); #42923=CARTESIAN_POINT('Origin',(40.2818420751731,-1.37500000000008,-0.470000000001157)); #42924=CARTESIAN_POINT('',(40.1838420751731,-1.00000000000008,-0.470000000001157)); #42925=CARTESIAN_POINT('Origin',(40.2818420751731,-1.00000000000008,-0.470000000001157)); #42926=CARTESIAN_POINT('',(40.1838420751731,-1.37500000000008,-0.470000000001157)); #42927=CARTESIAN_POINT('Origin',(34.2795963612937,-1.77944217033243,-0.470000000001308)); #42928=CARTESIAN_POINT('',(34.1815963612937,-1.75000000000008,-0.470000000001308)); #42929=CARTESIAN_POINT('Origin',(34.2795963612937,-1.75000000000008,-0.470000000001308)); #42930=CARTESIAN_POINT('',(34.2795963612937,-1.80888434066478,-0.470000000001308)); #42931=CARTESIAN_POINT('',(34.2305963612937,-1.77944217033243,-0.470000000001308)); #42932=CARTESIAN_POINT('Origin',(34.2795963612937,-1.37500000000008,-0.470000000001308)); #42933=CARTESIAN_POINT('',(34.1815963612937,-1.00000000000008,-0.470000000001308)); #42934=CARTESIAN_POINT('Origin',(34.2795963612937,-1.00000000000008,-0.470000000001308)); #42935=CARTESIAN_POINT('',(34.1815963612937,-1.37500000000008,-0.470000000001308)); #42936=CARTESIAN_POINT('Origin',(28.2773506474143,-1.77944217033243,-0.470000000001455)); #42937=CARTESIAN_POINT('',(28.1793506474143,-1.75000000000008,-0.470000000001455)); #42938=CARTESIAN_POINT('Origin',(28.2773506474143,-1.75000000000008,-0.470000000001455)); #42939=CARTESIAN_POINT('',(28.2773506474143,-1.80888434066478,-0.470000000001455)); #42940=CARTESIAN_POINT('',(28.2283506474143,-1.77944217033243,-0.470000000001455)); #42941=CARTESIAN_POINT('Origin',(28.2773506474143,-1.37500000000008,-0.470000000001455)); #42942=CARTESIAN_POINT('',(28.1793506474143,-1.00000000000008,-0.470000000001455)); #42943=CARTESIAN_POINT('Origin',(28.2773506474143,-1.00000000000008,-0.470000000001455)); #42944=CARTESIAN_POINT('',(28.1793506474143,-1.37500000000008,-0.470000000001455)); #42945=CARTESIAN_POINT('Origin',(22.275104933535,-1.77944217033243,-0.470000000001603)); #42946=CARTESIAN_POINT('',(22.177104933535,-1.75000000000008,-0.470000000001603)); #42947=CARTESIAN_POINT('Origin',(22.275104933535,-1.75000000000008,-0.470000000001603)); #42948=CARTESIAN_POINT('',(22.275104933535,-1.80888434066478,-0.470000000001603)); #42949=CARTESIAN_POINT('',(22.226104933535,-1.77944217033243,-0.470000000001603)); #42950=CARTESIAN_POINT('Origin',(22.275104933535,-1.37500000000008,-0.470000000001603)); #42951=CARTESIAN_POINT('',(22.177104933535,-1.00000000000008,-0.470000000001603)); #42952=CARTESIAN_POINT('Origin',(22.275104933535,-1.00000000000008,-0.470000000001603)); #42953=CARTESIAN_POINT('',(22.177104933535,-1.37500000000008,-0.470000000001603)); #42954=CARTESIAN_POINT('Origin',(16.2728592196556,-1.77944217033243,-0.470000000001754)); #42955=CARTESIAN_POINT('',(16.1748592196556,-1.75000000000008,-0.470000000001754)); #42956=CARTESIAN_POINT('Origin',(16.2728592196556,-1.75000000000008,-0.470000000001754)); #42957=CARTESIAN_POINT('',(16.2728592196556,-1.80888434066478,-0.470000000001754)); #42958=CARTESIAN_POINT('',(16.2238592196556,-1.77944217033243,-0.470000000001754)); #42959=CARTESIAN_POINT('Origin',(16.2728592196556,-1.37500000000008,-0.470000000001754)); #42960=CARTESIAN_POINT('',(16.1748592196556,-1.00000000000008,-0.470000000001754)); #42961=CARTESIAN_POINT('Origin',(16.2728592196556,-1.00000000000008,-0.470000000001754)); #42962=CARTESIAN_POINT('',(16.1748592196556,-1.37500000000008,-0.470000000001754)); #42963=CARTESIAN_POINT('Origin',(10.2706438629905,-1.77944217033244,-0.472045702311001)); #42964=CARTESIAN_POINT('',(10.1726438629905,-1.75000000000009,-0.472045702311001)); #42965=CARTESIAN_POINT('Origin',(10.2706438629905,-1.75000000000009,-0.472045702311001)); #42966=CARTESIAN_POINT('',(10.2706438629905,-1.80888434066479,-0.472045702311001)); #42967=CARTESIAN_POINT('',(10.2216438629905,-1.77944217033244,-0.472045702311001)); #42968=CARTESIAN_POINT('Origin',(10.2706438629905,-1.37500000000009,-0.472045702311001)); #42969=CARTESIAN_POINT('',(10.1726438629905,-1.00000000000009,-0.472045702311001)); #42970=CARTESIAN_POINT('Origin',(10.2706438629905,-1.00000000000009,-0.472045702311001)); #42971=CARTESIAN_POINT('',(10.1726438629905,-1.37500000000009,-0.472045702311001)); #42972=CARTESIAN_POINT('Origin',(13.2717363627159,-1.77944217033243,-0.47000000000183)); #42973=CARTESIAN_POINT('',(13.1737363627159,-1.75000000000008,-0.47000000000183)); #42974=CARTESIAN_POINT('Origin',(13.2717363627159,-1.75000000000008,-0.47000000000183)); #42975=CARTESIAN_POINT('',(13.2717363627159,-1.80888434066479,-0.47000000000183)); #42976=CARTESIAN_POINT('',(13.2227363627159,-1.77944217033243,-0.47000000000183)); #42977=CARTESIAN_POINT('Origin',(13.2717363627159,-1.37500000000008,-0.47000000000183)); #42978=CARTESIAN_POINT('',(13.1737363627159,-1.00000000000008,-0.47000000000183)); #42979=CARTESIAN_POINT('Origin',(13.2717363627159,-1.00000000000008,-0.47000000000183)); #42980=CARTESIAN_POINT('',(13.1737363627159,-1.37500000000008,-0.47000000000183)); #42981=CARTESIAN_POINT('Origin',(19.2739820765953,-1.77944217033243,-0.470000000001685)); #42982=CARTESIAN_POINT('',(19.1759820765953,-1.75000000000008,-0.470000000001685)); #42983=CARTESIAN_POINT('Origin',(19.2739820765953,-1.75000000000008,-0.470000000001685)); #42984=CARTESIAN_POINT('',(19.2739820765953,-1.80888434066478,-0.470000000001685)); #42985=CARTESIAN_POINT('',(19.2249820765953,-1.77944217033243,-0.470000000001685)); #42986=CARTESIAN_POINT('Origin',(19.2739820765953,-1.37500000000008,-0.470000000001685)); #42987=CARTESIAN_POINT('',(19.1759820765953,-1.00000000000008,-0.470000000001685)); #42988=CARTESIAN_POINT('Origin',(19.2739820765953,-1.00000000000008,-0.470000000001685)); #42989=CARTESIAN_POINT('',(19.1759820765953,-1.37500000000008,-0.470000000001685)); #42990=CARTESIAN_POINT('Origin',(25.2762277904747,-1.77944217033243,-0.470000000001531)); #42991=CARTESIAN_POINT('',(25.1782277904747,-1.75000000000008,-0.470000000001531)); #42992=CARTESIAN_POINT('Origin',(25.2762277904747,-1.75000000000008,-0.470000000001531)); #42993=CARTESIAN_POINT('',(25.2762277904747,-1.80888434066478,-0.470000000001531)); #42994=CARTESIAN_POINT('',(25.2272277904747,-1.77944217033243,-0.470000000001531)); #42995=CARTESIAN_POINT('Origin',(25.2762277904747,-1.37500000000008,-0.470000000001531)); #42996=CARTESIAN_POINT('',(25.1782277904747,-1.00000000000008,-0.470000000001531)); #42997=CARTESIAN_POINT('Origin',(25.2762277904747,-1.00000000000008,-0.470000000001531)); #42998=CARTESIAN_POINT('',(25.1782277904747,-1.37500000000008,-0.470000000001531)); #42999=CARTESIAN_POINT('Origin',(31.278473504354,-1.77944217033243,-0.47000000000138)); #43000=CARTESIAN_POINT('',(31.180473504354,-1.75000000000008,-0.47000000000138)); #43001=CARTESIAN_POINT('Origin',(31.278473504354,-1.75000000000008,-0.47000000000138)); #43002=CARTESIAN_POINT('',(31.278473504354,-1.80888434066478,-0.47000000000138)); #43003=CARTESIAN_POINT('',(31.229473504354,-1.77944217033243,-0.47000000000138)); #43004=CARTESIAN_POINT('Origin',(31.278473504354,-1.37500000000008,-0.47000000000138)); #43005=CARTESIAN_POINT('',(31.180473504354,-1.00000000000008,-0.47000000000138)); #43006=CARTESIAN_POINT('Origin',(31.278473504354,-1.00000000000008,-0.47000000000138)); #43007=CARTESIAN_POINT('',(31.180473504354,-1.37500000000008,-0.47000000000138)); #43008=CARTESIAN_POINT('Origin',(37.2807192182334,-1.77944217033243,-0.470000000001227)); #43009=CARTESIAN_POINT('',(37.1827192182334,-1.75000000000008,-0.470000000001227)); #43010=CARTESIAN_POINT('Origin',(37.2807192182334,-1.75000000000008,-0.470000000001227)); #43011=CARTESIAN_POINT('',(37.2807192182334,-1.80888434066478,-0.470000000001227)); #43012=CARTESIAN_POINT('',(37.2317192182334,-1.77944217033243,-0.470000000001227)); #43013=CARTESIAN_POINT('Origin',(37.2807192182334,-1.37500000000008,-0.470000000001227)); #43014=CARTESIAN_POINT('',(37.1827192182334,-1.00000000000008,-0.470000000001227)); #43015=CARTESIAN_POINT('Origin',(37.2807192182334,-1.00000000000008,-0.470000000001227)); #43016=CARTESIAN_POINT('',(37.1827192182334,-1.37500000000008,-0.470000000001227)); #43017=CARTESIAN_POINT('Origin',(43.5163119513481,-1.77944217033243,-0.640081539293609)); #43018=CARTESIAN_POINT('',(43.4183119513481,-1.75000000000008,-0.640081539293609)); #43019=CARTESIAN_POINT('Origin',(43.5163119513481,-1.75000000000008,-0.640081539293609)); #43020=CARTESIAN_POINT('',(43.4712264755793,-1.78179425378522,-0.640081539290569)); #43021=CARTESIAN_POINT('',(43.4673119513481,-1.77944217033243,-0.640081539293609)); #43022=CARTESIAN_POINT('',(43.477149750401,-1.78345404113014,-0.65613049532978)); #43023=CARTESIAN_POINT('Ctrl Pts',(43.477149750401,-1.78345404113014,-0.656130495329778)); #43024=CARTESIAN_POINT('Ctrl Pts',(43.4754367535807,-1.78335517701491,-0.652384395989891)); #43025=CARTESIAN_POINT('Ctrl Pts',(43.4739586587539,-1.78302301735936,-0.648621646802842)); #43026=CARTESIAN_POINT('Ctrl Pts',(43.4721963999286,-1.7823227788024,-0.64335489254382)); #43027=CARTESIAN_POINT('Ctrl Pts',(43.4716931469334,-1.78207465822401,-0.641727723708408)); #43028=CARTESIAN_POINT('Ctrl Pts',(43.4712264755793,-1.78179425378522,-0.640081539287532)); #43029=CARTESIAN_POINT('',(43.4713295315881,-1.76195190988227,-0.576225857830598)); #43030=CARTESIAN_POINT('Ctrl Pts',(43.4713295315881,-1.76195190988227,-0.576225857830597)); #43031=CARTESIAN_POINT('Ctrl Pts',(43.4787750828598,-1.76299520625844,-0.573104820931673)); #43032=CARTESIAN_POINT('Ctrl Pts',(43.4867724602911,-1.76406776172766,-0.571181007429497)); #43033=CARTESIAN_POINT('Ctrl Pts',(43.5042049403657,-1.76615790917231,-0.569348779942479)); #43034=CARTESIAN_POINT('Ctrl Pts',(43.5149219505375,-1.76723320250423,-0.570034488950052)); #43035=CARTESIAN_POINT('Ctrl Pts',(43.5297398011262,-1.7687317410251,-0.574422285699214)); #43036=CARTESIAN_POINT('Ctrl Pts',(43.5344733166597,-1.76922338453423,-0.576430557546667)); #43037=CARTESIAN_POINT('Ctrl Pts',(43.5431113314934,-1.77031453779871,-0.581544097880082)); #43038=CARTESIAN_POINT('Ctrl Pts',(43.547017421786,-1.77091425320319,-0.584646634419469)); #43039=CARTESIAN_POINT('Ctrl Pts',(43.5531967199003,-1.77231546633053,-0.591491469137836)); #43040=CARTESIAN_POINT('Ctrl Pts',(43.5557983053426,-1.77314532866766,-0.595397284081116)); #43041=CARTESIAN_POINT('Ctrl Pts',(43.5593465307656,-1.77516318185081,-0.603809519563544)); #43042=CARTESIAN_POINT('Ctrl Pts',(43.5602904635385,-1.7763604248217,-0.608314859870061)); #43043=CARTESIAN_POINT('Ctrl Pts',(43.5606509347604,-1.77876276603298,-0.616439470024174)); #43044=CARTESIAN_POINT('Ctrl Pts',(43.5601865924653,-1.78013519243823,-0.620682925059407)); #43045=CARTESIAN_POINT('Ctrl Pts',(43.5580124284748,-1.78301390235388,-0.628818785274362)); #43046=CARTESIAN_POINT('Ctrl Pts',(43.5563135145926,-1.78451796719487,-0.632714886177566)); #43047=CARTESIAN_POINT('Ctrl Pts',(43.5520560804144,-1.78752784524967,-0.640130730230475)); #43048=CARTESIAN_POINT('Ctrl Pts',(43.5489498015048,-1.78929543856746,-0.644196393064671)); #43049=CARTESIAN_POINT('Ctrl Pts',(43.5416455466444,-1.79243484182986,-0.651350720986086)); #43050=CARTESIAN_POINT('Ctrl Pts',(43.537442742251,-1.79379179966582,-0.654440965115338)); #43051=CARTESIAN_POINT('Ctrl Pts',(43.5276670535451,-1.79573273726206,-0.659721038598047)); #43052=CARTESIAN_POINT('Ctrl Pts',(43.5204133117903,-1.7960415492292,-0.661943523489952)); #43053=CARTESIAN_POINT('Ctrl Pts',(43.5141648538909,-1.79529236160612,-0.662600262879059)); #43054=CARTESIAN_POINT('Ctrl Pts',(43.5042736373073,-1.79410640866206,-0.663639871633929)); #43055=CARTESIAN_POINT('Ctrl Pts',(43.4943785708094,-1.79077788282776,-0.662041620486799)); #43056=CARTESIAN_POINT('Ctrl Pts',(43.4823240408164,-1.78578116047904,-0.658183538776842)); #43057=CARTESIAN_POINT('Ctrl Pts',(43.4797048141734,-1.78462853985025,-0.657210512979014)); #43058=CARTESIAN_POINT('Ctrl Pts',(43.477149750401,-1.78345404113014,-0.656130495329781)); #43059=CARTESIAN_POINT('Ctrl Pts',(43.4712264755793,-1.78179425378522,-0.640081539287532)); #43060=CARTESIAN_POINT('Ctrl Pts',(43.4684520694015,-1.78012722237098,-0.630294814244467)); #43061=CARTESIAN_POINT('Ctrl Pts',(43.4670416039947,-1.77731656132434,-0.619816147697326)); #43062=CARTESIAN_POINT('Ctrl Pts',(43.4670742039628,-1.77037357105064,-0.597899436270342)); #43063=CARTESIAN_POINT('Ctrl Pts',(43.4688239961372,-1.76611831228816,-0.586472638759633)); #43064=CARTESIAN_POINT('Ctrl Pts',(43.4713295315881,-1.76195190988227,-0.576225857830597)); #43065=CARTESIAN_POINT('Origin',(43.5163119513481,-1.37500000000008,-0.640081539293609)); #43066=CARTESIAN_POINT('',(43.4183119513481,-1.00000000000008,-0.640081539293609)); #43067=CARTESIAN_POINT('Origin',(43.5163119513481,-1.00000000000008,-0.640081539293609)); #43068=CARTESIAN_POINT('',(43.4183119513481,-1.37500000000008,-0.640081539293609)); #43069=CARTESIAN_POINT('Origin',(43.8676573476283,-1.94365025530695,-0.541520332293589)); #43070=CARTESIAN_POINT('',(44.2652040525603,-1.99131594750263,-0.365511528010359)); #43071=CARTESIAN_POINT('Origin',(44.2789619012873,-1.9929655081066,-0.498290481719962)); #43072=CARTESIAN_POINT('',(43.4425949452421,-1.89268544190332,-0.451971229157614)); #43073=CARTESIAN_POINT('',(43.8538994989012,-1.94200069470297,-0.408741378583986)); #43074=CARTESIAN_POINT('Origin',(43.4563527939692,-1.89433500250729,-0.584750182867217)); #43075=CARTESIAN_POINT('Origin',(43.4563527939692,-1.89433500250729,-0.584750182867217)); #43076=CARTESIAN_POINT('Origin',(-8.39036585225265,-36.5639004276945,-0.499999999999969)); #43077=CARTESIAN_POINT('',(-8.52386585225265,-36.6040078740146,-0.499999999999947)); #43078=CARTESIAN_POINT('Origin',(-8.39036585225265,-36.6040078740146,-0.499999999999969)); #43079=CARTESIAN_POINT('',(-8.39036585225265,-36.5237929813745,-0.499999999999969)); #43080=CARTESIAN_POINT('',(-8.45711585225265,-36.5639004276945,-0.499999999999958)); #43081=CARTESIAN_POINT('Origin',(-8.39036585225265,-37.0205078740146,-0.499999999999969)); #43082=CARTESIAN_POINT('',(-8.52386585225265,-37.4370078740146,-0.499999999999947)); #43083=CARTESIAN_POINT('Origin',(-8.39036585225265,-37.4370078740146,-0.499999999999969)); #43084=CARTESIAN_POINT('',(-8.52386585225265,-37.0205078740146,-0.499999999999947)); #43085=CARTESIAN_POINT('Origin',(-14.3903658522527,-36.5639004276945,-0.500000000000011)); #43086=CARTESIAN_POINT('',(-14.5238658522527,-36.6040078740146,-0.499999999999989)); #43087=CARTESIAN_POINT('Origin',(-14.3903658522527,-36.6040078740146,-0.500000000000011)); #43088=CARTESIAN_POINT('',(-14.3903658522527,-36.5237929813745,-0.500000000000011)); #43089=CARTESIAN_POINT('',(-14.4571158522527,-36.5639004276945,-0.5)); #43090=CARTESIAN_POINT('Origin',(-14.3903658522527,-37.0205078740146,-0.500000000000011)); #43091=CARTESIAN_POINT('',(-14.5238658522527,-37.4370078740146,-0.499999999999989)); #43092=CARTESIAN_POINT('Origin',(-14.3903658522527,-37.4370078740146,-0.500000000000011)); #43093=CARTESIAN_POINT('',(-14.5238658522527,-37.0205078740146,-0.499999999999989)); #43094=CARTESIAN_POINT('Origin',(-11.3903658522527,-36.5639004276945,-0.499999999999994)); #43095=CARTESIAN_POINT('',(-11.5238658522527,-36.6040078740146,-0.499999999999972)); #43096=CARTESIAN_POINT('Origin',(-11.3903658522527,-36.6040078740146,-0.499999999999994)); #43097=CARTESIAN_POINT('',(-11.3903658522527,-36.5237929813745,-0.499999999999994)); #43098=CARTESIAN_POINT('',(-11.4571158522527,-36.5639004276945,-0.499999999999983)); #43099=CARTESIAN_POINT('Origin',(-11.3903658522527,-37.0205078740146,-0.499999999999994)); #43100=CARTESIAN_POINT('',(-11.5238658522527,-37.4370078740146,-0.499999999999972)); #43101=CARTESIAN_POINT('Origin',(-11.3903658522527,-37.4370078740146,-0.499999999999994)); #43102=CARTESIAN_POINT('',(-11.5238658522527,-37.0205078740146,-0.499999999999972)); #43103=CARTESIAN_POINT('Origin',(-5.39036585225266,-36.5639004276945,-0.499999999999952)); #43104=CARTESIAN_POINT('',(-5.52386585225266,-36.6040078740146,-0.49999999999993)); #43105=CARTESIAN_POINT('Origin',(-5.39036585225266,-36.6040078740146,-0.499999999999952)); #43106=CARTESIAN_POINT('',(-5.39036585225266,-36.5237929813745,-0.499999999999952)); #43107=CARTESIAN_POINT('',(-5.45711585225266,-36.5639004276945,-0.499999999999941)); #43108=CARTESIAN_POINT('Origin',(-5.39036585225266,-37.0205078740146,-0.499999999999952)); #43109=CARTESIAN_POINT('',(-5.52386585225266,-37.4370078740146,-0.49999999999993)); #43110=CARTESIAN_POINT('Origin',(-5.39036585225266,-37.4370078740146,-0.499999999999952)); #43111=CARTESIAN_POINT('',(-5.52386585225266,-37.0205078740146,-0.49999999999993)); #43112=CARTESIAN_POINT('Origin',(-2.64036585225274,-30.960360235731,-0.75)); #43113=CARTESIAN_POINT('',(-2.64036585225274,-35.6870078740146,-0.5)); #43114=CARTESIAN_POINT('',(-2.64036585225274,-36.1420052695755,-0.5)); #43115=CARTESIAN_POINT('',(-2.64036585225274,-30.960360235731,-0.5)); #43116=CARTESIAN_POINT('',(-2.89036585225274,-36.1420052695755,-0.75)); #43117=CARTESIAN_POINT('Origin',(-2.64036585225274,-36.1420052695755,-0.75)); #43118=CARTESIAN_POINT('',(-2.89036585225274,-35.9370078740146,-0.75)); #43119=CARTESIAN_POINT('',(-2.89036585225274,-30.960360235731,-0.75)); #43120=CARTESIAN_POINT('Origin',(-2.64036585225274,-35.6870078740146,-0.75)); #43121=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-0.75)); #43122=CARTESIAN_POINT('',(-2.12519550744605,-36.6417750772255,-0.5)); #43123=CARTESIAN_POINT('',(-2.1176103350427,-36.8916599810504,-0.75)); #43124=CARTESIAN_POINT('Origin',(-2.12519550744605,-36.6417750772255,-0.75)); #43125=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-0.75)); #43126=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-0.5)); #43127=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-0.75)); #43128=CARTESIAN_POINT('',(39.467769392332,-25.9939983544507,-0.5)); #43129=CARTESIAN_POINT('',(39.5811890810198,-26.2167896788284,-0.75)); #43130=CARTESIAN_POINT('Origin',(39.467769392332,-25.9939983544507,-0.75)); #43131=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-0.75)); #43132=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-0.5)); #43133=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-0.75)); #43134=CARTESIAN_POINT('',(39.7373743278325,-25.6079391818461,-0.5)); #43135=CARTESIAN_POINT('',(39.9855964842705,-25.6377009199215,-0.75)); #43136=CARTESIAN_POINT('Origin',(39.7373743278325,-25.6079391818461,-0.75)); #43137=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-0.75)); #43138=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-0.5)); #43139=CARTESIAN_POINT('Origin',(39.5008158058293,-27.580910864705,-0.75)); #43140=CARTESIAN_POINT('',(40.445664220646,-19.7005810789,-0.5)); #43141=CARTESIAN_POINT('',(40.693886377084,-19.7303428169754,-0.75)); #43142=CARTESIAN_POINT('Origin',(40.445664220646,-19.7005810789,-0.75)); #43143=CARTESIAN_POINT('',(39.7490379622674,-27.6106726027804,-0.75)); #43144=CARTESIAN_POINT('',(39.5008158058293,-27.580910864705,-0.5)); #43145=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-0.75)); #43146=CARTESIAN_POINT('',(39.94921990777,-19.1410576027492,-0.5)); #43147=CARTESIAN_POINT('',(39.94921990777,-18.8910576027492,-0.75)); #43148=CARTESIAN_POINT('Origin',(39.94921990777,-19.1410576027492,-0.75)); #43149=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-0.75)); #43150=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-0.5)); #43151=CARTESIAN_POINT('Origin',(26.8184492773241,-19.1410576027492,-0.75)); #43152=CARTESIAN_POINT('',(-6.14062445282655,-19.1410576027492,-0.5)); #43153=CARTESIAN_POINT('',(-6.14062445282655,-18.8910576027492,-0.75)); #43154=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.1410576027492,-0.75)); #43155=CARTESIAN_POINT('',(26.8184492773241,-18.8910576027492,-0.75)); #43156=CARTESIAN_POINT('',(26.8184492773241,-19.1410576027492,-0.5)); #43157=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-0.75)); #43158=CARTESIAN_POINT('',(-6.61054264049287,-19.4702451415515,-0.5)); #43159=CARTESIAN_POINT('',(-6.84550173432602,-19.3848389109527,-0.75)); #43160=CARTESIAN_POINT('Origin',(-6.61054264049287,-19.4702451415515,-0.75)); #43161=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-0.75)); #43162=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-0.5)); #43163=CARTESIAN_POINT('Origin',(-6.43129186997176,-18.9771125174417,-0.75)); #43164=CARTESIAN_POINT('',(-11.6169970477985,-33.2433853751112,-0.5)); #43165=CARTESIAN_POINT('',(-11.8519561416317,-33.1579791445123,-0.75)); #43166=CARTESIAN_POINT('Origin',(-11.6169970477985,-33.2433853751112,-0.75)); #43167=CARTESIAN_POINT('',(-6.66625096380492,-18.8917062868429,-0.75)); #43168=CARTESIAN_POINT('',(-6.43129186997176,-18.9771125174417,-0.5)); #43169=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-0.75)); #43170=CARTESIAN_POINT('',(-11.6470788601322,-33.4141978363089,-0.5)); #43171=CARTESIAN_POINT('',(-11.8970788601322,-33.4141978363089,-0.75)); #43172=CARTESIAN_POINT('Origin',(-11.6470788601322,-33.4141978363089,-0.75)); #43173=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-0.75)); #43174=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-0.5)); #43175=CARTESIAN_POINT('Origin',(-11.6470788601322,-29.6329139014729,-0.75)); #43176=CARTESIAN_POINT('',(-11.6470788601322,-35.1870078740146,-0.5)); #43177=CARTESIAN_POINT('',(-11.8970788601322,-35.1870078740146,-0.75)); #43178=CARTESIAN_POINT('Origin',(-11.6470788601322,-35.1870078740146,-0.75)); #43179=CARTESIAN_POINT('',(-11.8970788601322,-29.6329139014729,-0.75)); #43180=CARTESIAN_POINT('',(-11.6470788601322,-29.6329139014729,-0.5)); #43181=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-0.75)); #43182=CARTESIAN_POINT('',(-11.1470788601322,-35.6870078740146,-0.5)); #43183=CARTESIAN_POINT('',(-11.1470788601322,-35.9370078740146,-0.75)); #43184=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.6870078740146,-0.75)); #43185=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-0.75)); #43186=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-0.5)); #43187=CARTESIAN_POINT('Origin',(0.472651676615353,-35.6870078740146,-0.75)); #43188=CARTESIAN_POINT('',(0.472651676615353,-35.9370078740146,-0.75)); #43189=CARTESIAN_POINT('',(0.472651676615353,-35.6870078740146,-0.5)); #43190=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-1.)); #43191=CARTESIAN_POINT('',(-6.84550173432602,-19.3848389109527,-1.)); #43192=CARTESIAN_POINT('',(-6.84550173432602,-19.3848389109527,-1.)); #43193=CARTESIAN_POINT('',(-6.14062445282655,-18.8910576027492,-1.)); #43194=CARTESIAN_POINT('Origin',(-6.14062445282655,-19.6410576027492,-1.)); #43195=CARTESIAN_POINT('',(-6.14062445282655,-18.8910576027492,-1.)); #43196=CARTESIAN_POINT('Origin',(-6.66601517100207,-18.8910576027492,-1.)); #43197=CARTESIAN_POINT('',(-11.8519561416317,-33.1579791445123,-1.)); #43198=CARTESIAN_POINT('',(-11.8519561416317,-33.1579791445123,-1.)); #43199=CARTESIAN_POINT('',(-5.28011264881803,-15.078333420096,-1.)); #43200=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-1.)); #43201=CARTESIAN_POINT('',(-11.8970788601322,-33.4141978363089,-1.)); #43202=CARTESIAN_POINT('',(-11.8970788601322,-33.4141978363089,-1.)); #43203=CARTESIAN_POINT('Origin',(-11.1470788601322,-33.4141978363089,-1.)); #43204=CARTESIAN_POINT('Origin',(-11.8970788601322,-33.282115205498,-1.)); #43205=CARTESIAN_POINT('',(-11.8970788601322,-35.1870078740146,-1.)); #43206=CARTESIAN_POINT('',(-11.8970788601322,-35.1870078740146,-1.)); #43207=CARTESIAN_POINT('',(-11.8970788601323,-25.2800211717562,-1.)); #43208=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-1.)); #43209=CARTESIAN_POINT('',(-11.1470788601322,-35.9370078740146,-1.)); #43210=CARTESIAN_POINT('',(-11.1470788601322,-35.9370078740146,-1.)); #43211=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.1870078740146,-1.)); #43212=CARTESIAN_POINT('Origin',(-11.8970788601322,-35.9370078740146,-1.)); #43213=CARTESIAN_POINT('',(-2.89036585225274,-35.9370078740146,-1.)); #43214=CARTESIAN_POINT('',(-2.89036585225274,-35.9370078740146,-1.)); #43215=CARTESIAN_POINT('',(0.374529449724436,-35.9370078740146,-1.)); #43216=CARTESIAN_POINT('Origin',(-2.89036585225274,-35.9370078740146,-1.)); #43217=CARTESIAN_POINT('',(-2.89036585225274,-36.1420052695755,-1.)); #43218=CARTESIAN_POINT('',(-2.89036585225274,-36.1420052695755,-1.)); #43219=CARTESIAN_POINT('',(-2.89036585225274,-26.6074675060144,-1.)); #43220=CARTESIAN_POINT('Origin',(12.8423822133629,-25.9837125974475,-0.5)); #43221=CARTESIAN_POINT('Origin',(40.916759652042,-22.7402472624965,-0.588913061405772)); #43222=CARTESIAN_POINT('',(40.9426089469094,-22.7433465826955,-0.451971229157615)); #43223=CARTESIAN_POINT('Origin',(40.9563667956365,-22.7449961432994,-0.584750182867219)); #43224=CARTESIAN_POINT('',(40.8771525084476,-22.7354983816936,-0.593075939944325)); #43225=CARTESIAN_POINT('',(40.9098807276785,-22.7394224821945,-0.52252358455097)); #43226=CARTESIAN_POINT('Origin',(41.3676713492955,-22.7943113960991,-0.541520332293591)); #43227=CARTESIAN_POINT('',(41.7652180542276,-22.8419770882948,-0.365511528010361)); #43228=CARTESIAN_POINT('Origin',(41.7789759029546,-22.8436266488988,-0.498290481719964)); #43229=CARTESIAN_POINT('',(41.3539135005685,-22.7926618354951,-0.408741378583988)); #43230=CARTESIAN_POINT('Origin',(41.6310413658514,-16.7829155079845,-0.58891306140577)); #43231=CARTESIAN_POINT('',(41.6568906607188,-16.7860148281834,-0.451971229157613)); #43232=CARTESIAN_POINT('Origin',(41.6706485094458,-16.7876643887874,-0.584750182867217)); #43233=CARTESIAN_POINT('',(41.5914342222569,-16.7781666271815,-0.593075939944323)); #43234=CARTESIAN_POINT('',(41.6241624414878,-16.7820907276825,-0.522523584550968)); #43235=CARTESIAN_POINT('Origin',(42.0819530631049,-16.8369796415871,-0.541520332293589)); #43236=CARTESIAN_POINT('',(42.4794997680369,-16.8846453337827,-0.365511528010359)); #43237=CARTESIAN_POINT('Origin',(42.493257616764,-16.8862948943867,-0.498290481719962)); #43238=CARTESIAN_POINT('',(42.0681952143778,-16.8353300809831,-0.408741378583986)); #43239=CARTESIAN_POINT('Origin',(42.3453230796607,-10.8255837534724,-0.588913061405771)); #43240=CARTESIAN_POINT('',(42.3711723745281,-10.8286830736714,-0.451971229157614)); #43241=CARTESIAN_POINT('Origin',(42.3849302232552,-10.8303326342754,-0.584750182867218)); #43242=CARTESIAN_POINT('',(42.3057159360663,-10.8208348726695,-0.593075939944324)); #43243=CARTESIAN_POINT('',(42.3384441552972,-10.8247589731704,-0.522523584550969)); #43244=CARTESIAN_POINT('Origin',(42.7962347769142,-10.879647887075,-0.54152033229359)); #43245=CARTESIAN_POINT('',(43.1937814818462,-10.9273135792707,-0.36551152801036)); #43246=CARTESIAN_POINT('Origin',(43.2075393305733,-10.9289631398747,-0.498290481719964)); #43247=CARTESIAN_POINT('',(42.7824769281872,-10.877998326471,-0.408741378583987)); #43248=CARTESIAN_POINT('Origin',(43.0596047934701,-4.86825199896037,-0.58891306140577)); #43249=CARTESIAN_POINT('',(43.0854540883375,-4.87135131915934,-0.451971229157613)); #43250=CARTESIAN_POINT('Origin',(43.0992119370645,-4.87300087976331,-0.584750182867217)); #43251=CARTESIAN_POINT('',(43.0199976498756,-4.86350311815744,-0.593075939944323)); #43252=CARTESIAN_POINT('',(43.0527258691065,-4.86742721865839,-0.522523584550968)); #43253=CARTESIAN_POINT('Origin',(43.5105164907236,-4.92231613256297,-0.541520332293589)); #43254=CARTESIAN_POINT('',(43.9080631956556,-4.96998182475866,-0.365511528010359)); #43255=CARTESIAN_POINT('Origin',(43.9218210443827,-4.97163138536263,-0.498290481719962)); #43256=CARTESIAN_POINT('',(43.4967586419965,-4.920666571959,-0.408741378583986)); #43257=CARTESIAN_POINT('Origin',(43.4167456503748,-1.88958612170435,-0.58891306140577)); #43258=CARTESIAN_POINT('',(43.3771385067803,-1.88483724090141,-0.593075939944323)); #43259=CARTESIAN_POINT('',(43.4098667260112,-1.88876134140236,-0.522523584550968)); #43260=CARTESIAN_POINT('Origin',(42.7024639365654,-7.84691787621639,-0.58891306140577)); #43261=CARTESIAN_POINT('',(42.7283132314328,-7.85001719641536,-0.451971229157613)); #43262=CARTESIAN_POINT('Origin',(42.7420710801599,-7.85166675701933,-0.584750182867217)); #43263=CARTESIAN_POINT('',(42.6628567929709,-7.84216899541346,-0.593075939944323)); #43264=CARTESIAN_POINT('',(42.6955850122019,-7.84609309591441,-0.522523584550968)); #43265=CARTESIAN_POINT('Origin',(43.1533756338189,-7.90098200981899,-0.541520332293589)); #43266=CARTESIAN_POINT('',(43.5509223387509,-7.94864770201468,-0.365511528010359)); #43267=CARTESIAN_POINT('Origin',(43.564680187478,-7.95029726261865,-0.498290481719962)); #43268=CARTESIAN_POINT('',(43.1396177850919,-7.89933244921502,-0.408741378583986)); #43269=CARTESIAN_POINT('Origin',(41.988182222756,-13.8042496307284,-0.58891306140577)); #43270=CARTESIAN_POINT('',(42.0140315176234,-13.8073489509274,-0.451971229157614)); #43271=CARTESIAN_POINT('Origin',(42.0277893663505,-13.8089985115314,-0.584750182867217)); #43272=CARTESIAN_POINT('',(41.9485750791616,-13.7995007499255,-0.593075939944323)); #43273=CARTESIAN_POINT('',(41.9813032983925,-13.8034248504265,-0.522523584550969)); #43274=CARTESIAN_POINT('Origin',(42.4390939200096,-13.858313764331,-0.54152033229359)); #43275=CARTESIAN_POINT('',(42.8366406249416,-13.9059794565267,-0.365511528010359)); #43276=CARTESIAN_POINT('Origin',(42.8503984736686,-13.9076290171307,-0.498290481719963)); #43277=CARTESIAN_POINT('',(42.4253360712825,-13.8566642037271,-0.408741378583987)); #43278=CARTESIAN_POINT('Origin',(41.2739005089467,-19.7615813852405,-0.58891306140577)); #43279=CARTESIAN_POINT('',(41.2997498038141,-19.7646807054395,-0.451971229157613)); #43280=CARTESIAN_POINT('Origin',(41.3135076525411,-19.7663302660434,-0.584750182867217)); #43281=CARTESIAN_POINT('',(41.2342933653522,-19.7568325044376,-0.593075939944323)); #43282=CARTESIAN_POINT('',(41.2670215845832,-19.7607566049385,-0.522523584550968)); #43283=CARTESIAN_POINT('Origin',(41.7248122062002,-19.8156455188431,-0.541520332293589)); #43284=CARTESIAN_POINT('',(42.1223589111322,-19.8633112110388,-0.365511528010359)); #43285=CARTESIAN_POINT('Origin',(42.1361167598593,-19.8649607716427,-0.498290481719962)); #43286=CARTESIAN_POINT('',(41.7110543574732,-19.8139959582391,-0.408741378583986)); #43287=CARTESIAN_POINT('Origin',(40.5596187951373,-25.7189131397525,-0.588913061405772)); #43288=CARTESIAN_POINT('',(40.5854680900047,-25.7220124599515,-0.451971229157613)); #43289=CARTESIAN_POINT('Origin',(40.5992259387318,-25.7236620205555,-0.584750182867219)); #43290=CARTESIAN_POINT('',(40.5200116515429,-25.7141642589496,-0.593075939944325)); #43291=CARTESIAN_POINT('',(40.5527398707738,-25.7180883594505,-0.522523584550971)); #43292=CARTESIAN_POINT('Origin',(41.0105304923909,-25.7729772733551,-0.541520332293592)); #43293=CARTESIAN_POINT('',(41.4080771973229,-25.8206429655508,-0.365511528010361)); #43294=CARTESIAN_POINT('Origin',(41.4218350460499,-25.8222925261548,-0.498290481719965)); #43295=CARTESIAN_POINT('',(40.9967726436638,-25.7713277127512,-0.408741378583989)); #43296=CARTESIAN_POINT('Origin',(-14.9761027004464,-33.4370078739811,-0.408107636977959)); #43297=CARTESIAN_POINT('',(-15.0019909877324,-33.4370078739811,-0.545077173122736)); #43298=CARTESIAN_POINT('Origin',(-15.0159890576025,-33.4370078739811,-0.412313081441615)); #43299=CARTESIAN_POINT('',(-14.9362163432903,-33.4370078739811,-0.403902192514303)); #43300=CARTESIAN_POINT('',(-14.9691036655114,-33.4370078739811,-0.474489682818519)); #43301=CARTESIAN_POINT('Origin',(-15.430193133896,-33.4370078739811,-0.455984962347067)); #43302=CARTESIAN_POINT('',(-15.8303991403195,-33.4370078739811,-0.63242093493364)); #43303=CARTESIAN_POINT('Origin',(-15.8443972101896,-33.4370078739811,-0.499656843252519)); #43304=CARTESIAN_POINT('',(-15.416195064026,-33.4370078739811,-0.588749054028188)); #43305=CARTESIAN_POINT('Origin',(-14.9761027004397,-27.4370078739812,-0.408107637041493)); #43306=CARTESIAN_POINT('',(-15.0019909877257,-27.4370078739812,-0.545077173186269)); #43307=CARTESIAN_POINT('Origin',(-15.0159890575958,-27.4370078739812,-0.412313081505149)); #43308=CARTESIAN_POINT('',(-14.9362163432836,-27.4370078739812,-0.403902192577837)); #43309=CARTESIAN_POINT('',(-14.9691036655047,-27.4370078739812,-0.474489682882053)); #43310=CARTESIAN_POINT('Origin',(-15.4301931338893,-27.4370078739812,-0.455984962410601)); #43311=CARTESIAN_POINT('',(-15.8303991403128,-27.4370078739812,-0.632420934997173)); #43312=CARTESIAN_POINT('Origin',(-15.8443972101829,-27.4370078739812,-0.499656843316052)); #43313=CARTESIAN_POINT('',(-15.4161950640193,-27.4370078739812,-0.588749054091721)); #43314=CARTESIAN_POINT('Origin',(-14.976102700433,-21.4370078739813,-0.408107637105026)); #43315=CARTESIAN_POINT('',(-15.001990987719,-21.4370078739813,-0.545077173249803)); #43316=CARTESIAN_POINT('Origin',(-15.0159890575891,-21.4370078739813,-0.412313081568683)); #43317=CARTESIAN_POINT('',(-14.9362163432769,-21.4370078739813,-0.40390219264137)); #43318=CARTESIAN_POINT('',(-14.969103665498,-21.4370078739813,-0.474489682945587)); #43319=CARTESIAN_POINT('Origin',(-15.4301931338826,-21.4370078739813,-0.455984962474134)); #43320=CARTESIAN_POINT('',(-15.8303991403061,-21.4370078739813,-0.632420935060707)); #43321=CARTESIAN_POINT('Origin',(-15.8443972101762,-21.4370078739813,-0.499656843379586)); #43322=CARTESIAN_POINT('',(-15.4161950640126,-21.4370078739813,-0.588749054155255)); #43323=CARTESIAN_POINT('Origin',(-14.9761027004263,-15.4370078739814,-0.408107637168554)); #43324=CARTESIAN_POINT('',(-15.0019909877123,-15.4370078739814,-0.54507717331333)); #43325=CARTESIAN_POINT('Origin',(-15.0159890575824,-15.4370078739814,-0.41231308163221)); #43326=CARTESIAN_POINT('',(-14.9362163432702,-15.4370078739814,-0.403902192704898)); #43327=CARTESIAN_POINT('',(-14.9691036654913,-15.4370078739814,-0.474489683009114)); #43328=CARTESIAN_POINT('Origin',(-15.4301931338759,-15.4370078739814,-0.455984962537662)); #43329=CARTESIAN_POINT('',(-15.8303991402994,-15.4370078739814,-0.632420935124234)); #43330=CARTESIAN_POINT('Origin',(-15.8443972101695,-15.4370078739814,-0.499656843443113)); #43331=CARTESIAN_POINT('',(-15.4161950640059,-15.4370078739814,-0.588749054218782)); #43332=CARTESIAN_POINT('Origin',(-14.976102700423,-12.4370078739815,-0.408107637200313)); #43333=CARTESIAN_POINT('',(-15.001990987709,-12.4370078739815,-0.545077173345089)); #43334=CARTESIAN_POINT('Origin',(-15.015989057579,-12.4370078739815,-0.412313081663969)); #43335=CARTESIAN_POINT('',(-14.9362163432669,-12.4370078739815,-0.403902192736657)); #43336=CARTESIAN_POINT('',(-14.9691036654879,-12.4370078739815,-0.474489683040873)); #43337=CARTESIAN_POINT('Origin',(-15.4301931338726,-12.4370078739815,-0.45598496256942)); #43338=CARTESIAN_POINT('',(-15.8303991402961,-12.4370078739815,-0.632420935155993)); #43339=CARTESIAN_POINT('Origin',(-15.8443972101661,-12.4370078739815,-0.499656843474872)); #43340=CARTESIAN_POINT('',(-15.4161950640025,-12.4370078739815,-0.588749054250541)); #43341=CARTESIAN_POINT('Origin',(-14.9761027004297,-18.4370078739814,-0.408107637136785)); #43342=CARTESIAN_POINT('',(-15.0019909877157,-18.4370078739814,-0.545077173281561)); #43343=CARTESIAN_POINT('Origin',(-15.0159890575857,-18.4370078739814,-0.412313081600441)); #43344=CARTESIAN_POINT('',(-14.9362163432736,-18.4370078739814,-0.403902192673129)); #43345=CARTESIAN_POINT('',(-14.9691036654946,-18.4370078739814,-0.474489682977345)); #43346=CARTESIAN_POINT('Origin',(-15.4301931338793,-18.4370078739814,-0.455984962505892)); #43347=CARTESIAN_POINT('',(-15.8303991403028,-18.4370078739814,-0.632420935092465)); #43348=CARTESIAN_POINT('Origin',(-15.8443972101728,-18.4370078739814,-0.499656843411344)); #43349=CARTESIAN_POINT('',(-15.4161950640092,-18.4370078739814,-0.588749054187013)); #43350=CARTESIAN_POINT('Origin',(-14.9761027004364,-24.4370078739813,-0.408107637073258)); #43351=CARTESIAN_POINT('',(-15.0019909877224,-24.4370078739813,-0.545077173218034)); #43352=CARTESIAN_POINT('Origin',(-15.0159890575924,-24.4370078739813,-0.412313081536914)); #43353=CARTESIAN_POINT('',(-14.9362163432803,-24.4370078739813,-0.403902192609602)); #43354=CARTESIAN_POINT('',(-14.9691036655013,-24.4370078739813,-0.474489682913818)); #43355=CARTESIAN_POINT('Origin',(-15.430193133886,-24.4370078739813,-0.455984962442365)); #43356=CARTESIAN_POINT('',(-15.8303991403095,-24.4370078739813,-0.632420935028938)); #43357=CARTESIAN_POINT('Origin',(-15.8443972101795,-24.4370078739813,-0.499656843347817)); #43358=CARTESIAN_POINT('',(-15.4161950640159,-24.4370078739813,-0.588749054123486)); #43359=CARTESIAN_POINT('Origin',(-14.9761027004431,-30.4370078739812,-0.408107637009729)); #43360=CARTESIAN_POINT('',(-15.0019909877291,-30.4370078739812,-0.545077173154506)); #43361=CARTESIAN_POINT('Origin',(-15.0159890575991,-30.4370078739812,-0.412313081473385)); #43362=CARTESIAN_POINT('',(-14.936216343287,-30.4370078739812,-0.403902192546073)); #43363=CARTESIAN_POINT('',(-14.969103665508,-30.4370078739812,-0.474489682850289)); #43364=CARTESIAN_POINT('Origin',(-15.4301931338927,-30.4370078739812,-0.455984962378837)); #43365=CARTESIAN_POINT('',(-15.8303991403161,-30.4370078739812,-0.632420934965409)); #43366=CARTESIAN_POINT('Origin',(-15.8443972101862,-30.4370078739812,-0.499656843284289)); #43367=CARTESIAN_POINT('',(-15.4161950640226,-30.4370078739812,-0.588749054059958)); #43368=CARTESIAN_POINT('Origin',(-14.9761027004498,-36.4370078739811,-0.408107636946246)); #43369=CARTESIAN_POINT('',(-15.0019909877358,-36.4370078739811,-0.545077173091023)); #43370=CARTESIAN_POINT('Origin',(-15.0159890576058,-36.4370078739811,-0.412313081409902)); #43371=CARTESIAN_POINT('',(-14.9362163432937,-36.4370078739811,-0.40390219248259)); #43372=CARTESIAN_POINT('',(-14.9691036655147,-36.4370078739811,-0.474489682786807)); #43373=CARTESIAN_POINT('Origin',(-15.4301931338994,-36.4370078739811,-0.455984962315354)); #43374=CARTESIAN_POINT('',(-15.8303991403228,-36.4370078739811,-0.632420934901927)); #43375=CARTESIAN_POINT('Origin',(-15.8443972101929,-36.4370078739811,-0.499656843220806)); #43376=CARTESIAN_POINT('',(-15.4161950640293,-36.4370078739811,-0.588749053996475)); #43377=CARTESIAN_POINT('Origin',(-12.374240865111,-9.13473414234137,-0.442591378018939)); #43378=CARTESIAN_POINT('',(-12.5033364610048,-9.18725299419032,-0.445228530196613)); #43379=CARTESIAN_POINT('Origin',(-12.3993316920506,-9.10355557466746,-0.445228530196613)); #43380=CARTESIAN_POINT('',(-12.3491500381714,-9.16591271001528,-0.439954225841266)); #43381=CARTESIAN_POINT('',(-12.4262432495881,-9.1765828521028,-0.442591378018939)); #43382=CARTESIAN_POINT('Origin',(-12.6598900267038,-8.77977845526312,-0.472614314702439)); #43383=CARTESIAN_POINT('',(-13.0244531303111,-8.53969875538164,-0.500000099208266)); #43384=CARTESIAN_POINT('Origin',(-12.920448361357,-8.45600133585877,-0.500000099208266)); #43385=CARTESIAN_POINT('',(-12.763894795658,-8.86347587478598,-0.472614314702439)); #43386=CARTESIAN_POINT('Origin',(-8.08940019753835,-5.68652584620917,-0.442591354978125)); #43387=CARTESIAN_POINT('',(-8.21849579343216,-5.73904469805812,-0.445228507155798)); #43388=CARTESIAN_POINT('Origin',(-8.11449102447797,-5.65534727853526,-0.445228507155798)); #43389=CARTESIAN_POINT('',(-8.06430937059872,-5.71770441388307,-0.439954202800451)); #43390=CARTESIAN_POINT('',(-8.14140258201544,-5.7283745559706,-0.442591354978125)); #43391=CARTESIAN_POINT('Origin',(-8.37504935913113,-5.33157015913091,-0.472614291661625)); #43392=CARTESIAN_POINT('',(-8.73961246273847,-5.09149045924943,-0.500000076167452)); #43393=CARTESIAN_POINT('Origin',(-8.63560769378428,-5.00779303972657,-0.500000076167451)); #43394=CARTESIAN_POINT('',(-8.47905412808531,-5.41526757865378,-0.472614291661625)); #43395=CARTESIAN_POINT('Origin',(-3.80455952996563,-2.23831755007692,-0.442591331937305)); #43396=CARTESIAN_POINT('',(-3.93365512585944,-2.29083640192588,-0.445228484114979)); #43397=CARTESIAN_POINT('Origin',(-3.82965035690525,-2.20713898240301,-0.445228484114978)); #43398=CARTESIAN_POINT('',(-3.77946870302601,-2.26949611775083,-0.439954179759632)); #43399=CARTESIAN_POINT('',(-3.85656191444272,-2.28016625983835,-0.442591331937305)); #43400=CARTESIAN_POINT('Origin',(-4.09020869155841,-1.88336186299867,-0.472614268620805)); #43401=CARTESIAN_POINT('',(-4.45477179516576,-1.64328216311719,-0.500000053126632)); #43402=CARTESIAN_POINT('Origin',(-4.35076702621157,-1.55958474359433,-0.500000053126632)); #43403=CARTESIAN_POINT('',(-4.1942134605126,-1.96705928252153,-0.472614268620805)); #43404=CARTESIAN_POINT('Origin',(-5.94697986375198,-3.96242169814303,-0.442591343457713)); #43405=CARTESIAN_POINT('',(-6.07607545964579,-4.01494054999199,-0.445228495635387)); #43406=CARTESIAN_POINT('Origin',(-5.9720706906916,-3.93124313046913,-0.445228495635387)); #43407=CARTESIAN_POINT('',(-5.92188903681235,-3.99360026581694,-0.43995419128004)); #43408=CARTESIAN_POINT('',(-5.99898224822907,-4.00427040790447,-0.442591343457714)); #43409=CARTESIAN_POINT('Origin',(-6.23262902534476,-3.60746601106478,-0.472614280141213)); #43410=CARTESIAN_POINT('',(-6.5971921289521,-3.3673863111833,-0.50000006464704)); #43411=CARTESIAN_POINT('Origin',(-6.49318735999791,-3.28368889166044,-0.50000006464704)); #43412=CARTESIAN_POINT('',(-6.33663379429895,-3.69116343058765,-0.472614280141214)); #43413=CARTESIAN_POINT('Origin',(-10.2318205313247,-7.41062999427526,-0.442591366498534)); #43414=CARTESIAN_POINT('',(-10.3609161272185,-7.46314884612421,-0.445228518676207)); #43415=CARTESIAN_POINT('Origin',(-10.2569113582643,-7.37945142660135,-0.445228518676207)); #43416=CARTESIAN_POINT('',(-10.206729704385,-7.44180856194917,-0.43995421432086)); #43417=CARTESIAN_POINT('',(-10.2838229158018,-7.45247870403669,-0.442591366498534)); #43418=CARTESIAN_POINT('Origin',(-10.5174696929175,-7.05567430719701,-0.472614303182034)); #43419=CARTESIAN_POINT('',(-10.8820327965248,-6.81559460731552,-0.50000008768786)); #43420=CARTESIAN_POINT('Origin',(-10.7780280275706,-6.73189718779266,-0.50000008768786)); #43421=CARTESIAN_POINT('',(-10.6214744618716,-7.13937172671987,-0.472614303182034)); #43422=CARTESIAN_POINT('Origin',(-14.5166611988974,-10.8588382904075,-0.442591389539348)); #43423=CARTESIAN_POINT('',(-14.6457567947912,-10.9113571422565,-0.445228541717022)); #43424=CARTESIAN_POINT('Origin',(-14.541752025837,-10.8276597227336,-0.445228541717022)); #43425=CARTESIAN_POINT('',(-14.4915703719578,-10.8900168580814,-0.439954237361675)); #43426=CARTESIAN_POINT('',(-14.5686635833745,-10.9006870001689,-0.442591389539348)); #43427=CARTESIAN_POINT('Origin',(-14.8023103604902,-10.5038826033292,-0.472614326222848)); #43428=CARTESIAN_POINT('',(-15.1668734640975,-10.2638029034478,-0.500000110728675)); #43429=CARTESIAN_POINT('Origin',(-15.0628686951433,-10.1801054839249,-0.500000110728675)); #43430=CARTESIAN_POINT('',(-14.9063151294443,-10.5875800228521,-0.472614326222848)); #43431=CARTESIAN_POINT('Origin',(41.0321227894076,-1.87310744632017,-0.470000000001271)); #43432=CARTESIAN_POINT('',(40.8986227894076,-1.83300000000008,-0.470000000001271)); #43433=CARTESIAN_POINT('Origin',(41.0321227894076,-1.83300000000008,-0.470000000001271)); #43434=CARTESIAN_POINT('',(41.0321227894076,-1.91321489264026,-0.470000000001271)); #43435=CARTESIAN_POINT('',(40.9653727894076,-1.87310744632017,-0.470000000001271)); #43436=CARTESIAN_POINT('Origin',(41.0321227894076,-1.41650000000008,-0.470000000001271)); #43437=CARTESIAN_POINT('',(40.8986227894076,-1.00000000000008,-0.470000000001271)); #43438=CARTESIAN_POINT('Origin',(41.0321227894076,-1.00000000000008,-0.470000000001271)); #43439=CARTESIAN_POINT('',(40.8986227894076,-1.41650000000008,-0.470000000001271)); #43440=CARTESIAN_POINT('Origin',(38.0309999324679,-1.87310744632017,-0.470000000001352)); #43441=CARTESIAN_POINT('',(37.8974999324679,-1.83300000000008,-0.470000000001352)); #43442=CARTESIAN_POINT('Origin',(38.0309999324679,-1.83300000000008,-0.470000000001352)); #43443=CARTESIAN_POINT('',(38.0309999324679,-1.91321489264026,-0.470000000001352)); #43444=CARTESIAN_POINT('',(37.9642499324679,-1.87310744632017,-0.470000000001352)); #43445=CARTESIAN_POINT('Origin',(38.0309999324679,-1.41650000000008,-0.470000000001352)); #43446=CARTESIAN_POINT('',(37.8974999324679,-1.00000000000008,-0.470000000001352)); #43447=CARTESIAN_POINT('Origin',(38.0309999324679,-1.00000000000008,-0.470000000001352)); #43448=CARTESIAN_POINT('',(37.8974999324679,-1.41650000000008,-0.470000000001352)); #43449=CARTESIAN_POINT('Origin',(35.0298770755282,-1.87310744632017,-0.47000000000144)); #43450=CARTESIAN_POINT('',(34.8963770755282,-1.83300000000008,-0.47000000000144)); #43451=CARTESIAN_POINT('Origin',(35.0298770755282,-1.83300000000008,-0.47000000000144)); #43452=CARTESIAN_POINT('',(35.0298770755282,-1.91321489264026,-0.47000000000144)); #43453=CARTESIAN_POINT('',(34.9631270755282,-1.87310744632017,-0.47000000000144)); #43454=CARTESIAN_POINT('Origin',(35.0298770755282,-1.41650000000008,-0.47000000000144)); #43455=CARTESIAN_POINT('',(34.8963770755282,-1.00000000000008,-0.47000000000144)); #43456=CARTESIAN_POINT('Origin',(35.0298770755282,-1.00000000000008,-0.47000000000144)); #43457=CARTESIAN_POINT('',(34.8963770755282,-1.41650000000008,-0.47000000000144)); #43458=CARTESIAN_POINT('Origin',(32.0287542185886,-1.87310744632017,-0.470000000001519)); #43459=CARTESIAN_POINT('',(31.8952542185886,-1.83300000000008,-0.470000000001519)); #43460=CARTESIAN_POINT('Origin',(32.0287542185886,-1.83300000000008,-0.470000000001519)); #43461=CARTESIAN_POINT('',(32.0287542185886,-1.91321489264026,-0.470000000001519)); #43462=CARTESIAN_POINT('',(31.9620042185886,-1.87310744632017,-0.470000000001519)); #43463=CARTESIAN_POINT('Origin',(32.0287542185886,-1.41650000000008,-0.470000000001519)); #43464=CARTESIAN_POINT('',(31.8952542185886,-1.00000000000008,-0.470000000001519)); #43465=CARTESIAN_POINT('Origin',(32.0287542185886,-1.00000000000008,-0.470000000001519)); #43466=CARTESIAN_POINT('',(31.8952542185886,-1.41650000000008,-0.470000000001519)); #43467=CARTESIAN_POINT('Origin',(29.0276313616489,-1.87310744632017,-0.470000000001603)); #43468=CARTESIAN_POINT('',(28.8941313616489,-1.83300000000008,-0.470000000001603)); #43469=CARTESIAN_POINT('Origin',(29.0276313616489,-1.83300000000008,-0.470000000001603)); #43470=CARTESIAN_POINT('',(29.0276313616489,-1.91321489264026,-0.470000000001603)); #43471=CARTESIAN_POINT('',(28.9608813616489,-1.87310744632017,-0.470000000001603)); #43472=CARTESIAN_POINT('Origin',(29.0276313616489,-1.41650000000008,-0.470000000001603)); #43473=CARTESIAN_POINT('',(28.8941313616489,-1.00000000000008,-0.470000000001603)); #43474=CARTESIAN_POINT('Origin',(29.0276313616489,-1.00000000000008,-0.470000000001603)); #43475=CARTESIAN_POINT('',(28.8941313616489,-1.41650000000008,-0.470000000001603)); #43476=CARTESIAN_POINT('Origin',(26.0265085047092,-1.87310744632017,-0.47000000000169)); #43477=CARTESIAN_POINT('',(25.8930085047092,-1.83300000000008,-0.47000000000169)); #43478=CARTESIAN_POINT('Origin',(26.0265085047092,-1.83300000000008,-0.47000000000169)); #43479=CARTESIAN_POINT('',(26.0265085047092,-1.91321489264026,-0.47000000000169)); #43480=CARTESIAN_POINT('',(25.9597585047092,-1.87310744632017,-0.47000000000169)); #43481=CARTESIAN_POINT('Origin',(26.0265085047092,-1.41650000000008,-0.47000000000169)); #43482=CARTESIAN_POINT('',(25.8930085047092,-1.00000000000008,-0.47000000000169)); #43483=CARTESIAN_POINT('Origin',(26.0265085047092,-1.00000000000008,-0.47000000000169)); #43484=CARTESIAN_POINT('',(25.8930085047092,-1.41650000000008,-0.47000000000169)); #43485=CARTESIAN_POINT('Origin',(23.0253856477695,-1.87310744632017,-0.470000000001774)); #43486=CARTESIAN_POINT('',(22.8918856477695,-1.83300000000008,-0.470000000001774)); #43487=CARTESIAN_POINT('Origin',(23.0253856477695,-1.83300000000008,-0.470000000001774)); #43488=CARTESIAN_POINT('',(23.0253856477695,-1.91321489264026,-0.470000000001774)); #43489=CARTESIAN_POINT('',(22.9586356477695,-1.87310744632017,-0.470000000001774)); #43490=CARTESIAN_POINT('Origin',(23.0253856477695,-1.41650000000008,-0.470000000001774)); #43491=CARTESIAN_POINT('',(22.8918856477695,-1.00000000000008,-0.470000000001774)); #43492=CARTESIAN_POINT('Origin',(23.0253856477695,-1.00000000000008,-0.470000000001774)); #43493=CARTESIAN_POINT('',(22.8918856477695,-1.41650000000008,-0.470000000001774)); #43494=CARTESIAN_POINT('Origin',(20.0242627908298,-1.87310744632017,-0.470000000001864)); #43495=CARTESIAN_POINT('',(19.8907627908298,-1.83300000000008,-0.470000000001864)); #43496=CARTESIAN_POINT('Origin',(20.0242627908298,-1.83300000000008,-0.470000000001864)); #43497=CARTESIAN_POINT('',(20.0242627908298,-1.91321489264026,-0.470000000001864)); #43498=CARTESIAN_POINT('',(19.9575127908298,-1.87310744632017,-0.470000000001864)); #43499=CARTESIAN_POINT('Origin',(20.0242627908298,-1.41650000000008,-0.470000000001864)); #43500=CARTESIAN_POINT('',(19.8907627908298,-1.00000000000008,-0.470000000001864)); #43501=CARTESIAN_POINT('Origin',(20.0242627908298,-1.00000000000008,-0.470000000001864)); #43502=CARTESIAN_POINT('',(19.8907627908298,-1.41650000000008,-0.470000000001864)); #43503=CARTESIAN_POINT('Origin',(17.0231399338901,-1.87310744632017,-0.470000000001947)); #43504=CARTESIAN_POINT('',(16.8896399338902,-1.83300000000008,-0.470000000001947)); #43505=CARTESIAN_POINT('Origin',(17.0231399338901,-1.83300000000008,-0.470000000001947)); #43506=CARTESIAN_POINT('',(17.0231399338901,-1.91321489264026,-0.470000000001947)); #43507=CARTESIAN_POINT('',(16.9563899338902,-1.87310744632017,-0.470000000001947)); #43508=CARTESIAN_POINT('Origin',(17.0231399338901,-1.41650000000008,-0.470000000001947)); #43509=CARTESIAN_POINT('',(16.8896399338902,-1.00000000000008,-0.470000000001947)); #43510=CARTESIAN_POINT('Origin',(17.0231399338901,-1.00000000000008,-0.470000000001947)); #43511=CARTESIAN_POINT('',(16.8896399338902,-1.41650000000008,-0.470000000001947)); #43512=CARTESIAN_POINT('Origin',(14.0220170769505,-1.87310744632017,-0.470000000002034)); #43513=CARTESIAN_POINT('',(13.8885170769505,-1.83300000000008,-0.470000000002034)); #43514=CARTESIAN_POINT('Origin',(14.0220170769505,-1.83300000000008,-0.470000000002034)); #43515=CARTESIAN_POINT('',(14.0220170769505,-1.91321489264026,-0.470000000002034)); #43516=CARTESIAN_POINT('',(13.9552670769505,-1.87310744632017,-0.470000000002034)); #43517=CARTESIAN_POINT('Origin',(14.0220170769505,-1.41650000000008,-0.470000000002034)); #43518=CARTESIAN_POINT('',(13.8885170769505,-1.00000000000008,-0.470000000002034)); #43519=CARTESIAN_POINT('Origin',(14.0220170769505,-1.00000000000008,-0.470000000002034)); #43520=CARTESIAN_POINT('',(13.8885170769505,-1.41650000000008,-0.470000000002034)); #43521=CARTESIAN_POINT('Origin',(11.0208942200108,-1.87310744632017,-0.470000000002113)); #43522=CARTESIAN_POINT('',(10.8873942200108,-1.83300000000009,-0.470000000002113)); #43523=CARTESIAN_POINT('Origin',(11.0208942200108,-1.83300000000009,-0.470000000002113)); #43524=CARTESIAN_POINT('',(11.0208942200108,-1.91321489264026,-0.470000000002113)); #43525=CARTESIAN_POINT('',(10.9541442200108,-1.87310744632017,-0.470000000002113)); #43526=CARTESIAN_POINT('Origin',(11.0208942200108,-1.41650000000009,-0.470000000002113)); #43527=CARTESIAN_POINT('',(10.8873942200108,-1.00000000000009,-0.470000000002113)); #43528=CARTESIAN_POINT('Origin',(11.0208942200108,-1.00000000000009,-0.470000000002113)); #43529=CARTESIAN_POINT('',(10.8873942200108,-1.41650000000009,-0.470000000002113)); #43530=CARTESIAN_POINT('Origin',(7.27040802489318,-1.87310744632018,-0.482174408135295)); #43531=CARTESIAN_POINT('',(7.13690802489318,-1.83300000000009,-0.482174408135295)); #43532=CARTESIAN_POINT('Origin',(7.27040802489318,-1.83300000000009,-0.482174408135295)); #43533=CARTESIAN_POINT('',(7.27040802489318,-1.91321489264027,-0.482174408135295)); #43534=CARTESIAN_POINT('',(7.20365802489318,-1.87310744632018,-0.482174408135295)); #43535=CARTESIAN_POINT('Origin',(7.27040802489318,-1.41650000000009,-0.482174408135295)); #43536=CARTESIAN_POINT('',(7.13690802489318,-1.00000000000009,-0.482174408135295)); #43537=CARTESIAN_POINT('Origin',(7.27040802489318,-1.00000000000009,-0.482174408135295)); #43538=CARTESIAN_POINT('',(7.13690802489318,-1.41650000000009,-0.482174408135295)); #43539=CARTESIAN_POINT('Origin',(3.27043383631308,-1.87310744632018,-0.496544192161861)); #43540=CARTESIAN_POINT('',(3.13693383631308,-1.83300000000009,-0.496544192161861)); #43541=CARTESIAN_POINT('Origin',(3.27043383631308,-1.83300000000009,-0.496544192161861)); #43542=CARTESIAN_POINT('',(3.27043383631308,-1.91321489264027,-0.496544192161861)); #43543=CARTESIAN_POINT('',(3.20368383631308,-1.87310744632018,-0.496544192161861)); #43544=CARTESIAN_POINT('Origin',(3.27043383631308,-1.41650000000009,-0.496544192161861)); #43545=CARTESIAN_POINT('',(3.13693383631308,-1.00000000000009,-0.496544192161861)); #43546=CARTESIAN_POINT('Origin',(3.27043383631308,-1.00000000000009,-0.496544192161861)); #43547=CARTESIAN_POINT('',(3.13693383631308,-1.41650000000009,-0.496544192161861)); #43548=CARTESIAN_POINT('Origin',(-0.729540352267029,-1.87310744632018,-0.510913976188433)); #43549=CARTESIAN_POINT('',(-0.863040352267029,-1.83300000000009,-0.510913976188433)); #43550=CARTESIAN_POINT('Origin',(-0.729540352267029,-1.83300000000009,-0.510913976188433)); #43551=CARTESIAN_POINT('',(-0.729540352267029,-1.91321489264027,-0.510913976188433)); #43552=CARTESIAN_POINT('',(-0.796290352267029,-1.87310744632018,-0.510913976188433)); #43553=CARTESIAN_POINT('Origin',(-0.729540352267029,-1.41650000000009,-0.510913976188433)); #43554=CARTESIAN_POINT('',(-0.863040352267029,-1.00000000000009,-0.510913976188433)); #43555=CARTESIAN_POINT('Origin',(-0.729540352267029,-1.00000000000009,-0.510913976188433)); #43556=CARTESIAN_POINT('',(-0.863040352267029,-1.41650000000009,-0.510913976188433)); #43557=CARTESIAN_POINT('Origin',(1.27044674202303,-1.87310744632018,-0.503729084175149)); #43558=CARTESIAN_POINT('',(1.13694674202303,-1.83300000000009,-0.503729084175149)); #43559=CARTESIAN_POINT('Origin',(1.27044674202303,-1.83300000000009,-0.503729084175149)); #43560=CARTESIAN_POINT('',(1.27044674202303,-1.91321489264027,-0.503729084175149)); #43561=CARTESIAN_POINT('',(1.20369674202303,-1.87310744632018,-0.503729084175149)); #43562=CARTESIAN_POINT('Origin',(1.27044674202303,-1.41650000000009,-0.503729084175149)); #43563=CARTESIAN_POINT('',(1.13694674202303,-1.00000000000009,-0.503729084175149)); #43564=CARTESIAN_POINT('Origin',(1.27044674202303,-1.00000000000009,-0.503729084175149)); #43565=CARTESIAN_POINT('',(1.13694674202303,-1.41650000000009,-0.503729084175149)); #43566=CARTESIAN_POINT('Origin',(5.27042093060312,-1.87310744632018,-0.48935930014858)); #43567=CARTESIAN_POINT('',(5.13692093060312,-1.83300000000009,-0.48935930014858)); #43568=CARTESIAN_POINT('Origin',(5.27042093060312,-1.83300000000009,-0.48935930014858)); #43569=CARTESIAN_POINT('',(5.27042093060312,-1.91321489264027,-0.48935930014858)); #43570=CARTESIAN_POINT('',(5.20367093060312,-1.87310744632018,-0.48935930014858)); #43571=CARTESIAN_POINT('Origin',(5.27042093060312,-1.41650000000009,-0.48935930014858)); #43572=CARTESIAN_POINT('',(5.13692093060312,-1.00000000000009,-0.48935930014858)); #43573=CARTESIAN_POINT('Origin',(5.27042093060312,-1.00000000000009,-0.48935930014858)); #43574=CARTESIAN_POINT('',(5.13692093060312,-1.41650000000009,-0.48935930014858)); #43575=CARTESIAN_POINT('Origin',(9.52039350596947,-1.87310744632018,-0.474091404620347)); #43576=CARTESIAN_POINT('',(9.38689350596947,-1.83300000000009,-0.474091404620347)); #43577=CARTESIAN_POINT('Origin',(9.52039350596947,-1.83300000000009,-0.474091404620347)); #43578=CARTESIAN_POINT('',(9.52039350596947,-1.91321489264026,-0.474091404620347)); #43579=CARTESIAN_POINT('',(9.45364350596947,-1.87310744632018,-0.474091404620347)); #43580=CARTESIAN_POINT('Origin',(9.52039350596947,-1.41650000000009,-0.474091404620347)); #43581=CARTESIAN_POINT('',(9.38689350596947,-1.00000000000009,-0.474091404620347)); #43582=CARTESIAN_POINT('Origin',(9.52039350596947,-1.00000000000009,-0.474091404620347)); #43583=CARTESIAN_POINT('',(9.38689350596947,-1.41650000000009,-0.474091404620347)); #43584=CARTESIAN_POINT('Origin',(12.5214556484806,-1.87310744632017,-0.470000000002076)); #43585=CARTESIAN_POINT('',(12.3879556484806,-1.83300000000008,-0.470000000002076)); #43586=CARTESIAN_POINT('Origin',(12.5214556484806,-1.83300000000008,-0.470000000002076)); #43587=CARTESIAN_POINT('',(12.5214556484806,-1.91321489264026,-0.470000000002076)); #43588=CARTESIAN_POINT('',(12.4547056484806,-1.87310744632017,-0.470000000002076)); #43589=CARTESIAN_POINT('Origin',(12.5214556484806,-1.41650000000008,-0.470000000002076)); #43590=CARTESIAN_POINT('',(12.3879556484806,-1.00000000000008,-0.470000000002076)); #43591=CARTESIAN_POINT('Origin',(12.5214556484806,-1.00000000000008,-0.470000000002076)); #43592=CARTESIAN_POINT('',(12.3879556484806,-1.41650000000008,-0.470000000002076)); #43593=CARTESIAN_POINT('Origin',(15.5225785054203,-1.87310744632017,-0.470000000001984)); #43594=CARTESIAN_POINT('',(15.3890785054203,-1.83300000000008,-0.470000000001984)); #43595=CARTESIAN_POINT('Origin',(15.5225785054203,-1.83300000000008,-0.470000000001984)); #43596=CARTESIAN_POINT('',(15.5225785054203,-1.91321489264026,-0.470000000001984)); #43597=CARTESIAN_POINT('',(15.4558285054203,-1.87310744632017,-0.470000000001984)); #43598=CARTESIAN_POINT('Origin',(15.5225785054203,-1.41650000000008,-0.470000000001984)); #43599=CARTESIAN_POINT('',(15.3890785054203,-1.00000000000008,-0.470000000001984)); #43600=CARTESIAN_POINT('Origin',(15.5225785054203,-1.00000000000008,-0.470000000001984)); #43601=CARTESIAN_POINT('',(15.3890785054203,-1.41650000000008,-0.470000000001984)); #43602=CARTESIAN_POINT('Origin',(18.52370136236,-1.87310744632017,-0.470000000001903)); #43603=CARTESIAN_POINT('',(18.39020136236,-1.83300000000008,-0.470000000001903)); #43604=CARTESIAN_POINT('Origin',(18.52370136236,-1.83300000000008,-0.470000000001903)); #43605=CARTESIAN_POINT('',(18.52370136236,-1.91321489264026,-0.470000000001903)); #43606=CARTESIAN_POINT('',(18.45695136236,-1.87310744632017,-0.470000000001903)); #43607=CARTESIAN_POINT('Origin',(18.52370136236,-1.41650000000008,-0.470000000001903)); #43608=CARTESIAN_POINT('',(18.39020136236,-1.00000000000008,-0.470000000001903)); #43609=CARTESIAN_POINT('Origin',(18.52370136236,-1.00000000000008,-0.470000000001903)); #43610=CARTESIAN_POINT('',(18.39020136236,-1.41650000000008,-0.470000000001903)); #43611=CARTESIAN_POINT('Origin',(21.5248242192997,-1.87310744632017,-0.470000000001819)); #43612=CARTESIAN_POINT('',(21.3913242192997,-1.83300000000008,-0.470000000001819)); #43613=CARTESIAN_POINT('Origin',(21.5248242192997,-1.83300000000008,-0.470000000001819)); #43614=CARTESIAN_POINT('',(21.5248242192997,-1.91321489264026,-0.470000000001819)); #43615=CARTESIAN_POINT('',(21.4580742192997,-1.87310744632017,-0.470000000001819)); #43616=CARTESIAN_POINT('Origin',(21.5248242192997,-1.41650000000008,-0.470000000001819)); #43617=CARTESIAN_POINT('',(21.3913242192997,-1.00000000000008,-0.470000000001819)); #43618=CARTESIAN_POINT('Origin',(21.5248242192997,-1.00000000000008,-0.470000000001819)); #43619=CARTESIAN_POINT('',(21.3913242192997,-1.41650000000008,-0.470000000001819)); #43620=CARTESIAN_POINT('Origin',(24.5259470762394,-1.87310744632017,-0.470000000001735)); #43621=CARTESIAN_POINT('',(24.3924470762394,-1.83300000000008,-0.470000000001735)); #43622=CARTESIAN_POINT('Origin',(24.5259470762394,-1.83300000000008,-0.470000000001735)); #43623=CARTESIAN_POINT('',(24.5259470762394,-1.91321489264026,-0.470000000001735)); #43624=CARTESIAN_POINT('',(24.4591970762394,-1.87310744632017,-0.470000000001735)); #43625=CARTESIAN_POINT('Origin',(24.5259470762394,-1.41650000000008,-0.470000000001735)); #43626=CARTESIAN_POINT('',(24.3924470762394,-1.00000000000008,-0.470000000001735)); #43627=CARTESIAN_POINT('Origin',(24.5259470762394,-1.00000000000008,-0.470000000001735)); #43628=CARTESIAN_POINT('',(24.3924470762394,-1.41650000000008,-0.470000000001735)); #43629=CARTESIAN_POINT('Origin',(27.527069933179,-1.87310744632017,-0.470000000001651)); #43630=CARTESIAN_POINT('',(27.393569933179,-1.83300000000008,-0.470000000001651)); #43631=CARTESIAN_POINT('Origin',(27.527069933179,-1.83300000000008,-0.470000000001651)); #43632=CARTESIAN_POINT('',(27.527069933179,-1.91321489264026,-0.470000000001651)); #43633=CARTESIAN_POINT('',(27.460319933179,-1.87310744632017,-0.470000000001651)); #43634=CARTESIAN_POINT('Origin',(27.527069933179,-1.41650000000008,-0.470000000001651)); #43635=CARTESIAN_POINT('',(27.393569933179,-1.00000000000008,-0.470000000001651)); #43636=CARTESIAN_POINT('Origin',(27.527069933179,-1.00000000000008,-0.470000000001651)); #43637=CARTESIAN_POINT('',(27.393569933179,-1.41650000000008,-0.470000000001651)); #43638=CARTESIAN_POINT('Origin',(30.5281927901187,-1.87310744632017,-0.470000000001561)); #43639=CARTESIAN_POINT('',(30.3946927901187,-1.83300000000008,-0.470000000001561)); #43640=CARTESIAN_POINT('Origin',(30.5281927901187,-1.83300000000008,-0.470000000001561)); #43641=CARTESIAN_POINT('',(30.5281927901187,-1.91321489264026,-0.470000000001561)); #43642=CARTESIAN_POINT('',(30.4614427901187,-1.87310744632017,-0.470000000001561)); #43643=CARTESIAN_POINT('Origin',(30.5281927901187,-1.41650000000008,-0.470000000001561)); #43644=CARTESIAN_POINT('',(30.3946927901187,-1.00000000000008,-0.470000000001561)); #43645=CARTESIAN_POINT('Origin',(30.5281927901187,-1.00000000000008,-0.470000000001561)); #43646=CARTESIAN_POINT('',(30.3946927901187,-1.41650000000008,-0.470000000001561)); #43647=CARTESIAN_POINT('Origin',(33.5293156470584,-1.87310744632017,-0.470000000001475)); #43648=CARTESIAN_POINT('',(33.3958156470584,-1.83300000000008,-0.470000000001475)); #43649=CARTESIAN_POINT('Origin',(33.5293156470584,-1.83300000000008,-0.470000000001475)); #43650=CARTESIAN_POINT('',(33.5293156470584,-1.91321489264026,-0.470000000001475)); #43651=CARTESIAN_POINT('',(33.4625656470584,-1.87310744632017,-0.470000000001475)); #43652=CARTESIAN_POINT('Origin',(33.5293156470584,-1.41650000000008,-0.470000000001475)); #43653=CARTESIAN_POINT('',(33.3958156470584,-1.00000000000008,-0.470000000001475)); #43654=CARTESIAN_POINT('Origin',(33.5293156470584,-1.00000000000008,-0.470000000001475)); #43655=CARTESIAN_POINT('',(33.3958156470584,-1.41650000000008,-0.470000000001475)); #43656=CARTESIAN_POINT('Origin',(36.5304385039981,-1.87310744632017,-0.470000000001392)); #43657=CARTESIAN_POINT('',(36.3969385039981,-1.83300000000008,-0.470000000001392)); #43658=CARTESIAN_POINT('Origin',(36.5304385039981,-1.83300000000008,-0.470000000001392)); #43659=CARTESIAN_POINT('',(36.5304385039981,-1.91321489264026,-0.470000000001392)); #43660=CARTESIAN_POINT('',(36.4636885039981,-1.87310744632017,-0.470000000001392)); #43661=CARTESIAN_POINT('Origin',(36.5304385039981,-1.41650000000008,-0.470000000001392)); #43662=CARTESIAN_POINT('',(36.3969385039981,-1.00000000000008,-0.470000000001392)); #43663=CARTESIAN_POINT('Origin',(36.5304385039981,-1.00000000000008,-0.470000000001392)); #43664=CARTESIAN_POINT('',(36.3969385039981,-1.41650000000008,-0.470000000001392)); #43665=CARTESIAN_POINT('Origin',(39.5315613609378,-1.87310744632017,-0.47000000000131)); #43666=CARTESIAN_POINT('',(39.3980613609378,-1.83300000000008,-0.47000000000131)); #43667=CARTESIAN_POINT('Origin',(39.5315613609378,-1.83300000000008,-0.47000000000131)); #43668=CARTESIAN_POINT('',(39.5315613609378,-1.91321489264026,-0.47000000000131)); #43669=CARTESIAN_POINT('',(39.4648113609378,-1.87310744632017,-0.47000000000131)); #43670=CARTESIAN_POINT('Origin',(39.5315613609378,-1.41650000000008,-0.47000000000131)); #43671=CARTESIAN_POINT('',(39.3980613609378,-1.00000000000008,-0.47000000000131)); #43672=CARTESIAN_POINT('Origin',(39.5315613609378,-1.00000000000008,-0.47000000000131)); #43673=CARTESIAN_POINT('',(39.3980613609378,-1.41650000000008,-0.47000000000131)); #43674=CARTESIAN_POINT('Origin',(42.5163119513477,-1.87310744632017,-0.640081539293766)); #43675=CARTESIAN_POINT('',(42.3828119513477,-1.83300000000008,-0.640081539293766)); #43676=CARTESIAN_POINT('Origin',(42.5163119513477,-1.83300000000008,-0.640081539293766)); #43677=CARTESIAN_POINT('',(42.5163119513477,-1.91321489264026,-0.640081539293766)); #43678=CARTESIAN_POINT('',(42.4495619513477,-1.87310744632017,-0.640081539293766)); #43679=CARTESIAN_POINT('Origin',(42.5163119513477,-1.41650000000008,-0.640081539293766)); #43680=CARTESIAN_POINT('',(42.3828119513477,-1.00000000000008,-0.640081539293766)); #43681=CARTESIAN_POINT('Origin',(42.5163119513477,-1.00000000000008,-0.640081539293766)); #43682=CARTESIAN_POINT('',(42.3828119513477,-1.41650000000008,-0.640081539293766)); #43683=CARTESIAN_POINT('Origin',(-0.964841365498713,1.19893903382201,-0.101408913873464)); #43684=CARTESIAN_POINT('',(-15.8970788601322,-10.8091416444476,0.)); #43685=CARTESIAN_POINT('',(-15.7916431983293,-10.8088749302739,-1.)); #43686=CARTESIAN_POINT('',(-15.7219984996146,-10.8086987543208,-1.660542149818)); #43687=CARTESIAN_POINT('',(-3.70796339892081,-1.00000000000009,0.)); #43688=CARTESIAN_POINT('',(-2.46533525641461,2.99323120969806E-13,0.)); #43689=CARTESIAN_POINT('',(-3.6028591636557,-1.00000000000009,-1.)); #43690=CARTESIAN_POINT('',(-3.68770642475697,-1.00000000000009,-0.192732234940118)); #43691=CARTESIAN_POINT('',(-1.30954407730924,0.8455360923362,-1.)); #43692=CARTESIAN_POINT('Origin',(-3.7079633989208,-1.00000000000009,0.)); #43693=CARTESIAN_POINT('',(44.3456456317314,-1.00000000000008,0.)); #43694=CARTESIAN_POINT('',(45.6430320861992,-1.00000000000008,0.)); #43695=CARTESIAN_POINT('',(44.450390511774,-1.00000000000008,-0.996580963439926)); #43696=CARTESIAN_POINT('',(43.8408592805361,-1.00000000000008,4.8027213167893)); #43697=CARTESIAN_POINT('',(44.450390511774,-1.00000000000008,-1.)); #43698=CARTESIAN_POINT('',(44.450390511774,-1.00000000000008,0.)); #43699=CARTESIAN_POINT('',(45.6430320861992,-1.00000000000008,-1.)); #43700=CARTESIAN_POINT('Origin',(43.3631323380574,-5.19922236311753,4.55764886311592)); #43701=CARTESIAN_POINT('',(41.1153978045322,-27.9412720273747,0.)); #43702=CARTESIAN_POINT('',(42.9038546685328,-13.0249852717409,0.)); #43703=CARTESIAN_POINT('',(41.2047905370517,-28.069313714811,-0.996580963441988)); #43704=CARTESIAN_POINT('Origin',(75.9326114046499,46.6180736922473,-246.208704760173)); #43705=CARTESIAN_POINT('',(44.1945112964663,-3.13411227699346,-0.996580963440112)); #43706=CARTESIAN_POINT('Origin',(44.450390511774,-1.00000000000008,0.)); #43707=CARTESIAN_POINT('',(41.2047129728561,-28.0699606243381,-1.)); #43708=CARTESIAN_POINT('Origin',(50.1597786551221,46.6180736922474,-166.88808170058)); #43709=CARTESIAN_POINT('',(40.1770964930292,-36.6406018270704,-1.)); #43710=CARTESIAN_POINT('Origin',(3.6209754180626,46.6180736922475,-23.6563731380445)); #43711=CARTESIAN_POINT('',(-4.06544615592276,-39.4370078740147,0.)); #43712=CARTESIAN_POINT('Origin',(-4.06544615592315,46.6180736922475,0.)); #43713=CARTESIAN_POINT('',(-3.74052645968985,-39.4370078740147,-1.)); #43714=CARTESIAN_POINT('',(3.62097541806293,-39.4370078740147,-23.6563731380443)); #43715=CARTESIAN_POINT('Origin',(-3.74052645969024,46.6180736922475,-1.)); #43716=CARTESIAN_POINT('Origin',(-15.7222991112326,6.03225388562485E-17, -1.65769101185535)); #43717=CARTESIAN_POINT('',(-15.7916431983293,-37.4370078740146,-1.)); #43718=CARTESIAN_POINT('',(-15.7916431983293,-8.63896356900707,-1.)); #43719=CARTESIAN_POINT('',(-15.8970788601322,-37.4370078740146,0.)); #43720=CARTESIAN_POINT('',(-15.7503688847588,-37.4370078740146,-1.39146445201541)); #43721=CARTESIAN_POINT('',(-15.8970788601322,-10.8091416444476,0.)); #43722=CARTESIAN_POINT('Origin',(-5.10616826196132,-37.4370078740146,0.)); #43723=CARTESIAN_POINT('',(-4.39036585225274,-37.4370078740146,-0.999999999999974)); #43724=CARTESIAN_POINT('',(2.51793400308577,-37.4370078740146,-1.)); #43725=CARTESIAN_POINT('',(-4.39036585225258,-37.4370078740146,7.88520604891253E-14)); #43726=CARTESIAN_POINT('',(-4.39036585225274,-37.4370078740146,-0.999999999999974)); #43727=CARTESIAN_POINT('',(-15.8970788601322,-37.4370078740146,0.)); #43728=CARTESIAN_POINT('Origin',(-4.39036585225274,-37.4370078740146,-0.999999999999974)); #43729=CARTESIAN_POINT('',(-4.39036585225258,-39.4370078740147,0.)); #43730=CARTESIAN_POINT('',(-4.39036585225258,-27.8547596764365,0.)); #43731=CARTESIAN_POINT('',(-4.39036585225273,-39.4370078740147,-1.)); #43732=CARTESIAN_POINT('',(-4.39036585225274,-27.3574675060144,-1.)); #43733=CARTESIAN_POINT('',(-4.39036585225258,-39.4370078740147,-11.923678071849)); #43734=CARTESIAN_POINT('Origin',(12.646137759581,-17.2779271380141,0.)); #43735=CARTESIAN_POINT('',(10.6759458677781,-39.4370078740146,0.)); #43736=CARTESIAN_POINT('Origin',(12.646137759581,-17.2779271380141,-1.)); #43737=CARTESIAN_POINT('',(1.51659508284149,-39.4370078740146,-1.)); #43738=CARTESIAN_POINT('',(39.94921990777,-18.8910576027492,-1.)); #43739=CARTESIAN_POINT('',(26.7203270504332,-18.8910576027492,-1.)); #43740=CARTESIAN_POINT('',(-2.1176103350427,-36.8916599810504,-1.)); #43741=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-1.)); #43742=CARTESIAN_POINT('',(39.5811890810198,-26.2167896788284,-1.)); #43743=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-1.)); #43744=CARTESIAN_POINT('',(39.9855964842705,-25.6377009199215,-1.)); #43745=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-1.)); #43746=CARTESIAN_POINT('',(40.693886377084,-19.7303428169754,-1.)); #43747=CARTESIAN_POINT('',(40.26216086561,-23.3310679216688,-1.)); #43748=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-1.)); #43749=CARTESIAN_POINT('Origin',(3.03319016568266,-39.4370078740147,-23.8473561436979)); #43750=CARTESIAN_POINT('Origin',(39.94921990777,-19.6410576027492,-1.)); #43751=CARTESIAN_POINT('',(39.94921990777,-18.8910576027492,-1.)); #43752=CARTESIAN_POINT('',(40.693886377084,-19.7303428169754,-1.)); #43753=CARTESIAN_POINT('Origin',(39.9380565797841,-26.0341985162166,-1.)); #43754=CARTESIAN_POINT('',(39.9855964842705,-25.6377009199215,-1.)); #43755=CARTESIAN_POINT('Origin',(39.2409300149565,-25.5484157056953,-1.)); #43756=CARTESIAN_POINT('',(39.5811890810198,-26.2167896788284,-1.)); #43757=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-1.)); #43758=CARTESIAN_POINT('',(-2.1176103350427,-36.8916599810504,-1.)); #43759=CARTESIAN_POINT('Origin',(40.7945163412854,-18.8910576027492,-1.)); #43760=CARTESIAN_POINT('Origin',(-2.14036585225274,-36.1420052695755,-1.)); #43761=CARTESIAN_POINT('',(0.,0.,0.)); #43762=CARTESIAN_POINT('Origin',(-4.39446585225313,-38.4370078740146,4.7381171602113E-14)); #43763=CARTESIAN_POINT('',(-4.39446585225313,-39.4370078740147,-1.)); #43764=CARTESIAN_POINT('',(-4.39446585225313,-39.4370078740145,1.)); #43765=CARTESIAN_POINT('',(-4.39446585225313,-39.4370078740147,-1.)); #43766=CARTESIAN_POINT('',(-4.39446585225313,-37.4370078740146,1.0000000000003)); #43767=CARTESIAN_POINT('',(-4.39446585225313,-39.4370078740145,1.)); #43768=CARTESIAN_POINT('',(-4.39446585225313,-37.4370078740146,-1.)); #43769=CARTESIAN_POINT('',(-4.39446585225313,-37.4370078740146,2.36905858010565E-14)); #43770=CARTESIAN_POINT('',(-4.39446585225313,-37.4370078740146,-1.)); #43771=CARTESIAN_POINT('Origin',(-5.10616826196132,-37.4370078740146,0.)); #43772=CARTESIAN_POINT('',(-15.7962577727248,-37.4370078740146,1.)); #43773=CARTESIAN_POINT('',(-12.9247999948294,-37.4370078740146,1.)); #43774=CARTESIAN_POINT('',(-15.9013620079904,-37.4370078740146,4.89547160464636E-15)); #43775=CARTESIAN_POINT('',(-15.7555160591484,-37.4370078740146,1.38763151145616)); #43776=CARTESIAN_POINT('',(-15.7962577727248,-37.4370078740146,-1.)); #43777=CARTESIAN_POINT('',(-15.7555160591484,-37.4370078740146,-1.38763151145616)); #43778=CARTESIAN_POINT('',(-15.8970788601322,-37.4370078740146,-1.)); #43779=CARTESIAN_POINT('',(-14.5279877591467,-37.4370078740146,-0.501493010923262)); #43780=CARTESIAN_POINT('Origin',(-14.3944877591467,-37.4370078740146,-0.501493010923262)); #43781=CARTESIAN_POINT('',(-11.5281472143269,-37.4370078740146,0.499997366376235)); #43782=CARTESIAN_POINT('Origin',(-11.3946472143269,-37.4370078740146,0.499997366376235)); #43783=CARTESIAN_POINT('',(-8.52798782578664,-37.4370078740146,-0.500598784543785)); #43784=CARTESIAN_POINT('Origin',(-8.39448782578664,-37.4370078740146,-0.500598784543785)); #43785=CARTESIAN_POINT('',(-5.52814721433003,-37.4370078740146,0.499997366376851)); #43786=CARTESIAN_POINT('Origin',(-5.39464721433003,-37.4370078740146,0.499997366376851)); #43787=CARTESIAN_POINT('',(-5.52798785910662,-37.4370078740146,-0.500151671354051)); #43788=CARTESIAN_POINT('Origin',(-5.39448785910662,-37.4370078740146,-0.500151671354051)); #43789=CARTESIAN_POINT('',(-8.52814721432847,-37.4370078740146,0.499997366376539)); #43790=CARTESIAN_POINT('Origin',(-8.39464721432847,-37.4370078740146,0.499997366376539)); #43791=CARTESIAN_POINT('',(-11.5279877924667,-37.4370078740146,-0.501045897733514)); #43792=CARTESIAN_POINT('Origin',(-11.3944877924667,-37.4370078740146,-0.501045897733514)); #43793=CARTESIAN_POINT('',(-14.5281472143253,-37.4370078740146,0.499997366375919)); #43794=CARTESIAN_POINT('Origin',(-14.3946472143253,-37.4370078740146,0.499997366375919)); #43795=CARTESIAN_POINT('Origin',(43.0554625142897,-4.86825334782863,-0.590621610515671)); #43796=CARTESIAN_POINT('',(43.0813118140193,-4.87135264215602,-0.453679778599782)); #43797=CARTESIAN_POINT('Origin',(43.0950696627281,-4.87300218867783,-0.586458732486233)); #43798=CARTESIAN_POINT('',(43.0158553658513,-4.86350450697942,-0.594784488545109)); #43799=CARTESIAN_POINT('',(43.0485835899353,-4.86742857456772,-0.524232133572447)); #43800=CARTESIAN_POINT('Origin',(43.5063742666894,-4.92231702657372,-0.543228887199565)); #43801=CARTESIAN_POINT('',(43.903921021942,-4.96998231794779,-0.367220088026448)); #43802=CARTESIAN_POINT('Origin',(43.9176788706508,-4.9716318644696,-0.499999041912896)); #43803=CARTESIAN_POINT('',(43.4926164179807,-4.92066748005191,-0.410449933313116)); #43804=CARTESIAN_POINT('Origin',(42.3411868108945,-10.8255858229838,-0.59062161051568)); #43805=CARTESIAN_POINT('',(42.3670361106241,-10.8286851173111,-0.453679778599791)); #43806=CARTESIAN_POINT('Origin',(42.3807939593329,-10.830334663833,-0.586458732486242)); #43807=CARTESIAN_POINT('',(42.3015796624561,-10.8208369821345,-0.594784488545118)); #43808=CARTESIAN_POINT('',(42.3343078865401,-10.8247610497228,-0.524232133572456)); #43809=CARTESIAN_POINT('Origin',(42.7920985632942,-10.8796495017288,-0.543228887199574)); #43810=CARTESIAN_POINT('',(43.1896453185468,-10.9273147931029,-0.367220088026457)); #43811=CARTESIAN_POINT('Origin',(43.2034031672556,-10.9289643396247,-0.499999041912906)); #43812=CARTESIAN_POINT('',(42.7783407145855,-10.877999955207,-0.410449933313125)); #43813=CARTESIAN_POINT('Origin',(41.6269111074993,-16.7829182981389,-0.590621610515686)); #43814=CARTESIAN_POINT('',(41.652760407229,-16.7860175924663,-0.453679778599797)); #43815=CARTESIAN_POINT('Origin',(41.6665182559377,-16.7876671389881,-0.586458732486248)); #43816=CARTESIAN_POINT('',(41.5873039590609,-16.7781694572897,-0.594784488545124)); #43817=CARTESIAN_POINT('',(41.620032183145,-16.782093524878,-0.524232133572462)); #43818=CARTESIAN_POINT('Origin',(42.077822859899,-16.836981976884,-0.54322888719958)); #43819=CARTESIAN_POINT('',(42.4753696151516,-16.884647268258,-0.367220088026463)); #43820=CARTESIAN_POINT('Origin',(42.4891274638604,-16.8862968147798,-0.499999041912911)); #43821=CARTESIAN_POINT('',(42.0640650111903,-16.8353324303622,-0.410449933313131)); #43822=CARTESIAN_POINT('Origin',(40.9126354041041,-22.740250773294,-0.590621610515688)); #43823=CARTESIAN_POINT('',(40.9384847038338,-22.7433500676214,-0.453679778599799)); #43824=CARTESIAN_POINT('Origin',(40.9522425525425,-22.7449996141432,-0.58645873248625)); #43825=CARTESIAN_POINT('',(40.8730282556658,-22.7355019324448,-0.594784488545126)); #43826=CARTESIAN_POINT('',(40.9057564797498,-22.7394260000331,-0.524232133572464)); #43827=CARTESIAN_POINT('Origin',(41.3635471565039,-22.7943144520391,-0.543228887199582)); #43828=CARTESIAN_POINT('',(41.7610939117564,-22.8419797434132,-0.367220088026465)); #43829=CARTESIAN_POINT('Origin',(41.7748517604652,-22.843629289935,-0.499999041912914)); #43830=CARTESIAN_POINT('',(41.3497893077951,-22.7926649055173,-0.410449933313133)); #43831=CARTESIAN_POINT('Origin',(40.5554975524065,-25.7189170108716,-0.590621610515696)); #43832=CARTESIAN_POINT('',(40.5813468521362,-25.722016305199,-0.453679778599806)); #43833=CARTESIAN_POINT('Origin',(40.5951047008449,-25.7236658517208,-0.586458732486259)); #43834=CARTESIAN_POINT('',(40.5158904039682,-25.7141681700224,-0.594784488545134)); #43835=CARTESIAN_POINT('',(40.5486186280522,-25.7180922376107,-0.524232133572472)); #43836=CARTESIAN_POINT('Origin',(41.0064093048063,-25.7729806896167,-0.54322888719959)); #43837=CARTESIAN_POINT('',(41.4039560600588,-25.8206459809907,-0.367220088026474)); #43838=CARTESIAN_POINT('Origin',(41.4177139087676,-25.8222955275125,-0.499999041912922)); #43839=CARTESIAN_POINT('',(40.9926514560975,-25.7713311430948,-0.410449933313142)); #43840=CARTESIAN_POINT('Origin',(41.2697732558017,-19.7615845357164,-0.590621610515688)); #43841=CARTESIAN_POINT('',(41.2956225555314,-19.7646838300438,-0.453679778599799)); #43842=CARTESIAN_POINT('Origin',(41.3093804042401,-19.7663333765656,-0.58645873248625)); #43843=CARTESIAN_POINT('',(41.2301661073634,-19.7568356948672,-0.594784488545126)); #43844=CARTESIAN_POINT('',(41.2628943314474,-19.7607597624555,-0.524232133572464)); #43845=CARTESIAN_POINT('Origin',(41.7206850082014,-19.8156482144615,-0.543228887199582)); #43846=CARTESIAN_POINT('',(42.118231763454,-19.8633135058356,-0.367220088026465)); #43847=CARTESIAN_POINT('Origin',(42.1319896121628,-19.8649630523574,-0.499999041912913)); #43848=CARTESIAN_POINT('',(41.7069271594927,-19.8139986679397,-0.410449933313133)); #43849=CARTESIAN_POINT('Origin',(41.9840489591969,-13.8042520605613,-0.59062161051568)); #43850=CARTESIAN_POINT('',(42.0098982589265,-13.8073513548887,-0.453679778599791)); #43851=CARTESIAN_POINT('Origin',(42.0236561076353,-13.8090009014105,-0.586458732486242)); #43852=CARTESIAN_POINT('',(41.9444418107585,-13.7995032197121,-0.594784488545118)); #43853=CARTESIAN_POINT('',(41.9771700348425,-13.8034272873004,-0.524232133572456)); #43854=CARTESIAN_POINT('Origin',(42.4349607115966,-13.8583157393064,-0.543228887199573)); #43855=CARTESIAN_POINT('',(42.8325074668492,-13.9059810306805,-0.367220088026456)); #43856=CARTESIAN_POINT('Origin',(42.846265315558,-13.9076305772023,-0.499999041912905)); #43857=CARTESIAN_POINT('',(42.4212028628879,-13.8566661927846,-0.410449933313125)); #43858=CARTESIAN_POINT('Origin',(42.6983246625921,-7.84691958540619,-0.590621610515672)); #43859=CARTESIAN_POINT('',(42.7241739623217,-7.85001887973359,-0.453679778599783)); #43860=CARTESIAN_POINT('Origin',(42.7379318110305,-7.8516684262554,-0.586458732486234)); #43861=CARTESIAN_POINT('',(42.6587175141537,-7.84217074455699,-0.594784488545109)); #43862=CARTESIAN_POINT('',(42.6914457382377,-7.84609481214529,-0.524232133572447)); #43863=CARTESIAN_POINT('Origin',(43.1492364149918,-7.90098326415128,-0.543228887199565)); #43864=CARTESIAN_POINT('',(43.5467831702444,-7.94864855552536,-0.367220088026449)); #43865=CARTESIAN_POINT('Origin',(43.5605410189532,-7.95029810204716,-0.499999041912897)); #43866=CARTESIAN_POINT('',(43.1354785662831,-7.89933371762947,-0.410449933313117)); #43867=CARTESIAN_POINT('Origin',(43.863512118387,-1.94365078899615,-0.54322888719956)); #43868=CARTESIAN_POINT('',(44.2610588736396,-1.99131608037023,-0.367220088026444)); #43869=CARTESIAN_POINT('Origin',(44.2748167223484,-1.99296562689204,-0.499999041912892)); #43870=CARTESIAN_POINT('',(43.4384496657169,-1.89268640457846,-0.453679778599781)); #43871=CARTESIAN_POINT('',(43.8497542696783,-1.94200124247435,-0.410449933313112)); #43872=CARTESIAN_POINT('Origin',(43.4522075144257,-1.89433595110027,-0.586458732486229)); #43873=CARTESIAN_POINT('',(43.5107614925175,-1.83300000000008,-0.465569134881608)); #43874=CARTESIAN_POINT('',(43.7682715440935,-1.83300000000008,-0.463899961031112)); #43875=CARTESIAN_POINT('Ctrl Pts',(43.5107614925175,-1.83300000000007,-0.465569134881608)); #43876=CARTESIAN_POINT('Ctrl Pts',(43.527577893888,-1.84185117187115,-0.459688483938548)); #43877=CARTESIAN_POINT('Ctrl Pts',(43.5447571555307,-1.8504693964107,-0.454776734811847)); #43878=CARTESIAN_POINT('Ctrl Pts',(43.581277909777,-1.86625499742479,-0.446285671953646)); #43879=CARTESIAN_POINT('Ctrl Pts',(43.6005779527833,-1.87337934910293,-0.442814619124612)); #43880=CARTESIAN_POINT('Ctrl Pts',(43.6303044731411,-1.87694351667737,-0.439690236699512)); #43881=CARTESIAN_POINT('Ctrl Pts',(43.6404804948872,-1.87701860094933,-0.438959669867622)); #43882=CARTESIAN_POINT('Ctrl Pts',(43.6606169649232,-1.87463894701197,-0.438488438672359)); #43883=CARTESIAN_POINT('Ctrl Pts',(43.6705887061393,-1.87227970331036,-0.438753025159558)); #43884=CARTESIAN_POINT('Ctrl Pts',(43.7002662729254,-1.86357901539049,-0.440909927213866)); #43885=CARTESIAN_POINT('Ctrl Pts',(43.7217499178687,-1.85451079112529,-0.444816900669991)); #43886=CARTESIAN_POINT('Ctrl Pts',(43.7510227278818,-1.84126398751544,-0.455231886965481)); #43887=CARTESIAN_POINT('Ctrl Pts',(43.7599344413697,-1.8370577810869,-0.459191503814832)); #43888=CARTESIAN_POINT('Ctrl Pts',(43.7682715440935,-1.83300000000007,-0.463899961031113)); #43889=CARTESIAN_POINT('',(43.5062451074074,-1.79444446337659,-0.499999892786966)); #43890=CARTESIAN_POINT('Ctrl Pts',(43.5062451074074,-1.79444446337659,-0.499999892787004)); #43891=CARTESIAN_POINT('Ctrl Pts',(43.5062451074074,-1.7910686885595,-0.50440049049416)); #43892=CARTESIAN_POINT('Ctrl Pts',(43.5064624960436,-1.78797408157427,-0.509000305100148)); #43893=CARTESIAN_POINT('Ctrl Pts',(43.5079406531951,-1.77967103127425,-0.523252606158824)); #43894=CARTESIAN_POINT('Ctrl Pts',(43.5101763541163,-1.77511327672513,-0.53412933709025)); #43895=CARTESIAN_POINT('Ctrl Pts',(43.5178632745726,-1.76970199950841,-0.555656876950929)); #43896=CARTESIAN_POINT('Ctrl Pts',(43.5233451007304,-1.76882474644719,-0.566290771317947)); #43897=CARTESIAN_POINT('Ctrl Pts',(43.5378301083006,-1.76977860981723,-0.587464617202518)); #43898=CARTESIAN_POINT('Ctrl Pts',(43.5499405687422,-1.77304335651809,-0.5997925555757)); #43899=CARTESIAN_POINT('Ctrl Pts',(43.5740563843091,-1.78078891468268,-0.617262144897718)); #43900=CARTESIAN_POINT('Ctrl Pts',(43.5890314330601,-1.78617594286528,-0.624588245647355)); #43901=CARTESIAN_POINT('Ctrl Pts',(43.6208691719173,-1.79563313241181,-0.633183311466317)); #43902=CARTESIAN_POINT('Ctrl Pts',(43.6377870753901,-1.79952671670343,-0.634441045157382)); #43903=CARTESIAN_POINT('Ctrl Pts',(43.6691664420091,-1.80328905819727,-0.631142941632475)); #43904=CARTESIAN_POINT('Ctrl Pts',(43.6854546285693,-1.80344597788299,-0.626524296327868)); #43905=CARTESIAN_POINT('Ctrl Pts',(43.7150477077262,-1.80134060956159,-0.611477729686614)); #43906=CARTESIAN_POINT('Ctrl Pts',(43.7283690141679,-1.79929310225689,-0.601052808769928)); #43907=CARTESIAN_POINT('Ctrl Pts',(43.7484752007262,-1.79681333856406,-0.578785454409101)); #43908=CARTESIAN_POINT('Ctrl Pts',(43.7577114647263,-1.79614956805183,-0.56413684702632)); #43909=CARTESIAN_POINT('Ctrl Pts',(43.7673972950785,-1.79808731238252,-0.540609289268616)); #43910=CARTESIAN_POINT('Ctrl Pts',(43.7705337241791,-1.79999893658142,-0.529098932064343)); #43911=CARTESIAN_POINT('Ctrl Pts',(43.7735747280802,-1.80668145367641,-0.50648439481397)); #43912=CARTESIAN_POINT('Ctrl Pts',(43.7735093728332,-1.81148136149765,-0.495392853188113)); #43913=CARTESIAN_POINT('Ctrl Pts',(43.7716579220242,-1.82160681054052,-0.478007561895271)); #43914=CARTESIAN_POINT('Ctrl Pts',(43.7701602307414,-1.82695733913967,-0.47062424423789)); #43915=CARTESIAN_POINT('Ctrl Pts',(43.7682715440935,-1.83300000000008,-0.463899961031112)); #43916=CARTESIAN_POINT('Ctrl Pts',(43.5107614925175,-1.83300000000008,-0.465569134881608)); #43917=CARTESIAN_POINT('Ctrl Pts',(43.5092366044396,-1.82359097778275,-0.471281631165524)); #43918=CARTESIAN_POINT('Ctrl Pts',(43.507830491224,-1.81484692172294,-0.478077091660795)); #43919=CARTESIAN_POINT('Ctrl Pts',(43.5065282492321,-1.80250931516073,-0.490222682059807)); #43920=CARTESIAN_POINT('Ctrl Pts',(43.5062451074074,-1.79829400396957,-0.494981702763977)); #43921=CARTESIAN_POINT('Ctrl Pts',(43.5062451074074,-1.79444446337659,-0.499999892787004)); #43922=CARTESIAN_POINT('Origin',(43.4126003659873,-1.88958711025107,-0.590621610515667)); #43923=CARTESIAN_POINT('',(43.3729932175489,-1.88483826940186,-0.594784488545105)); #43924=CARTESIAN_POINT('',(43.4057214416329,-1.88876233699016,-0.524232133572443)); #43925=CARTESIAN_POINT('Origin',(43.6397451074074,-1.87310744632016,-0.499999892786928)); #43926=CARTESIAN_POINT('Origin',(43.6397451074074,-1.83300000000008,-0.499999892786928)); #43927=CARTESIAN_POINT('Origin',(40.9126354580473,-22.7402508231491,0.590621207037739)); #43928=CARTESIAN_POINT('',(40.9660004585962,-22.7466492097253,0.719237281522833)); #43929=CARTESIAN_POINT('Origin',(40.9522426064857,-22.7449996631057,0.586458327990071)); #43930=CARTESIAN_POINT('',(40.8730283096089,-22.7355019831925,0.594784086085407)); #43931=CARTESIAN_POINT('',(40.9195143841026,-22.7410755964589,0.657010683804118)); #43932=CARTESIAN_POINT('Origin',(41.363547210447,-22.7943144917324,0.543228472129484)); #43933=CARTESIAN_POINT('',(41.7886096665189,-22.8452788669787,0.632777569801655)); #43934=CARTESIAN_POINT('Origin',(41.7748518144084,-22.8436293203591,0.499998616268897)); #43935=CARTESIAN_POINT('',(41.3773050625576,-22.795964038352,0.676007425662242)); #43936=CARTESIAN_POINT('Origin',(41.6269110952664,-16.7829183400596,0.590621845713153)); #43937=CARTESIAN_POINT('',(41.6802760958153,-16.7893167266358,0.719237920198247)); #43938=CARTESIAN_POINT('Origin',(41.6665182437047,-16.7876671800162,0.586458966665485)); #43939=CARTESIAN_POINT('',(41.587303946828,-16.7781695001029,0.594784724760821)); #43940=CARTESIAN_POINT('',(41.6337900213216,-16.7837431133694,0.657011322479532)); #43941=CARTESIAN_POINT('Origin',(42.0778228476661,-16.8369820086429,0.543229110804898)); #43942=CARTESIAN_POINT('',(42.502885303738,-16.8879463838892,0.632778208477069)); #43943=CARTESIAN_POINT('Origin',(42.4891274516274,-16.8862968372695,0.499999254944312)); #43944=CARTESIAN_POINT('',(42.0915806997766,-16.8386315552625,0.676008064337657)); #43945=CARTESIAN_POINT('Origin',(42.3411867324854,-10.82558585697,0.590622484388568)); #43946=CARTESIAN_POINT('',(42.3945517330343,-10.8319842435463,0.719238558873661)); #43947=CARTESIAN_POINT('Origin',(42.3807938809238,-10.8303346969266,0.5864596053409)); #43948=CARTESIAN_POINT('',(42.301579584047,-10.8208370170134,0.594785363436236)); #43949=CARTESIAN_POINT('',(42.3480656585407,-10.8264106302798,0.657011961154947)); #43950=CARTESIAN_POINT('Origin',(42.7920984848851,-10.8796495255533,0.543229749480313)); #43951=CARTESIAN_POINT('',(43.217160940957,-10.9306139007996,0.632778847152484)); #43952=CARTESIAN_POINT('Origin',(43.2034030888465,-10.92896435418,0.499999893619726)); #43953=CARTESIAN_POINT('',(42.8058563369957,-10.8812990721729,0.676008703013071)); #43954=CARTESIAN_POINT('Origin',(43.0554623697044,-4.86825337388047,0.590623123063983)); #43955=CARTESIAN_POINT('',(43.1088273702534,-4.87465176045672,0.719239197549076)); #43956=CARTESIAN_POINT('Origin',(43.0950695181428,-4.87300221383709,0.586460244016315)); #43957=CARTESIAN_POINT('',(43.0158552212661,-4.86350453392386,0.594786002111651)); #43958=CARTESIAN_POINT('',(43.0623412957597,-4.86907814719029,0.657012599830362)); #43959=CARTESIAN_POINT('Origin',(43.5063741221042,-4.92231704246378,0.543230388155728)); #43960=CARTESIAN_POINT('',(43.931436578176,-4.97328141771009,0.632779485827899)); #43961=CARTESIAN_POINT('Origin',(43.9176787260655,-4.97163187109046,0.500000532295141)); #43962=CARTESIAN_POINT('',(43.5201319742147,-4.9239665890834,0.676009341688486)); #43963=CARTESIAN_POINT('Origin',(43.412600188314,-1.8895871323357,0.590623442401691)); #43964=CARTESIAN_POINT('',(43.4670125034913,-1.76204101076898,0.576405704162025)); #43965=CARTESIAN_POINT('',(43.4665484749529,-1.78158009982796,0.640083133642563)); #43966=CARTESIAN_POINT('Ctrl Pts',(43.4670125034913,-1.76204101076897,0.576405704162025)); #43967=CARTESIAN_POINT('Ctrl Pts',(43.4643805025326,-1.76630062213669,0.586944090026245)); #43968=CARTESIAN_POINT('Ctrl Pts',(43.4625264721946,-1.77066758561682,0.598803820188791)); #43969=CARTESIAN_POINT('Ctrl Pts',(43.4625983714691,-1.77741544798237,0.620690829606158)); #43970=CARTESIAN_POINT('Ctrl Pts',(43.4639438000736,-1.78001505326613,0.630696250746433)); #43971=CARTESIAN_POINT('Ctrl Pts',(43.4665484749529,-1.78158009982796,0.640083133636614)); #43972=CARTESIAN_POINT('',(43.4729944046966,-1.78322572047144,0.657486331541227)); #43973=CARTESIAN_POINT('Ctrl Pts',(43.4665484749529,-1.78158009982796,0.640083133636615)); #43974=CARTESIAN_POINT('Ctrl Pts',(43.4671210060369,-1.78192411120984,0.642146455350705)); #43975=CARTESIAN_POINT('Ctrl Pts',(43.467751457385,-1.78221825651979,0.644180690186032)); #43976=CARTESIAN_POINT('Ctrl Pts',(43.4697032400016,-1.78290373374945,0.64989509919582)); #43977=CARTESIAN_POINT('Ctrl Pts',(43.4712302406262,-1.78318445885834,0.653701808200342)); #43978=CARTESIAN_POINT('Ctrl Pts',(43.4729944046966,-1.78322572047144,0.657486331541228)); #43979=CARTESIAN_POINT('',(43.4659651888629,-1.89598551891195,0.719239516886784)); #43980=CARTESIAN_POINT('Origin',(43.4522073367523,-1.89433597229232,0.586460563354023)); #43981=CARTESIAN_POINT('',(43.3729930398756,-1.88483829237909,0.594786321449359)); #43982=CARTESIAN_POINT('',(43.4194791143692,-1.89041190564552,0.65701291916807)); #43983=CARTESIAN_POINT('Origin',(43.4522073367523,-1.89433597229232,0.586460563354023)); #43984=CARTESIAN_POINT('Origin',(43.8635119407137,-1.943650800919,0.543230707493436)); #43985=CARTESIAN_POINT('',(44.2885743967856,-1.99461517616532,0.632779805165608)); #43986=CARTESIAN_POINT('Origin',(44.274816544675,-1.99296562954569,0.50000085163285)); #43987=CARTESIAN_POINT('',(43.8772697928242,-1.94530034753863,0.676009661026195)); #43988=CARTESIAN_POINT('Ctrl Pts',(43.4729944046966,-1.78322572047144,0.657486331541227)); #43989=CARTESIAN_POINT('Ctrl Pts',(43.4751542671356,-1.78419450860973,0.658369744538189)); #43990=CARTESIAN_POINT('Ctrl Pts',(43.4773567238123,-1.78514568003742,0.659176356245554)); #43991=CARTESIAN_POINT('Ctrl Pts',(43.489286628918,-1.79005108848611,0.663035048677805)); #43992=CARTESIAN_POINT('Ctrl Pts',(43.4995272982977,-1.79346064768115,0.664680586010285)); #43993=CARTESIAN_POINT('Ctrl Pts',(43.5162695943644,-1.79546802482546,0.662920899785613)); #43994=CARTESIAN_POINT('Ctrl Pts',(43.5238386409302,-1.79515447342705,0.660592327944579)); #43995=CARTESIAN_POINT('Ctrl Pts',(43.5339708727174,-1.79316456034082,0.655068068394518)); #43996=CARTESIAN_POINT('Ctrl Pts',(43.5382954145923,-1.7917857092444,0.651858378201181)); #43997=CARTESIAN_POINT('Ctrl Pts',(43.5457766986442,-1.78860159630828,0.644416568414356)); #43998=CARTESIAN_POINT('Ctrl Pts',(43.5489379570339,-1.78681183464244,0.640182365861627)); #43999=CARTESIAN_POINT('Ctrl Pts',(43.5531563144226,-1.78381099788075,0.632538684496678)); #44000=CARTESIAN_POINT('Ctrl Pts',(43.5547923013235,-1.78234010189919,0.628589172389387)); #44001=CARTESIAN_POINT('Ctrl Pts',(43.5568063702374,-1.77954139017537,0.620363163405252)); #44002=CARTESIAN_POINT('Ctrl Pts',(43.5571732736673,-1.77821580381405,0.616083175369877)); #44003=CARTESIAN_POINT('Ctrl Pts',(43.5566076128477,-1.77591426006572,0.607927777032914)); #44004=CARTESIAN_POINT('Ctrl Pts',(43.5555437097209,-1.77477987484327,0.603433156843951)); #44005=CARTESIAN_POINT('Ctrl Pts',(43.5517808884254,-1.77288252461352,0.595080235034015)); #44006=CARTESIAN_POINT('Ctrl Pts',(43.549086025436,-1.77210992100782,0.591222299303209)); #44007=CARTESIAN_POINT('Ctrl Pts',(43.5427821837389,-1.77081134083047,0.584500028341167)); #44008=CARTESIAN_POINT('Ctrl Pts',(43.5388369402232,-1.77025609201351,0.581460207568615)); #44009=CARTESIAN_POINT('Ctrl Pts',(43.5301487949133,-1.76923743678321,0.576455199467068)); #44010=CARTESIAN_POINT('Ctrl Pts',(43.5254044537938,-1.76877377252123,0.57449256356032)); #44011=CARTESIAN_POINT('Ctrl Pts',(43.5105845037703,-1.76734073092533,0.570214632202021)); #44012=CARTESIAN_POINT('Ctrl Pts',(43.4999064110544,-1.76628512606769,0.569565079251671)); #44013=CARTESIAN_POINT('Ctrl Pts',(43.4901508154901,-1.76511544423391,0.570590433663019)); #44014=CARTESIAN_POINT('Ctrl Pts',(43.4825055982202,-1.76419879371298,0.571393978377614)); #44015=CARTESIAN_POINT('Ctrl Pts',(43.4744930182632,-1.76311363286569,0.573307477729604)); #44016=CARTESIAN_POINT('Ctrl Pts',(43.4670125034913,-1.76204101076898,0.576405704162025)); #44017=CARTESIAN_POINT('Origin',(42.6983245510949,-7.84691961542524,0.590622803726274)); #44018=CARTESIAN_POINT('',(42.7516895516438,-7.85331800200148,0.719238878211368)); #44019=CARTESIAN_POINT('Origin',(42.7379316995333,-7.85166845538185,0.586459924678606)); #44020=CARTESIAN_POINT('',(42.6587174026565,-7.84217077546863,0.594785682773942)); #44021=CARTESIAN_POINT('',(42.7052034771502,-7.84774438873506,0.657012280492653)); #44022=CARTESIAN_POINT('Origin',(43.1492363034946,-7.90098328400854,0.543230068818019)); #44023=CARTESIAN_POINT('',(43.5742987595665,-7.95194765925486,0.632779166490191)); #44024=CARTESIAN_POINT('Origin',(43.560540907456,-7.95029811263523,0.500000212957432)); #44025=CARTESIAN_POINT('',(43.1629941556052,-7.90263283062817,0.676009022350778)); #44026=CARTESIAN_POINT('Origin',(41.9840489138759,-13.8042520985148,0.590622165050862)); #44027=CARTESIAN_POINT('',(42.0374139144248,-13.810650485091,0.719238239535955)); #44028=CARTESIAN_POINT('Origin',(42.0236560623143,-13.8090009384714,0.586459286003194)); #44029=CARTESIAN_POINT('',(41.9444417654375,-13.7995032585582,0.59478504409853)); #44030=CARTESIAN_POINT('',(41.9909278399311,-13.8050768718246,0.657011641817241)); #44031=CARTESIAN_POINT('Origin',(42.4349606662756,-13.8583157670981,0.543229430142607)); #44032=CARTESIAN_POINT('',(42.8600231223475,-13.9092801423444,0.632778527814778)); #44033=CARTESIAN_POINT('Origin',(42.8462652702369,-13.9076305957248,0.49999957428202)); #44034=CARTESIAN_POINT('',(42.4487185183861,-13.8599653137177,0.676008383675365)); #44035=CARTESIAN_POINT('Origin',(41.2697732766568,-19.7615845816043,0.590621526375445)); #44036=CARTESIAN_POINT('',(41.3231382772058,-19.7679829681806,0.719237600860538)); #44037=CARTESIAN_POINT('Origin',(41.3093804250952,-19.7663334215609,0.586458647327777)); #44038=CARTESIAN_POINT('',(41.2301661282185,-19.7568357416477,0.594784405423113)); #44039=CARTESIAN_POINT('',(41.2766522027121,-19.7624093549141,0.657011003141824)); #44040=CARTESIAN_POINT('Origin',(41.7206850290566,-19.8156482501876,0.54322879146719)); #44041=CARTESIAN_POINT('',(42.1457474851284,-19.8666126254339,0.632777889139361)); #44042=CARTESIAN_POINT('Origin',(42.1319896330179,-19.8649630788143,0.499998935606603)); #44043=CARTESIAN_POINT('',(41.7344428811671,-19.8172977968072,0.676007744999948)); #44044=CARTESIAN_POINT('Origin',(40.5554976394378,-25.7189170646939,0.590620887700028)); #44045=CARTESIAN_POINT('',(40.6088626399867,-25.7253154512701,0.719236962185125)); #44046=CARTESIAN_POINT('Origin',(40.5951047878762,-25.7236659046505,0.58645800865236)); #44047=CARTESIAN_POINT('',(40.5158904909994,-25.7141682247372,0.594783766747696)); #44048=CARTESIAN_POINT('',(40.5623765654931,-25.7197418380037,0.657010364466407)); #44049=CARTESIAN_POINT('Origin',(41.0064093918375,-25.7729807332772,0.543228152791773)); #44050=CARTESIAN_POINT('',(41.4314718479094,-25.8239451085235,0.632777250463944)); #44051=CARTESIAN_POINT('Origin',(41.4177139957989,-25.8222955619038,0.499998296931186)); #44052=CARTESIAN_POINT('',(41.0201672439481,-25.7746302798968,0.676007106324531)); #44053=CARTESIAN_POINT('Origin',(43.5119903627588,-1.77944217033243,0.640083133648513)); #44054=CARTESIAN_POINT('',(43.4139903627588,-1.75000000000008,0.640083133648513)); #44055=CARTESIAN_POINT('Origin',(43.5119903627588,-1.75000000000008,0.640083133648513)); #44056=CARTESIAN_POINT('',(43.4629903627588,-1.77944217033243,0.640083133648513)); #44057=CARTESIAN_POINT('Origin',(40.2775207925911,-1.77944217033243,0.470001562193034)); #44058=CARTESIAN_POINT('',(40.1795207925911,-1.75000000000008,0.470001562193034)); #44059=CARTESIAN_POINT('Origin',(40.2775207925911,-1.75000000000008,0.470001562193034)); #44060=CARTESIAN_POINT('',(40.2775207925911,-1.80888434066478,0.470001562193034)); #44061=CARTESIAN_POINT('',(40.2285207925911,-1.77944217033243,0.470001562193034)); #44062=CARTESIAN_POINT('Origin',(40.2775207925911,-1.37500000000008,0.470001562193034)); #44063=CARTESIAN_POINT('',(40.1795207925911,-1.00000000000008,0.470001562193034)); #44064=CARTESIAN_POINT('Origin',(40.2775207925911,-1.00000000000008,0.470001562193034)); #44065=CARTESIAN_POINT('',(40.1795207925911,-1.37500000000008,0.470001562193034)); #44066=CARTESIAN_POINT('Origin',(34.2752747727094,-1.77944217033243,0.470001594354653)); #44067=CARTESIAN_POINT('',(34.1772747727094,-1.75000000000008,0.470001594354653)); #44068=CARTESIAN_POINT('Origin',(34.2752747727094,-1.75000000000008,0.470001594354653)); #44069=CARTESIAN_POINT('',(34.2752747727094,-1.80888434066478,0.470001594354653)); #44070=CARTESIAN_POINT('',(34.2262747727094,-1.77944217033243,0.470001594354653)); #44071=CARTESIAN_POINT('Origin',(34.2752747727094,-1.37500000000008,0.470001594354653)); #44072=CARTESIAN_POINT('',(34.1772747727094,-1.00000000000008,0.470001594354653)); #44073=CARTESIAN_POINT('Origin',(34.2752747727094,-1.00000000000008,0.470001594354653)); #44074=CARTESIAN_POINT('',(34.1772747727094,-1.37500000000008,0.470001594354653)); #44075=CARTESIAN_POINT('Origin',(28.2730290588332,-1.77944217033243,0.470001594353791)); #44076=CARTESIAN_POINT('',(28.1750290588332,-1.75000000000008,0.470001594353791)); #44077=CARTESIAN_POINT('Origin',(28.2730290588332,-1.75000000000008,0.470001594353791)); #44078=CARTESIAN_POINT('',(28.2730290588332,-1.80888434066478,0.470001594353791)); #44079=CARTESIAN_POINT('',(28.2240290588332,-1.77944217033243,0.470001594353791)); #44080=CARTESIAN_POINT('Origin',(28.2730290588332,-1.37500000000008,0.470001594353791)); #44081=CARTESIAN_POINT('',(28.1750290588332,-1.00000000000008,0.470001594353791)); #44082=CARTESIAN_POINT('Origin',(28.2730290588332,-1.00000000000008,0.470001594353791)); #44083=CARTESIAN_POINT('',(28.1750290588332,-1.37500000000008,0.470001594353791)); #44084=CARTESIAN_POINT('Origin',(22.2707833449571,-1.77944217033243,0.470001594352931)); #44085=CARTESIAN_POINT('',(22.1727833449571,-1.75000000000008,0.470001594352931)); #44086=CARTESIAN_POINT('Origin',(22.2707833449571,-1.75000000000008,0.470001594352931)); #44087=CARTESIAN_POINT('',(22.2707833449571,-1.80888434066478,0.470001594352931)); #44088=CARTESIAN_POINT('',(22.2217833449571,-1.77944217033243,0.470001594352931)); #44089=CARTESIAN_POINT('Origin',(22.2707833449571,-1.37500000000008,0.470001594352931)); #44090=CARTESIAN_POINT('',(22.1727833449571,-1.00000000000008,0.470001594352931)); #44091=CARTESIAN_POINT('Origin',(22.2707833449571,-1.00000000000008,0.470001594352931)); #44092=CARTESIAN_POINT('',(22.1727833449571,-1.37500000000008,0.470001594352931)); #44093=CARTESIAN_POINT('Origin',(16.2685376310809,-1.77944217033243,0.470001594352065)); #44094=CARTESIAN_POINT('',(16.1705376310809,-1.75000000000008,0.470001594352065)); #44095=CARTESIAN_POINT('Origin',(16.2685376310809,-1.75000000000008,0.470001594352065)); #44096=CARTESIAN_POINT('',(16.2685376310809,-1.80888434066478,0.470001594352065)); #44097=CARTESIAN_POINT('',(16.2195376310809,-1.77944217033243,0.470001594352065)); #44098=CARTESIAN_POINT('Origin',(16.2685376310809,-1.37500000000008,0.470001594352065)); #44099=CARTESIAN_POINT('',(16.1705376310809,-1.00000000000008,0.470001594352065)); #44100=CARTESIAN_POINT('Origin',(16.2685376310809,-1.00000000000008,0.470001594352065)); #44101=CARTESIAN_POINT('',(16.1705376310809,-1.37500000000008,0.470001594352065)); #44102=CARTESIAN_POINT('Origin',(10.266322274419,-1.77944217033244,0.472047296660303)); #44103=CARTESIAN_POINT('',(10.168322274419,-1.75000000000009,0.472047296660303)); #44104=CARTESIAN_POINT('Origin',(10.266322274419,-1.75000000000009,0.472047296660303)); #44105=CARTESIAN_POINT('',(10.266322274419,-1.80888434066479,0.472047296660303)); #44106=CARTESIAN_POINT('',(10.217322274419,-1.77944217033244,0.472047296660303)); #44107=CARTESIAN_POINT('Origin',(10.266322274419,-1.37500000000009,0.472047296660303)); #44108=CARTESIAN_POINT('',(10.168322274419,-1.00000000000009,0.472047296660303)); #44109=CARTESIAN_POINT('Origin',(10.266322274419,-1.00000000000009,0.472047296660303)); #44110=CARTESIAN_POINT('',(10.168322274419,-1.37500000000009,0.472047296660303)); #44111=CARTESIAN_POINT('Origin',(13.2674147741429,-1.77944217033243,0.470001594351633)); #44112=CARTESIAN_POINT('',(13.1694147741429,-1.75000000000008,0.470001594351633)); #44113=CARTESIAN_POINT('Origin',(13.2674147741429,-1.75000000000008,0.470001594351633)); #44114=CARTESIAN_POINT('',(13.2674147741429,-1.80888434066479,0.470001594351633)); #44115=CARTESIAN_POINT('',(13.2184147741429,-1.77944217033243,0.470001594351633)); #44116=CARTESIAN_POINT('Origin',(13.2674147741429,-1.37500000000008,0.470001594351633)); #44117=CARTESIAN_POINT('',(13.1694147741429,-1.00000000000008,0.470001594351633)); #44118=CARTESIAN_POINT('Origin',(13.2674147741429,-1.00000000000008,0.470001594351633)); #44119=CARTESIAN_POINT('',(13.1694147741429,-1.37500000000008,0.470001594351633)); #44120=CARTESIAN_POINT('Origin',(19.269660488019,-1.77944217033243,0.470001594352504)); #44121=CARTESIAN_POINT('',(19.171660488019,-1.75000000000008,0.470001594352504)); #44122=CARTESIAN_POINT('Origin',(19.269660488019,-1.75000000000008,0.470001594352504)); #44123=CARTESIAN_POINT('',(19.269660488019,-1.80888434066478,0.470001594352504)); #44124=CARTESIAN_POINT('',(19.220660488019,-1.77944217033243,0.470001594352504)); #44125=CARTESIAN_POINT('Origin',(19.269660488019,-1.37500000000008,0.470001594352504)); #44126=CARTESIAN_POINT('',(19.171660488019,-1.00000000000008,0.470001594352504)); #44127=CARTESIAN_POINT('Origin',(19.269660488019,-1.00000000000008,0.470001594352504)); #44128=CARTESIAN_POINT('',(19.171660488019,-1.37500000000008,0.470001594352504)); #44129=CARTESIAN_POINT('Origin',(25.2719062018951,-1.77944217033243,0.470001594353358)); #44130=CARTESIAN_POINT('',(25.1739062018951,-1.75000000000008,0.470001594353358)); #44131=CARTESIAN_POINT('Origin',(25.2719062018951,-1.75000000000008,0.470001594353358)); #44132=CARTESIAN_POINT('',(25.2719062018951,-1.80888434066478,0.470001594353358)); #44133=CARTESIAN_POINT('',(25.2229062018951,-1.77944217033243,0.470001594353358)); #44134=CARTESIAN_POINT('Origin',(25.2719062018951,-1.37500000000008,0.470001594353358)); #44135=CARTESIAN_POINT('',(25.1739062018951,-1.00000000000008,0.470001594353358)); #44136=CARTESIAN_POINT('Origin',(25.2719062018951,-1.00000000000008,0.470001594353358)); #44137=CARTESIAN_POINT('',(25.1739062018951,-1.37500000000008,0.470001594353358)); #44138=CARTESIAN_POINT('Origin',(31.2741519157713,-1.77944217033243,0.470001594354221)); #44139=CARTESIAN_POINT('',(31.1761519157713,-1.75000000000008,0.470001594354221)); #44140=CARTESIAN_POINT('Origin',(31.2741519157713,-1.75000000000008,0.470001594354221)); #44141=CARTESIAN_POINT('',(31.2741519157713,-1.80888434066478,0.470001594354221)); #44142=CARTESIAN_POINT('',(31.2251519157713,-1.77944217033243,0.470001594354221)); #44143=CARTESIAN_POINT('Origin',(31.2741519157713,-1.37500000000008,0.470001594354221)); #44144=CARTESIAN_POINT('',(31.1761519157713,-1.00000000000008,0.470001594354221)); #44145=CARTESIAN_POINT('Origin',(31.2741519157713,-1.00000000000008,0.470001594354221)); #44146=CARTESIAN_POINT('',(31.1761519157713,-1.37500000000008,0.470001594354221)); #44147=CARTESIAN_POINT('Origin',(37.2763976296474,-1.77944217033243,0.470001594355087)); #44148=CARTESIAN_POINT('',(37.1783976296474,-1.75000000000008,0.470001594355087)); #44149=CARTESIAN_POINT('Origin',(37.2763976296474,-1.75000000000008,0.470001594355087)); #44150=CARTESIAN_POINT('',(37.2763976296474,-1.80888434066478,0.470001594355087)); #44151=CARTESIAN_POINT('',(37.2273976296474,-1.77944217033243,0.470001594355087)); #44152=CARTESIAN_POINT('Origin',(37.2763976296474,-1.37500000000008,0.470001594355087)); #44153=CARTESIAN_POINT('',(37.1783976296474,-1.00000000000008,0.470001594355087)); #44154=CARTESIAN_POINT('Origin',(37.2763976296474,-1.00000000000008,0.470001594355087)); #44155=CARTESIAN_POINT('',(37.1783976296474,-1.37500000000008,0.470001594355087)); #44156=CARTESIAN_POINT('Origin',(43.5119903627588,-1.37500000000008,0.640083133648513)); #44157=CARTESIAN_POINT('',(43.4139903627588,-1.00000000000008,0.640083133648513)); #44158=CARTESIAN_POINT('Origin',(43.5119903627588,-1.00000000000008,0.640083133648513)); #44159=CARTESIAN_POINT('',(43.4139903627588,-1.37500000000008,0.640083133648513)); #44160=CARTESIAN_POINT('Origin',(41.0278012008201,-1.87310744632017,0.470001594356549)); #44161=CARTESIAN_POINT('',(40.8943012008201,-1.83300000000008,0.470001594356549)); #44162=CARTESIAN_POINT('Origin',(41.0278012008201,-1.83300000000008,0.470001594356549)); #44163=CARTESIAN_POINT('',(41.0278012008201,-1.91321489264026,0.470001594356549)); #44164=CARTESIAN_POINT('',(40.9610512008201,-1.87310744632017,0.470001594356549)); #44165=CARTESIAN_POINT('Origin',(41.0278012008201,-1.41650000000008,0.470001594356549)); #44166=CARTESIAN_POINT('',(40.8943012008201,-1.00000000000008,0.470001594356549)); #44167=CARTESIAN_POINT('Origin',(41.0278012008201,-1.00000000000008,0.470001594356549)); #44168=CARTESIAN_POINT('',(40.8943012008201,-1.41650000000008,0.470001594356549)); #44169=CARTESIAN_POINT('Origin',(38.026678343882,-1.87310744632017,0.470001594356243)); #44170=CARTESIAN_POINT('',(37.893178343882,-1.83300000000008,0.470001594356243)); #44171=CARTESIAN_POINT('Origin',(38.026678343882,-1.83300000000008,0.470001594356243)); #44172=CARTESIAN_POINT('',(38.026678343882,-1.91321489264026,0.470001594356243)); #44173=CARTESIAN_POINT('',(37.959928343882,-1.87310744632017,0.470001594356243)); #44174=CARTESIAN_POINT('Origin',(38.026678343882,-1.41650000000008,0.470001594356243)); #44175=CARTESIAN_POINT('',(37.893178343882,-1.00000000000008,0.470001594356243)); #44176=CARTESIAN_POINT('Origin',(38.026678343882,-1.00000000000008,0.470001594356243)); #44177=CARTESIAN_POINT('',(37.893178343882,-1.41650000000008,0.470001594356243)); #44178=CARTESIAN_POINT('Origin',(35.0255554869438,-1.87310744632017,0.470001594355949)); #44179=CARTESIAN_POINT('',(34.8920554869438,-1.83300000000008,0.470001594355949)); #44180=CARTESIAN_POINT('Origin',(35.0255554869438,-1.83300000000008,0.470001594355949)); #44181=CARTESIAN_POINT('',(35.0255554869438,-1.91321489264026,0.470001594355949)); #44182=CARTESIAN_POINT('',(34.9588054869438,-1.87310744632017,0.470001594355949)); #44183=CARTESIAN_POINT('Origin',(35.0255554869438,-1.41650000000008,0.470001594355949)); #44184=CARTESIAN_POINT('',(34.8920554869438,-1.00000000000008,0.470001594355949)); #44185=CARTESIAN_POINT('Origin',(35.0255554869438,-1.00000000000008,0.470001594355949)); #44186=CARTESIAN_POINT('',(34.8920554869438,-1.41650000000008,0.470001594355949)); #44187=CARTESIAN_POINT('Origin',(32.0244326300057,-1.87310744632017,0.470001594355652)); #44188=CARTESIAN_POINT('',(31.8909326300057,-1.83300000000008,0.470001594355652)); #44189=CARTESIAN_POINT('Origin',(32.0244326300057,-1.83300000000008,0.470001594355652)); #44190=CARTESIAN_POINT('',(32.0244326300057,-1.91321489264026,0.470001594355652)); #44191=CARTESIAN_POINT('',(31.9576826300057,-1.87310744632017,0.470001594355652)); #44192=CARTESIAN_POINT('Origin',(32.0244326300057,-1.41650000000008,0.470001594355652)); #44193=CARTESIAN_POINT('',(31.8909326300057,-1.00000000000008,0.470001594355652)); #44194=CARTESIAN_POINT('Origin',(32.0244326300057,-1.00000000000008,0.470001594355652)); #44195=CARTESIAN_POINT('',(31.8909326300057,-1.41650000000008,0.470001594355652)); #44196=CARTESIAN_POINT('Origin',(29.0233097730676,-1.87310744632017,0.470001594355348)); #44197=CARTESIAN_POINT('',(28.8898097730676,-1.83300000000008,0.470001594355348)); #44198=CARTESIAN_POINT('Origin',(29.0233097730676,-1.83300000000008,0.470001594355348)); #44199=CARTESIAN_POINT('',(29.0233097730676,-1.91321489264026,0.470001594355348)); #44200=CARTESIAN_POINT('',(28.9565597730676,-1.87310744632017,0.470001594355348)); #44201=CARTESIAN_POINT('Origin',(29.0233097730676,-1.41650000000008,0.470001594355348)); #44202=CARTESIAN_POINT('',(28.8898097730676,-1.00000000000008,0.470001594355348)); #44203=CARTESIAN_POINT('Origin',(29.0233097730676,-1.00000000000008,0.470001594355348)); #44204=CARTESIAN_POINT('',(28.8898097730676,-1.41650000000008,0.470001594355348)); #44205=CARTESIAN_POINT('Origin',(26.0221869161295,-1.87310744632017,0.47000159435505)); #44206=CARTESIAN_POINT('',(25.8886869161295,-1.83300000000008,0.47000159435505)); #44207=CARTESIAN_POINT('Origin',(26.0221869161295,-1.83300000000008,0.47000159435505)); #44208=CARTESIAN_POINT('',(26.0221869161295,-1.91321489264026,0.47000159435505)); #44209=CARTESIAN_POINT('',(25.9554369161295,-1.87310744632017,0.47000159435505)); #44210=CARTESIAN_POINT('Origin',(26.0221869161295,-1.41650000000008,0.47000159435505)); #44211=CARTESIAN_POINT('',(25.8886869161295,-1.00000000000008,0.47000159435505)); #44212=CARTESIAN_POINT('Origin',(26.0221869161295,-1.00000000000008,0.47000159435505)); #44213=CARTESIAN_POINT('',(25.8886869161295,-1.41650000000008,0.47000159435505)); #44214=CARTESIAN_POINT('Origin',(23.0210640591914,-1.87310744632017,0.470001594354754)); #44215=CARTESIAN_POINT('',(22.8875640591914,-1.83300000000008,0.470001594354754)); #44216=CARTESIAN_POINT('Origin',(23.0210640591914,-1.83300000000008,0.470001594354754)); #44217=CARTESIAN_POINT('',(23.0210640591914,-1.91321489264026,0.470001594354754)); #44218=CARTESIAN_POINT('',(22.9543140591914,-1.87310744632017,0.470001594354754)); #44219=CARTESIAN_POINT('Origin',(23.0210640591914,-1.41650000000008,0.470001594354754)); #44220=CARTESIAN_POINT('',(22.8875640591914,-1.00000000000008,0.470001594354754)); #44221=CARTESIAN_POINT('Origin',(23.0210640591914,-1.00000000000008,0.470001594354754)); #44222=CARTESIAN_POINT('',(22.8875640591914,-1.41650000000008,0.470001594354754)); #44223=CARTESIAN_POINT('Origin',(20.0199412022533,-1.87310744632017,0.47000159435445)); #44224=CARTESIAN_POINT('',(19.8864412022533,-1.83300000000008,0.47000159435445)); #44225=CARTESIAN_POINT('Origin',(20.0199412022533,-1.83300000000008,0.47000159435445)); #44226=CARTESIAN_POINT('',(20.0199412022533,-1.91321489264026,0.47000159435445)); #44227=CARTESIAN_POINT('',(19.9531912022533,-1.87310744632017,0.47000159435445)); #44228=CARTESIAN_POINT('Origin',(20.0199412022533,-1.41650000000008,0.47000159435445)); #44229=CARTESIAN_POINT('',(19.8864412022533,-1.00000000000008,0.47000159435445)); #44230=CARTESIAN_POINT('Origin',(20.0199412022533,-1.00000000000008,0.47000159435445)); #44231=CARTESIAN_POINT('',(19.8864412022533,-1.41650000000008,0.47000159435445)); #44232=CARTESIAN_POINT('Origin',(17.0188183453152,-1.87310744632017,0.470001594354152)); #44233=CARTESIAN_POINT('',(16.8853183453152,-1.83300000000008,0.470001594354152)); #44234=CARTESIAN_POINT('Origin',(17.0188183453152,-1.83300000000008,0.470001594354152)); #44235=CARTESIAN_POINT('',(17.0188183453152,-1.91321489264026,0.470001594354152)); #44236=CARTESIAN_POINT('',(16.9520683453152,-1.87310744632017,0.470001594354152)); #44237=CARTESIAN_POINT('Origin',(17.0188183453152,-1.41650000000008,0.470001594354152)); #44238=CARTESIAN_POINT('',(16.8853183453152,-1.00000000000008,0.470001594354152)); #44239=CARTESIAN_POINT('Origin',(17.0188183453152,-1.00000000000008,0.470001594354152)); #44240=CARTESIAN_POINT('',(16.8853183453152,-1.41650000000008,0.470001594354152)); #44241=CARTESIAN_POINT('Origin',(14.0176954883771,-1.87310744632017,0.470001594353859)); #44242=CARTESIAN_POINT('',(13.8841954883771,-1.83300000000008,0.470001594353859)); #44243=CARTESIAN_POINT('Origin',(14.0176954883771,-1.83300000000008,0.470001594353859)); #44244=CARTESIAN_POINT('',(14.0176954883771,-1.91321489264026,0.470001594353859)); #44245=CARTESIAN_POINT('',(13.9509454883771,-1.87310744632017,0.470001594353859)); #44246=CARTESIAN_POINT('Origin',(14.0176954883771,-1.41650000000008,0.470001594353859)); #44247=CARTESIAN_POINT('',(13.8841954883771,-1.00000000000008,0.470001594353859)); #44248=CARTESIAN_POINT('Origin',(14.0176954883771,-1.00000000000008,0.470001594353859)); #44249=CARTESIAN_POINT('',(13.8841954883771,-1.41650000000008,0.470001594353859)); #44250=CARTESIAN_POINT('Origin',(11.016572631439,-1.87310744632017,0.470001594353555)); #44251=CARTESIAN_POINT('',(10.883072631439,-1.83300000000009,0.470001594353555)); #44252=CARTESIAN_POINT('Origin',(11.016572631439,-1.83300000000009,0.470001594353555)); #44253=CARTESIAN_POINT('',(11.016572631439,-1.91321489264026,0.470001594353555)); #44254=CARTESIAN_POINT('',(10.949822631439,-1.87310744632017,0.470001594353555)); #44255=CARTESIAN_POINT('Origin',(11.016572631439,-1.41650000000009,0.470001594353555)); #44256=CARTESIAN_POINT('',(10.883072631439,-1.00000000000009,0.470001594353555)); #44257=CARTESIAN_POINT('Origin',(11.016572631439,-1.00000000000009,0.470001594353555)); #44258=CARTESIAN_POINT('',(10.883072631439,-1.41650000000009,0.470001594353555)); #44259=CARTESIAN_POINT('Origin',(7.26608643632332,-1.87310744632018,0.482176002483947)); #44260=CARTESIAN_POINT('',(7.13258643632332,-1.83300000000009,0.482176002483947)); #44261=CARTESIAN_POINT('Origin',(7.26608643632332,-1.83300000000009,0.482176002483947)); #44262=CARTESIAN_POINT('',(7.26608643632332,-1.91321489264027,0.482176002483947)); #44263=CARTESIAN_POINT('',(7.19933643632332,-1.87310744632018,0.482176002483947)); #44264=CARTESIAN_POINT('Origin',(7.26608643632332,-1.41650000000009,0.482176002483947)); #44265=CARTESIAN_POINT('',(7.13258643632332,-1.00000000000009,0.482176002483947)); #44266=CARTESIAN_POINT('Origin',(7.26608643632332,-1.00000000000009,0.482176002483947)); #44267=CARTESIAN_POINT('',(7.13258643632332,-1.41650000000009,0.482176002483947)); #44268=CARTESIAN_POINT('Origin',(3.26611224774534,-1.87310744632018,0.496545786509841)); #44269=CARTESIAN_POINT('',(3.13261224774534,-1.83300000000009,0.496545786509841)); #44270=CARTESIAN_POINT('Origin',(3.26611224774534,-1.83300000000009,0.496545786509841)); #44271=CARTESIAN_POINT('',(3.26611224774534,-1.91321489264027,0.496545786509841)); #44272=CARTESIAN_POINT('',(3.19936224774534,-1.87310744632018,0.496545786509841)); #44273=CARTESIAN_POINT('Origin',(3.26611224774534,-1.41650000000009,0.496545786509841)); #44274=CARTESIAN_POINT('',(3.13261224774534,-1.00000000000009,0.496545786509841)); #44275=CARTESIAN_POINT('Origin',(3.26611224774534,-1.00000000000009,0.496545786509841)); #44276=CARTESIAN_POINT('',(3.13261224774534,-1.41650000000009,0.496545786509841)); #44277=CARTESIAN_POINT('Origin',(-0.733861940832667,-1.87310744632018,0.510915570535728)); #44278=CARTESIAN_POINT('',(-0.867361940832667,-1.83300000000009,0.510915570535728)); #44279=CARTESIAN_POINT('Origin',(-0.733861940832667,-1.83300000000009,0.510915570535728)); #44280=CARTESIAN_POINT('',(-0.733861940832667,-1.91321489264027,0.510915570535728)); #44281=CARTESIAN_POINT('',(-0.800611940832667,-1.87310744632018,0.510915570535728)); #44282=CARTESIAN_POINT('Origin',(-0.733861940832667,-1.41650000000009,0.510915570535728)); #44283=CARTESIAN_POINT('',(-0.867361940832667,-1.00000000000009,0.510915570535728)); #44284=CARTESIAN_POINT('Origin',(-0.733861940832668,-1.00000000000009,0.510915570535728)); #44285=CARTESIAN_POINT('',(-0.867361940832667,-1.41650000000009,0.510915570535728)); #44286=CARTESIAN_POINT('Origin',(1.26612515345634,-1.87310744632018,0.503730678522787)); #44287=CARTESIAN_POINT('',(1.13262515345634,-1.83300000000009,0.503730678522787)); #44288=CARTESIAN_POINT('Origin',(1.26612515345634,-1.83300000000009,0.503730678522787)); #44289=CARTESIAN_POINT('',(1.26612515345634,-1.91321489264027,0.503730678522787)); #44290=CARTESIAN_POINT('',(1.19937515345634,-1.87310744632018,0.503730678522787)); #44291=CARTESIAN_POINT('Origin',(1.26612515345634,-1.41650000000009,0.503730678522787)); #44292=CARTESIAN_POINT('',(1.13262515345634,-1.00000000000009,0.503730678522787)); #44293=CARTESIAN_POINT('Origin',(1.26612515345634,-1.00000000000009,0.503730678522787)); #44294=CARTESIAN_POINT('',(1.13262515345634,-1.41650000000009,0.503730678522787)); #44295=CARTESIAN_POINT('Origin',(5.26609934203433,-1.87310744632018,0.489360894496888)); #44296=CARTESIAN_POINT('',(5.13259934203433,-1.83300000000009,0.489360894496888)); #44297=CARTESIAN_POINT('Origin',(5.26609934203433,-1.83300000000009,0.489360894496888)); #44298=CARTESIAN_POINT('',(5.26609934203433,-1.91321489264027,0.489360894496888)); #44299=CARTESIAN_POINT('',(5.19934934203433,-1.87310744632018,0.489360894496888)); #44300=CARTESIAN_POINT('Origin',(5.26609934203433,-1.41650000000009,0.489360894496888)); #44301=CARTESIAN_POINT('',(5.13259934203433,-1.00000000000009,0.489360894496888)); #44302=CARTESIAN_POINT('Origin',(5.26609934203433,-1.00000000000009,0.489360894496888)); #44303=CARTESIAN_POINT('',(5.13259934203433,-1.41650000000009,0.489360894496888)); #44304=CARTESIAN_POINT('Origin',(9.51607191739848,-1.87310744632018,0.47409299897157)); #44305=CARTESIAN_POINT('',(9.38257191739848,-1.83300000000009,0.47409299897157)); #44306=CARTESIAN_POINT('Origin',(9.51607191739848,-1.83300000000009,0.47409299897157)); #44307=CARTESIAN_POINT('',(9.51607191739848,-1.91321489264026,0.47409299897157)); #44308=CARTESIAN_POINT('',(9.44932191739848,-1.87310744632018,0.47409299897157)); #44309=CARTESIAN_POINT('Origin',(9.51607191739848,-1.41650000000009,0.47409299897157)); #44310=CARTESIAN_POINT('',(9.38257191739848,-1.00000000000009,0.47409299897157)); #44311=CARTESIAN_POINT('Origin',(9.51607191739848,-1.00000000000009,0.47409299897157)); #44312=CARTESIAN_POINT('',(9.38257191739848,-1.41650000000009,0.47409299897157)); #44313=CARTESIAN_POINT('Origin',(12.5171340599081,-1.87310744632017,0.470001594353707)); #44314=CARTESIAN_POINT('',(12.3836340599081,-1.83300000000008,0.470001594353707)); #44315=CARTESIAN_POINT('Origin',(12.5171340599081,-1.83300000000008,0.470001594353707)); #44316=CARTESIAN_POINT('',(12.5171340599081,-1.91321489264026,0.470001594353707)); #44317=CARTESIAN_POINT('',(12.4503840599081,-1.87310744632017,0.470001594353707)); #44318=CARTESIAN_POINT('Origin',(12.5171340599081,-1.41650000000008,0.470001594353707)); #44319=CARTESIAN_POINT('',(12.3836340599081,-1.00000000000008,0.470001594353707)); #44320=CARTESIAN_POINT('Origin',(12.5171340599081,-1.00000000000008,0.470001594353707)); #44321=CARTESIAN_POINT('',(12.3836340599081,-1.41650000000008,0.470001594353707)); #44322=CARTESIAN_POINT('Origin',(15.5182569168462,-1.87310744632017,0.470001594354002)); #44323=CARTESIAN_POINT('',(15.3847569168462,-1.83300000000008,0.470001594354002)); #44324=CARTESIAN_POINT('Origin',(15.5182569168462,-1.83300000000008,0.470001594354002)); #44325=CARTESIAN_POINT('',(15.5182569168462,-1.91321489264026,0.470001594354002)); #44326=CARTESIAN_POINT('',(15.4515069168462,-1.87310744632017,0.470001594354002)); #44327=CARTESIAN_POINT('Origin',(15.5182569168462,-1.41650000000008,0.470001594354002)); #44328=CARTESIAN_POINT('',(15.3847569168462,-1.00000000000008,0.470001594354002)); #44329=CARTESIAN_POINT('Origin',(15.5182569168462,-1.00000000000008,0.470001594354002)); #44330=CARTESIAN_POINT('',(15.3847569168462,-1.41650000000008,0.470001594354002)); #44331=CARTESIAN_POINT('Origin',(18.5193797737843,-1.87310744632017,0.470001594354295)); #44332=CARTESIAN_POINT('',(18.3858797737843,-1.83300000000008,0.470001594354295)); #44333=CARTESIAN_POINT('Origin',(18.5193797737843,-1.83300000000008,0.470001594354295)); #44334=CARTESIAN_POINT('',(18.5193797737843,-1.91321489264026,0.470001594354295)); #44335=CARTESIAN_POINT('',(18.4526297737843,-1.87310744632017,0.470001594354295)); #44336=CARTESIAN_POINT('Origin',(18.5193797737843,-1.41650000000008,0.470001594354295)); #44337=CARTESIAN_POINT('',(18.3858797737843,-1.00000000000008,0.470001594354295)); #44338=CARTESIAN_POINT('Origin',(18.5193797737843,-1.00000000000008,0.470001594354295)); #44339=CARTESIAN_POINT('',(18.3858797737843,-1.41650000000008,0.470001594354295)); #44340=CARTESIAN_POINT('Origin',(21.5205026307224,-1.87310744632017,0.470001594354605)); #44341=CARTESIAN_POINT('',(21.3870026307224,-1.83300000000008,0.470001594354605)); #44342=CARTESIAN_POINT('Origin',(21.5205026307224,-1.83300000000008,0.470001594354605)); #44343=CARTESIAN_POINT('',(21.5205026307224,-1.91321489264026,0.470001594354605)); #44344=CARTESIAN_POINT('',(21.4537526307224,-1.87310744632017,0.470001594354605)); #44345=CARTESIAN_POINT('Origin',(21.5205026307224,-1.41650000000008,0.470001594354605)); #44346=CARTESIAN_POINT('',(21.3870026307224,-1.00000000000008,0.470001594354605)); #44347=CARTESIAN_POINT('Origin',(21.5205026307224,-1.00000000000008,0.470001594354605)); #44348=CARTESIAN_POINT('',(21.3870026307224,-1.41650000000008,0.470001594354605)); #44349=CARTESIAN_POINT('Origin',(24.5216254876605,-1.87310744632017,0.470001594354898)); #44350=CARTESIAN_POINT('',(24.3881254876605,-1.83300000000008,0.470001594354898)); #44351=CARTESIAN_POINT('Origin',(24.5216254876605,-1.83300000000008,0.470001594354898)); #44352=CARTESIAN_POINT('',(24.5216254876605,-1.91321489264026,0.470001594354898)); #44353=CARTESIAN_POINT('',(24.4548754876605,-1.87310744632017,0.470001594354898)); #44354=CARTESIAN_POINT('Origin',(24.5216254876605,-1.41650000000008,0.470001594354898)); #44355=CARTESIAN_POINT('',(24.3881254876605,-1.00000000000008,0.470001594354898)); #44356=CARTESIAN_POINT('Origin',(24.5216254876605,-1.00000000000008,0.470001594354898)); #44357=CARTESIAN_POINT('',(24.3881254876605,-1.41650000000008,0.470001594354898)); #44358=CARTESIAN_POINT('Origin',(27.5227483445986,-1.87310744632017,0.470001594355196)); #44359=CARTESIAN_POINT('',(27.3892483445986,-1.83300000000008,0.470001594355196)); #44360=CARTESIAN_POINT('Origin',(27.5227483445986,-1.83300000000008,0.470001594355196)); #44361=CARTESIAN_POINT('',(27.5227483445986,-1.91321489264026,0.470001594355196)); #44362=CARTESIAN_POINT('',(27.4559983445986,-1.87310744632017,0.470001594355196)); #44363=CARTESIAN_POINT('Origin',(27.5227483445986,-1.41650000000008,0.470001594355196)); #44364=CARTESIAN_POINT('',(27.3892483445986,-1.00000000000008,0.470001594355196)); #44365=CARTESIAN_POINT('Origin',(27.5227483445986,-1.00000000000008,0.470001594355196)); #44366=CARTESIAN_POINT('',(27.3892483445986,-1.41650000000008,0.470001594355196)); #44367=CARTESIAN_POINT('Origin',(30.5238712015367,-1.87310744632017,0.470001594355503)); #44368=CARTESIAN_POINT('',(30.3903712015367,-1.83300000000008,0.470001594355503)); #44369=CARTESIAN_POINT('Origin',(30.5238712015367,-1.83300000000008,0.470001594355503)); #44370=CARTESIAN_POINT('',(30.5238712015367,-1.91321489264026,0.470001594355503)); #44371=CARTESIAN_POINT('',(30.4571212015367,-1.87310744632017,0.470001594355503)); #44372=CARTESIAN_POINT('Origin',(30.5238712015367,-1.41650000000008,0.470001594355503)); #44373=CARTESIAN_POINT('',(30.3903712015367,-1.00000000000008,0.470001594355503)); #44374=CARTESIAN_POINT('Origin',(30.5238712015367,-1.00000000000008,0.470001594355503)); #44375=CARTESIAN_POINT('',(30.3903712015367,-1.41650000000008,0.470001594355503)); #44376=CARTESIAN_POINT('Origin',(33.5249940584748,-1.87310744632017,0.470001594355794)); #44377=CARTESIAN_POINT('',(33.3914940584748,-1.83300000000008,0.470001594355794)); #44378=CARTESIAN_POINT('Origin',(33.5249940584748,-1.83300000000008,0.470001594355794)); #44379=CARTESIAN_POINT('',(33.5249940584748,-1.91321489264026,0.470001594355794)); #44380=CARTESIAN_POINT('',(33.4582440584748,-1.87310744632017,0.470001594355794)); #44381=CARTESIAN_POINT('Origin',(33.5249940584748,-1.41650000000008,0.470001594355794)); #44382=CARTESIAN_POINT('',(33.3914940584748,-1.00000000000008,0.470001594355794)); #44383=CARTESIAN_POINT('Origin',(33.5249940584748,-1.00000000000008,0.470001594355794)); #44384=CARTESIAN_POINT('',(33.3914940584748,-1.41650000000008,0.470001594355794)); #44385=CARTESIAN_POINT('Origin',(36.5261169154129,-1.87310744632017,0.470001594356093)); #44386=CARTESIAN_POINT('',(36.3926169154129,-1.83300000000008,0.470001594356093)); #44387=CARTESIAN_POINT('Origin',(36.5261169154129,-1.83300000000008,0.470001594356093)); #44388=CARTESIAN_POINT('',(36.5261169154129,-1.91321489264026,0.470001594356093)); #44389=CARTESIAN_POINT('',(36.4593669154129,-1.87310744632017,0.470001594356093)); #44390=CARTESIAN_POINT('Origin',(36.5261169154129,-1.41650000000008,0.470001594356093)); #44391=CARTESIAN_POINT('',(36.3926169154129,-1.00000000000008,0.470001594356093)); #44392=CARTESIAN_POINT('Origin',(36.5261169154129,-1.00000000000008,0.470001594356093)); #44393=CARTESIAN_POINT('',(36.3926169154129,-1.41650000000008,0.470001594356093)); #44394=CARTESIAN_POINT('Origin',(39.527239772351,-1.87310744632017,0.470001594356395)); #44395=CARTESIAN_POINT('',(39.393739772351,-1.83300000000008,0.470001594356395)); #44396=CARTESIAN_POINT('Origin',(39.527239772351,-1.83300000000008,0.470001594356395)); #44397=CARTESIAN_POINT('',(39.527239772351,-1.91321489264026,0.470001594356395)); #44398=CARTESIAN_POINT('',(39.460489772351,-1.87310744632017,0.470001594356395)); #44399=CARTESIAN_POINT('Origin',(39.527239772351,-1.41650000000008,0.470001594356395)); #44400=CARTESIAN_POINT('',(39.393739772351,-1.00000000000008,0.470001594356395)); #44401=CARTESIAN_POINT('Origin',(39.527239772351,-1.00000000000008,0.470001594356395)); #44402=CARTESIAN_POINT('',(39.393739772351,-1.41650000000008,0.470001594356395)); #44403=CARTESIAN_POINT('Origin',(42.5119903627594,-1.87310744632017,0.640083133649284)); #44404=CARTESIAN_POINT('',(42.3784903627594,-1.83300000000008,0.640083133649284)); #44405=CARTESIAN_POINT('Origin',(42.5119903627594,-1.83300000000008,0.640083133649284)); #44406=CARTESIAN_POINT('',(42.5119903627594,-1.91321489264026,0.640083133649284)); #44407=CARTESIAN_POINT('',(42.4452403627594,-1.87310744632017,0.640083133649284)); #44408=CARTESIAN_POINT('Origin',(42.5119903627594,-1.41650000000008,0.640083133649284)); #44409=CARTESIAN_POINT('',(42.3784903627594,-1.00000000000008,0.640083133649284)); #44410=CARTESIAN_POINT('Origin',(42.5119903627594,-1.00000000000008,0.640083133649284)); #44411=CARTESIAN_POINT('',(42.3784903627594,-1.41650000000008,0.640083133649284)); #44412=CARTESIAN_POINT('Origin',(-14.9804861946585,-36.4370277756792,0.40873784263506)); #44413=CARTESIAN_POINT('',(-15.0064193783449,-36.4370278024238,0.545698885396149)); #44414=CARTESIAN_POINT('Origin',(-15.0203739281911,-36.4370278168149,0.412930212364483)); #44415=CARTESIAN_POINT('',(-14.9405984611259,-36.4370277345435,0.404545472905636)); #44416=CARTESIAN_POINT('',(-14.9735089197354,-36.4370277684837,0.475122179150893)); #44417=CARTESIAN_POINT('Origin',(-15.4345922976118,-36.4370282439928,0.456466317315405)); #44418=CARTESIAN_POINT('',(-15.8348561171863,-36.4370286567796,0.632771095297993)); #44419=CARTESIAN_POINT('Origin',(-15.8488106670325,-36.4370286711708,0.500002422266327)); #44420=CARTESIAN_POINT('',(-15.4206377477656,-36.4370282296017,0.589234990347071)); #44421=CARTESIAN_POINT('Origin',(-14.9804924499807,-30.4370277756826,0.408737199448828)); #44422=CARTESIAN_POINT('',(-15.0064256336671,-30.4370278024271,0.545698242209918)); #44423=CARTESIAN_POINT('Origin',(-15.0203801835133,-30.4370278168183,0.412929569178251)); #44424=CARTESIAN_POINT('',(-14.9406047164481,-30.4370277345469,0.404544829719404)); #44425=CARTESIAN_POINT('',(-14.9735151750576,-30.437027768487,0.475121535964661)); #44426=CARTESIAN_POINT('Origin',(-15.434598552934,-30.4370282439962,0.456465674129173)); #44427=CARTESIAN_POINT('',(-15.8348623725084,-30.437028656783,0.632770452111761)); #44428=CARTESIAN_POINT('Origin',(-15.8488169223547,-30.4370286711741,0.500001779080095)); #44429=CARTESIAN_POINT('',(-15.4206440030878,-30.4370282296051,0.589234347160839)); #44430=CARTESIAN_POINT('Origin',(-14.9804987053028,-24.437027775686,0.408736556262644)); #44431=CARTESIAN_POINT('',(-15.0064318889893,-24.4370278024305,0.545697599023735)); #44432=CARTESIAN_POINT('Origin',(-15.0203864388355,-24.4370278168217,0.412928925992067)); #44433=CARTESIAN_POINT('',(-14.9406109717702,-24.4370277345503,0.40454418653322)); #44434=CARTESIAN_POINT('',(-14.9735214303797,-24.4370277684904,0.475120892778477)); #44435=CARTESIAN_POINT('Origin',(-15.4346048082561,-24.4370282439996,0.456465030942989)); #44436=CARTESIAN_POINT('',(-15.8348686278306,-24.4370286567863,0.632769808925577)); #44437=CARTESIAN_POINT('Origin',(-15.8488231776768,-24.4370286711775,0.50000113589391)); #44438=CARTESIAN_POINT('',(-15.4206502584099,-24.4370282296084,0.589233703974655)); #44439=CARTESIAN_POINT('Origin',(-14.980504960625,-18.4370277756893,0.408735913076466)); #44440=CARTESIAN_POINT('',(-15.0064381443114,-18.4370278024339,0.545696955837558)); #44441=CARTESIAN_POINT('Origin',(-15.0203926941576,-18.437027816825,0.412928282805889)); #44442=CARTESIAN_POINT('',(-14.9406172270924,-18.4370277345536,0.404543543347042)); #44443=CARTESIAN_POINT('',(-14.9735276857019,-18.4370277684938,0.475120249592299)); #44444=CARTESIAN_POINT('Origin',(-15.4346110635783,-18.4370282440029,0.456464387756811)); #44445=CARTESIAN_POINT('',(-15.8348748831528,-18.4370286567897,0.632769165739399)); #44446=CARTESIAN_POINT('Origin',(-15.848829432999,-18.4370286711809,0.500000492707733)); #44447=CARTESIAN_POINT('',(-15.4206565137321,-18.4370282296118,0.589233060788477)); #44448=CARTESIAN_POINT('Origin',(-14.9805112159472,-12.4370277756927,0.408735269890285)); #44449=CARTESIAN_POINT('',(-15.0064443996336,-12.4370278024372,0.545696312651375)); #44450=CARTESIAN_POINT('Origin',(-15.0203989494798,-12.4370278168284,0.412927639619708)); #44451=CARTESIAN_POINT('',(-14.9406234824145,-12.437027734557,0.404542900160861)); #44452=CARTESIAN_POINT('',(-14.9735339410241,-12.4370277684971,0.475119606406118)); #44453=CARTESIAN_POINT('Origin',(-15.4346173189004,-12.4370282440063,0.45646374457063)); #44454=CARTESIAN_POINT('',(-15.8348811384749,-12.4370286567931,0.632768522553218)); #44455=CARTESIAN_POINT('Origin',(-15.8488356883211,-12.4370286711842,0.499999849521552)); #44456=CARTESIAN_POINT('',(-15.4206627690542,-12.4370282296152,0.589232417602296)); #44457=CARTESIAN_POINT('Origin',(-14.9805080882861,-15.437027775691,0.408735591483375)); #44458=CARTESIAN_POINT('',(-15.0064412719725,-15.4370278024356,0.545696634244466)); #44459=CARTESIAN_POINT('Origin',(-15.0203958218187,-15.4370278168267,0.412927961212798)); #44460=CARTESIAN_POINT('',(-14.9406203547535,-15.4370277345553,0.404543221753951)); #44461=CARTESIAN_POINT('',(-14.973530813363,-15.4370277684954,0.475119927999208)); #44462=CARTESIAN_POINT('Origin',(-15.4346141912394,-15.4370282440046,0.45646406616372)); #44463=CARTESIAN_POINT('',(-15.8348780108138,-15.4370286567914,0.632768844146308)); #44464=CARTESIAN_POINT('Origin',(-15.84883256066,-15.4370286711825,0.500000171114641)); #44465=CARTESIAN_POINT('',(-15.4206596413932,-15.4370282296135,0.589232739195386)); #44466=CARTESIAN_POINT('Origin',(-14.9805018329639,-21.4370277756876,0.408736234669562)); #44467=CARTESIAN_POINT('',(-15.0064350166503,-21.4370278024322,0.545697277430654)); #44468=CARTESIAN_POINT('Origin',(-15.0203895664965,-21.4370278168233,0.412928604398986)); #44469=CARTESIAN_POINT('',(-14.9406140994313,-21.4370277345519,0.404543864940139)); #44470=CARTESIAN_POINT('',(-14.9735245580408,-21.4370277684921,0.475120571185395)); #44471=CARTESIAN_POINT('Origin',(-15.4346079359172,-21.4370282440012,0.456464709349907)); #44472=CARTESIAN_POINT('',(-15.8348717554917,-21.437028656788,0.632769487332495)); #44473=CARTESIAN_POINT('Origin',(-15.8488263053379,-21.4370286711792,0.500000814300829)); #44474=CARTESIAN_POINT('',(-15.420653386071,-21.4370282296101,0.589233382381574)); #44475=CARTESIAN_POINT('Origin',(-14.9804955776418,-27.4370277756843,0.408736877855735)); #44476=CARTESIAN_POINT('',(-15.0064287613282,-27.4370278024288,0.545697920616825)); #44477=CARTESIAN_POINT('Origin',(-15.0203833111744,-27.43702781682,0.412929247585159)); #44478=CARTESIAN_POINT('',(-14.9406078441092,-27.4370277345486,0.404544508126312)); #44479=CARTESIAN_POINT('',(-14.9735183027187,-27.4370277684887,0.475121214371569)); #44480=CARTESIAN_POINT('Origin',(-15.4346016805951,-27.4370282439979,0.456465352536081)); #44481=CARTESIAN_POINT('',(-15.8348655001695,-27.4370286567847,0.632770130518669)); #44482=CARTESIAN_POINT('Origin',(-15.8488200500157,-27.4370286711758,0.500001457487002)); #44483=CARTESIAN_POINT('',(-15.4206471307489,-27.4370282296067,0.589234025567747)); #44484=CARTESIAN_POINT('Origin',(-14.9804893223196,-33.4370277756809,0.408737521041918)); #44485=CARTESIAN_POINT('',(-15.006422506006,-33.4370278024255,0.545698563803008)); #44486=CARTESIAN_POINT('Origin',(-15.0203770558522,-33.4370278168166,0.412929890771342)); #44487=CARTESIAN_POINT('',(-14.940601588787,-33.4370277345452,0.404545151312495)); #44488=CARTESIAN_POINT('',(-14.9735120473965,-33.4370277684853,0.475121857557752)); #44489=CARTESIAN_POINT('Origin',(-15.4345954252729,-33.4370282439945,0.456465995722264)); #44490=CARTESIAN_POINT('',(-15.8348592448474,-33.4370286567813,0.632770773704852)); #44491=CARTESIAN_POINT('Origin',(-15.8488137946936,-33.4370286711724,0.500002100673185)); #44492=CARTESIAN_POINT('',(-15.4206408754267,-33.4370282296034,0.58923466875393)); #44493=CARTESIAN_POINT('Origin',(-14.5209740315489,-10.8588549371402,0.442590878050144)); #44494=CARTESIAN_POINT('',(-14.6500695735017,-10.9113739214124,0.445228033570414)); #44495=CARTESIAN_POINT('Origin',(-14.5460648902912,-10.8276763953422,0.445228033570414)); #44496=CARTESIAN_POINT('',(-14.4958831728066,-10.8900334789383,0.439953722529874)); #44497=CARTESIAN_POINT('',(-14.5729763731542,-10.9007037001754,0.442590878050144)); #44498=CARTESIAN_POINT('Origin',(-14.806623555203,-10.5038995446484,0.472613852787787)); #44499=CARTESIAN_POINT('',(-15.1711869033252,-10.2638202200248,0.49999967200516)); #44500=CARTESIAN_POINT('Origin',(-15.0671822201147,-10.1801226939545,0.49999967200516)); #44501=CARTESIAN_POINT('',(-14.9106282384135,-10.5875970707186,0.472613852787787)); #44502=CARTESIAN_POINT('Origin',(-10.236136911964,-7.41064223218313,0.442590480396582)); #44503=CARTESIAN_POINT('',(-10.3652324539169,-7.46316121645535,0.445227635916852)); #44504=CARTESIAN_POINT('Origin',(-10.2612277707064,-7.37946369038508,0.445227635916852)); #44505=CARTESIAN_POINT('',(-10.2110460532217,-7.44182077398119,0.439953324876312)); #44506=CARTESIAN_POINT('',(-10.2881392535693,-7.45249099521827,0.442590480396582)); #44507=CARTESIAN_POINT('Origin',(-10.5217864356181,-7.05568683969127,0.472613455134225)); #44508=CARTESIAN_POINT('',(-10.8863497837404,-6.81560751506773,0.499999274351598)); #44509=CARTESIAN_POINT('Origin',(-10.7823451005299,-6.73190998899746,0.499999274351598)); #44510=CARTESIAN_POINT('',(-10.6257911188286,-7.13938436576154,0.472613455134225)); #44511=CARTESIAN_POINT('Origin',(-5.95129979237921,-3.96242952722607,0.442590082743002)); #44512=CARTESIAN_POINT('',(-6.08039533433204,-4.01494851149829,0.445227238263272)); #44513=CARTESIAN_POINT('Origin',(-5.97639065112155,-3.93125098542801,0.445227238263272)); #44514=CARTESIAN_POINT('',(-5.92620893363688,-3.99360806902412,0.439952927222732)); #44515=CARTESIAN_POINT('',(-6.00330213398446,-4.0042782902612,0.442590082743002)); #44516=CARTESIAN_POINT('Origin',(-6.23694931603329,-3.6074741347342,0.472613057480645)); #44517=CARTESIAN_POINT('',(-6.60151266415554,-3.36739481011066,0.499998876698019)); #44518=CARTESIAN_POINT('Origin',(-6.49750798094504,-3.28369728404039,0.499998876698019)); #44519=CARTESIAN_POINT('',(-6.34095399924379,-3.69117166080448,0.472613057480645)); #44520=CARTESIAN_POINT('Origin',(-3.80888123258678,-2.23832317474752,0.442589883916229)); #44521=CARTESIAN_POINT('',(-3.93797677453961,-2.29084215901974,0.4452270394365)); #44522=CARTESIAN_POINT('Origin',(-3.83397209132911,-2.20714463294947,0.4452270394365)); #44523=CARTESIAN_POINT('',(-3.78379037384444,-2.26950171654558,0.43995272839596)); #44524=CARTESIAN_POINT('',(-3.86088357419203,-2.28017193778266,0.442589883916229)); #44525=CARTESIAN_POINT('Origin',(-4.09453075624086,-1.88336778225566,0.472612858653873)); #44526=CARTESIAN_POINT('',(-4.4590941043631,-1.64328845763212,0.499998677871246)); #44527=CARTESIAN_POINT('Origin',(-4.3550894211526,-1.55959093156184,0.499998677871246)); #44528=CARTESIAN_POINT('',(-4.19853543945135,-1.96706530832593,0.472612858653873)); #44529=CARTESIAN_POINT('Origin',(-8.09371835217165,-5.68653587970461,0.442590281569794)); #44530=CARTESIAN_POINT('',(-8.22281389412448,-5.73905486397683,0.445227437090064)); #44531=CARTESIAN_POINT('Origin',(-8.11880921091398,-5.65535733790656,0.445227437090064)); #44532=CARTESIAN_POINT('',(-8.06862749342931,-5.71771442150267,0.439953126049524)); #44533=CARTESIAN_POINT('',(-8.1457206937769,-5.72838464273975,0.442590281569794)); #44534=CARTESIAN_POINT('Origin',(-8.37936787582573,-5.33158048721275,0.472613256307437)); #44535=CARTESIAN_POINT('',(-8.74393122394797,-5.09150116258921,0.499999075524811)); #44536=CARTESIAN_POINT('Origin',(-8.63992654073747,-5.00780363651894,0.499999075524811)); #44537=CARTESIAN_POINT('',(-8.48337255903622,-5.41527801328302,0.472613256307438)); #44538=CARTESIAN_POINT('Origin',(-12.3785554717565,-9.13474858466166,0.442590679223366)); #44539=CARTESIAN_POINT('',(-12.5076510137093,-9.18726756893387,0.445227834743636)); #44540=CARTESIAN_POINT('Origin',(-12.4036463304988,-9.1035700428636,0.445227834743636)); #44541=CARTESIAN_POINT('',(-12.3534646130141,-9.16592712645971,0.439953523703096)); #44542=CARTESIAN_POINT('',(-12.4305578133617,-9.17659734769679,0.442590679223366)); #44543=CARTESIAN_POINT('Origin',(-12.6642049954105,-8.77979319216979,0.472613653961009)); #44544=CARTESIAN_POINT('',(-13.0287683435328,-8.53971386754625,0.499999473178383)); #44545=CARTESIAN_POINT('Origin',(-12.9247636603223,-8.45601634147598,0.499999473178383)); #44546=CARTESIAN_POINT('',(-12.768209678621,-8.86349071824007,0.472613653961009)); #44547=CARTESIAN_POINT('Origin',(-12.3785554717565,-9.13474858466166,-0.442590679223366)); #44548=CARTESIAN_POINT('',(-12.5076510137093,-9.18726756893387,-0.445227834743636)); #44549=CARTESIAN_POINT('Origin',(-12.4036463304988,-9.1035700428636,-0.445227834743636)); #44550=CARTESIAN_POINT('',(-12.3534646130141,-9.16592712645971,-0.439953523703096)); #44551=CARTESIAN_POINT('',(-12.4305578133617,-9.17659734769679,-0.442590679223366)); #44552=CARTESIAN_POINT('Origin',(-12.6642049954105,-8.77979319216979,-0.472613653961009)); #44553=CARTESIAN_POINT('',(-13.0287683435328,-8.53971386754625,-0.499999473178382)); #44554=CARTESIAN_POINT('Origin',(-12.9247636603223,-8.45601634147598,-0.499999473178382)); #44555=CARTESIAN_POINT('',(-12.768209678621,-8.86349071824007,-0.472613653961009)); #44556=CARTESIAN_POINT('Origin',(-8.09371835217165,-5.68653587970461,-0.442590281569794)); #44557=CARTESIAN_POINT('',(-8.22281389412448,-5.73905486397683,-0.445227437090064)); #44558=CARTESIAN_POINT('Origin',(-8.11880921091398,-5.65535733790656,-0.445227437090064)); #44559=CARTESIAN_POINT('',(-8.06862749342931,-5.71771442150267,-0.439953126049524)); #44560=CARTESIAN_POINT('',(-8.1457206937769,-5.72838464273975,-0.442590281569794)); #44561=CARTESIAN_POINT('Origin',(-8.37936787582573,-5.33158048721275,-0.472613256307437)); #44562=CARTESIAN_POINT('',(-8.74393122394797,-5.09150116258921,-0.499999075524811)); #44563=CARTESIAN_POINT('Origin',(-8.63992654073747,-5.00780363651894,-0.499999075524811)); #44564=CARTESIAN_POINT('',(-8.48337255903622,-5.41527801328302,-0.472613256307438)); #44565=CARTESIAN_POINT('Origin',(-3.80888123258678,-2.23832317474752,-0.442589883916229)); #44566=CARTESIAN_POINT('',(-3.93797677453961,-2.29084215901974,-0.4452270394365)); #44567=CARTESIAN_POINT('Origin',(-3.83397209132911,-2.20714463294947,-0.4452270394365)); #44568=CARTESIAN_POINT('',(-3.78379037384444,-2.26950171654558,-0.43995272839596)); #44569=CARTESIAN_POINT('',(-3.86088357419203,-2.28017193778266,-0.442589883916229)); #44570=CARTESIAN_POINT('Origin',(-4.09453075624086,-1.88336778225566,-0.472612858653873)); #44571=CARTESIAN_POINT('',(-4.4590941043631,-1.64328845763212,-0.499998677871246)); #44572=CARTESIAN_POINT('Origin',(-4.3550894211526,-1.55959093156185,-0.499998677871246)); #44573=CARTESIAN_POINT('',(-4.19853543945135,-1.96706530832593,-0.472612858653873)); #44574=CARTESIAN_POINT('Origin',(-5.95129979237921,-3.96242952722607,-0.442590082743002)); #44575=CARTESIAN_POINT('',(-6.08039533433204,-4.01494851149829,-0.445227238263272)); #44576=CARTESIAN_POINT('Origin',(-5.97639065112155,-3.93125098542801,-0.445227238263272)); #44577=CARTESIAN_POINT('',(-5.92620893363688,-3.99360806902412,-0.439952927222732)); #44578=CARTESIAN_POINT('',(-6.00330213398446,-4.0042782902612,-0.442590082743002)); #44579=CARTESIAN_POINT('Origin',(-6.23694931603329,-3.6074741347342,-0.472613057480645)); #44580=CARTESIAN_POINT('',(-6.60151266415553,-3.36739481011066,-0.499998876698018)); #44581=CARTESIAN_POINT('Origin',(-6.49750798094504,-3.28369728404039,-0.499998876698018)); #44582=CARTESIAN_POINT('',(-6.34095399924379,-3.69117166080448,-0.472613057480645)); #44583=CARTESIAN_POINT('Origin',(-10.236136911964,-7.41064223218313,-0.442590480396582)); #44584=CARTESIAN_POINT('',(-10.3652324539169,-7.46316121645535,-0.445227635916852)); #44585=CARTESIAN_POINT('Origin',(-10.2612277707064,-7.37946369038508,-0.445227635916852)); #44586=CARTESIAN_POINT('',(-10.2110460532217,-7.44182077398119,-0.439953324876312)); #44587=CARTESIAN_POINT('',(-10.2881392535693,-7.45249099521827,-0.442590480396582)); #44588=CARTESIAN_POINT('Origin',(-10.5217864356181,-7.05568683969127,-0.472613455134225)); #44589=CARTESIAN_POINT('',(-10.8863497837404,-6.81560751506773,-0.499999274351598)); #44590=CARTESIAN_POINT('Origin',(-10.7823451005299,-6.73190998899746,-0.499999274351598)); #44591=CARTESIAN_POINT('',(-10.6257911188286,-7.13938436576154,-0.472613455134225)); #44592=CARTESIAN_POINT('Origin',(-14.5209740315489,-10.8588549371402,-0.442590878050144)); #44593=CARTESIAN_POINT('',(-14.6500695735017,-10.9113739214124,-0.445228033570414)); #44594=CARTESIAN_POINT('Origin',(-14.5460648902912,-10.8276763953422,-0.445228033570414)); #44595=CARTESIAN_POINT('',(-14.4958831728066,-10.8900334789383,-0.439953722529874)); #44596=CARTESIAN_POINT('',(-14.5729763731542,-10.9007037001754,-0.442590878050144)); #44597=CARTESIAN_POINT('Origin',(-14.806623555203,-10.5038995446484,-0.472613852787787)); #44598=CARTESIAN_POINT('',(-15.1711869033252,-10.2638202200248,-0.49999967200516)); #44599=CARTESIAN_POINT('Origin',(-15.0671822201147,-10.1801226939545,-0.49999967200516)); #44600=CARTESIAN_POINT('',(-14.9106282384135,-10.5875970707186,-0.472613852787787)); #44601=CARTESIAN_POINT('Origin',(-14.9804893223196,-33.4370277756809,-0.408737521041918)); #44602=CARTESIAN_POINT('',(-15.006422506006,-33.4370278024255,-0.545698563803008)); #44603=CARTESIAN_POINT('Origin',(-15.0203770558522,-33.4370278168166,-0.412929890771342)); #44604=CARTESIAN_POINT('',(-14.940601588787,-33.4370277345452,-0.404545151312495)); #44605=CARTESIAN_POINT('',(-14.9735120473965,-33.4370277684853,-0.475121857557752)); #44606=CARTESIAN_POINT('Origin',(-15.4345954252729,-33.4370282439945,-0.456465995722264)); #44607=CARTESIAN_POINT('',(-15.8348592448474,-33.4370286567813,-0.632770773704852)); #44608=CARTESIAN_POINT('Origin',(-15.8488137946936,-33.4370286711724,-0.500002100673185)); #44609=CARTESIAN_POINT('',(-15.4206408754267,-33.4370282296034,-0.58923466875393)); #44610=CARTESIAN_POINT('Origin',(-14.9804955776418,-27.4370277756843,-0.408736877855735)); #44611=CARTESIAN_POINT('',(-15.0064287613282,-27.4370278024288,-0.545697920616825)); #44612=CARTESIAN_POINT('Origin',(-15.0203833111744,-27.43702781682,-0.412929247585159)); #44613=CARTESIAN_POINT('',(-14.9406078441092,-27.4370277345486,-0.404544508126312)); #44614=CARTESIAN_POINT('',(-14.9735183027187,-27.4370277684887,-0.475121214371569)); #44615=CARTESIAN_POINT('Origin',(-15.4346016805951,-27.4370282439979,-0.456465352536081)); #44616=CARTESIAN_POINT('',(-15.8348655001695,-27.4370286567847,-0.632770130518669)); #44617=CARTESIAN_POINT('Origin',(-15.8488200500157,-27.4370286711758,-0.500001457487002)); #44618=CARTESIAN_POINT('',(-15.4206471307489,-27.4370282296067,-0.589234025567747)); #44619=CARTESIAN_POINT('Origin',(-14.9805018329639,-21.4370277756876,-0.408736234669562)); #44620=CARTESIAN_POINT('',(-15.0064350166503,-21.4370278024322,-0.545697277430654)); #44621=CARTESIAN_POINT('Origin',(-15.0203895664965,-21.4370278168233,-0.412928604398986)); #44622=CARTESIAN_POINT('',(-14.9406140994313,-21.4370277345519,-0.404543864940139)); #44623=CARTESIAN_POINT('',(-14.9735245580408,-21.4370277684921,-0.475120571185395)); #44624=CARTESIAN_POINT('Origin',(-15.4346079359172,-21.4370282440012,-0.456464709349907)); #44625=CARTESIAN_POINT('',(-15.8348717554917,-21.437028656788,-0.632769487332495)); #44626=CARTESIAN_POINT('Origin',(-15.8488263053379,-21.4370286711792,-0.500000814300829)); #44627=CARTESIAN_POINT('',(-15.420653386071,-21.4370282296101,-0.589233382381574)); #44628=CARTESIAN_POINT('Origin',(-14.9805080882861,-15.437027775691,-0.408735591483375)); #44629=CARTESIAN_POINT('',(-15.0064412719725,-15.4370278024356,-0.545696634244466)); #44630=CARTESIAN_POINT('Origin',(-15.0203958218187,-15.4370278168267,-0.412927961212798)); #44631=CARTESIAN_POINT('',(-14.9406203547535,-15.4370277345553,-0.404543221753951)); #44632=CARTESIAN_POINT('',(-14.973530813363,-15.4370277684954,-0.475119927999208)); #44633=CARTESIAN_POINT('Origin',(-15.4346141912394,-15.4370282440046,-0.45646406616372)); #44634=CARTESIAN_POINT('',(-15.8348780108138,-15.4370286567914,-0.632768844146308)); #44635=CARTESIAN_POINT('Origin',(-15.84883256066,-15.4370286711825,-0.500000171114641)); #44636=CARTESIAN_POINT('',(-15.4206596413932,-15.4370282296135,-0.589232739195386)); #44637=CARTESIAN_POINT('Origin',(-14.9805112159472,-12.4370277756927,-0.408735269890285)); #44638=CARTESIAN_POINT('',(-15.0064443996336,-12.4370278024372,-0.545696312651375)); #44639=CARTESIAN_POINT('Origin',(-15.0203989494798,-12.4370278168284,-0.412927639619708)); #44640=CARTESIAN_POINT('',(-14.9406234824145,-12.437027734557,-0.404542900160861)); #44641=CARTESIAN_POINT('',(-14.9735339410241,-12.4370277684971,-0.475119606406118)); #44642=CARTESIAN_POINT('Origin',(-15.4346173189004,-12.4370282440063,-0.45646374457063)); #44643=CARTESIAN_POINT('',(-15.8348811384749,-12.4370286567931,-0.632768522553218)); #44644=CARTESIAN_POINT('Origin',(-15.8488356883211,-12.4370286711842,-0.499999849521552)); #44645=CARTESIAN_POINT('',(-15.4206627690542,-12.4370282296152,-0.589232417602296)); #44646=CARTESIAN_POINT('Origin',(-14.980504960625,-18.4370277756893,-0.408735913076466)); #44647=CARTESIAN_POINT('',(-15.0064381443114,-18.4370278024339,-0.545696955837558)); #44648=CARTESIAN_POINT('Origin',(-15.0203926941576,-18.437027816825,-0.412928282805889)); #44649=CARTESIAN_POINT('',(-14.9406172270924,-18.4370277345536,-0.404543543347042)); #44650=CARTESIAN_POINT('',(-14.9735276857019,-18.4370277684938,-0.475120249592299)); #44651=CARTESIAN_POINT('Origin',(-15.4346110635783,-18.4370282440029,-0.456464387756811)); #44652=CARTESIAN_POINT('',(-15.8348748831528,-18.4370286567897,-0.632769165739399)); #44653=CARTESIAN_POINT('Origin',(-15.848829432999,-18.4370286711809,-0.500000492707733)); #44654=CARTESIAN_POINT('',(-15.4206565137321,-18.4370282296118,-0.589233060788477)); #44655=CARTESIAN_POINT('Origin',(-14.9804987053028,-24.437027775686,-0.408736556262644)); #44656=CARTESIAN_POINT('',(-15.0064318889893,-24.4370278024305,-0.545697599023735)); #44657=CARTESIAN_POINT('Origin',(-15.0203864388355,-24.4370278168217,-0.412928925992067)); #44658=CARTESIAN_POINT('',(-14.9406109717702,-24.4370277345503,-0.40454418653322)); #44659=CARTESIAN_POINT('',(-14.9735214303797,-24.4370277684904,-0.475120892778477)); #44660=CARTESIAN_POINT('Origin',(-15.4346048082561,-24.4370282439996,-0.456465030942989)); #44661=CARTESIAN_POINT('',(-15.8348686278306,-24.4370286567863,-0.632769808925577)); #44662=CARTESIAN_POINT('Origin',(-15.8488231776768,-24.4370286711775,-0.50000113589391)); #44663=CARTESIAN_POINT('',(-15.4206502584099,-24.4370282296084,-0.589233703974655)); #44664=CARTESIAN_POINT('Origin',(-14.9804924499807,-30.4370277756826,-0.408737199448828)); #44665=CARTESIAN_POINT('',(-15.0064256336671,-30.4370278024271,-0.545698242209918)); #44666=CARTESIAN_POINT('Origin',(-15.0203801835133,-30.4370278168183,-0.412929569178251)); #44667=CARTESIAN_POINT('',(-14.9406047164481,-30.4370277345469,-0.404544829719404)); #44668=CARTESIAN_POINT('',(-14.9735151750576,-30.437027768487,-0.475121535964661)); #44669=CARTESIAN_POINT('Origin',(-15.434598552934,-30.4370282439962,-0.456465674129173)); #44670=CARTESIAN_POINT('',(-15.8348623725084,-30.437028656783,-0.632770452111761)); #44671=CARTESIAN_POINT('Origin',(-15.8488169223547,-30.4370286711741,-0.500001779080095)); #44672=CARTESIAN_POINT('',(-15.4206440030878,-30.4370282296051,-0.589234347160839)); #44673=CARTESIAN_POINT('Origin',(-14.9804861946585,-36.4370277756792,-0.40873784263506)); #44674=CARTESIAN_POINT('',(-15.0064193783449,-36.4370278024238,-0.545698885396149)); #44675=CARTESIAN_POINT('Origin',(-15.0203739281911,-36.4370278168149,-0.412930212364483)); #44676=CARTESIAN_POINT('',(-14.9405984611259,-36.4370277345435,-0.404545472905636)); #44677=CARTESIAN_POINT('',(-14.9735089197354,-36.4370277684837,-0.475122179150893)); #44678=CARTESIAN_POINT('Origin',(-15.4345922976118,-36.4370282439928,-0.456466317315405)); #44679=CARTESIAN_POINT('',(-15.8348561171863,-36.4370286567796,-0.632771095297993)); #44680=CARTESIAN_POINT('Origin',(-15.8488106670325,-36.4370286711708,-0.500002422266327)); #44681=CARTESIAN_POINT('',(-15.4206377477656,-36.4370282296017,-0.589234990347071)); #44682=CARTESIAN_POINT('Origin',(-14.3946472143253,-36.5639004276945,0.499997366375919)); #44683=CARTESIAN_POINT('',(-14.5281472143253,-36.6040078740146,0.499997366375919)); #44684=CARTESIAN_POINT('Origin',(-14.3946472143253,-36.6040078740146,0.499997366375919)); #44685=CARTESIAN_POINT('',(-14.3946472143253,-36.5237929813745,0.499997366375919)); #44686=CARTESIAN_POINT('',(-14.4613972143253,-36.5639004276945,0.499997366375919)); #44687=CARTESIAN_POINT('Origin',(-14.3946472143253,-37.0205078740146,0.499997366375919)); #44688=CARTESIAN_POINT('',(-14.5281472143253,-37.0205078740146,0.499997366375919)); #44689=CARTESIAN_POINT('Origin',(-11.3944877924667,-36.5639004276945,-0.501045897733514)); #44690=CARTESIAN_POINT('',(-11.5279877924667,-36.6040078740146,-0.501045897733514)); #44691=CARTESIAN_POINT('Origin',(-11.3944877924667,-36.6040078740146,-0.501045897733514)); #44692=CARTESIAN_POINT('',(-11.3944877924667,-36.5237929813745,-0.501045897733514)); #44693=CARTESIAN_POINT('',(-11.4612377924667,-36.5639004276945,-0.501045897733514)); #44694=CARTESIAN_POINT('Origin',(-11.3944877924667,-37.0205078740146,-0.501045897733514)); #44695=CARTESIAN_POINT('',(-11.5279877924667,-37.0205078740146,-0.501045897733514)); #44696=CARTESIAN_POINT('Origin',(-8.39464721432847,-36.5639004276945,0.499997366376539)); #44697=CARTESIAN_POINT('',(-8.52814721432847,-36.6040078740146,0.499997366376539)); #44698=CARTESIAN_POINT('Origin',(-8.39464721432847,-36.6040078740146,0.499997366376539)); #44699=CARTESIAN_POINT('',(-8.39464721432847,-36.5237929813745,0.499997366376539)); #44700=CARTESIAN_POINT('',(-8.46139721432847,-36.5639004276945,0.499997366376539)); #44701=CARTESIAN_POINT('Origin',(-8.39464721432847,-37.0205078740146,0.499997366376539)); #44702=CARTESIAN_POINT('',(-8.52814721432847,-37.0205078740146,0.499997366376539)); #44703=CARTESIAN_POINT('Origin',(-5.39448785910662,-36.5639004276945,-0.500151671354051)); #44704=CARTESIAN_POINT('',(-5.52798785910662,-36.6040078740146,-0.500151671354051)); #44705=CARTESIAN_POINT('Origin',(-5.39448785910662,-36.6040078740146,-0.500151671354051)); #44706=CARTESIAN_POINT('',(-5.39448785910662,-36.5237929813745,-0.500151671354051)); #44707=CARTESIAN_POINT('',(-5.46123785910662,-36.5639004276945,-0.500151671354051)); #44708=CARTESIAN_POINT('Origin',(-5.39448785910662,-37.0205078740146,-0.500151671354051)); #44709=CARTESIAN_POINT('',(-5.52798785910662,-37.0205078740146,-0.500151671354051)); #44710=CARTESIAN_POINT('Origin',(-5.39464721433003,-36.5639004276945,0.499997366376851)); #44711=CARTESIAN_POINT('',(-5.52814721433003,-36.6040078740146,0.499997366376851)); #44712=CARTESIAN_POINT('Origin',(-5.39464721433003,-36.6040078740146,0.499997366376851)); #44713=CARTESIAN_POINT('',(-5.39464721433003,-36.5237929813745,0.499997366376851)); #44714=CARTESIAN_POINT('',(-5.46139721433003,-36.5639004276945,0.499997366376851)); #44715=CARTESIAN_POINT('Origin',(-5.39464721433003,-37.0205078740146,0.499997366376851)); #44716=CARTESIAN_POINT('',(-5.52814721433003,-37.0205078740146,0.499997366376851)); #44717=CARTESIAN_POINT('Origin',(-8.39448782578664,-36.5639004276945,-0.500598784543785)); #44718=CARTESIAN_POINT('',(-8.52798782578664,-36.6040078740146,-0.500598784543785)); #44719=CARTESIAN_POINT('Origin',(-8.39448782578664,-36.6040078740146,-0.500598784543785)); #44720=CARTESIAN_POINT('',(-8.39448782578664,-36.5237929813745,-0.500598784543785)); #44721=CARTESIAN_POINT('',(-8.46123782578664,-36.5639004276945,-0.500598784543785)); #44722=CARTESIAN_POINT('Origin',(-8.39448782578664,-37.0205078740146,-0.500598784543785)); #44723=CARTESIAN_POINT('',(-8.52798782578664,-37.0205078740146,-0.500598784543785)); #44724=CARTESIAN_POINT('Origin',(-11.3946472143269,-36.5639004276945,0.499997366376235)); #44725=CARTESIAN_POINT('',(-11.5281472143269,-36.6040078740146,0.499997366376235)); #44726=CARTESIAN_POINT('Origin',(-11.3946472143269,-36.6040078740146,0.499997366376235)); #44727=CARTESIAN_POINT('',(-11.3946472143269,-36.5237929813745,0.499997366376235)); #44728=CARTESIAN_POINT('',(-11.4613972143269,-36.5639004276945,0.499997366376235)); #44729=CARTESIAN_POINT('Origin',(-11.3946472143269,-37.0205078740146,0.499997366376235)); #44730=CARTESIAN_POINT('',(-11.5281472143269,-37.0205078740146,0.499997366376235)); #44731=CARTESIAN_POINT('Origin',(-14.3944877591467,-36.5639004276945,-0.501493010923262)); #44732=CARTESIAN_POINT('',(-14.5279877591467,-36.6040078740146,-0.501493010923262)); #44733=CARTESIAN_POINT('Origin',(-14.3944877591467,-36.6040078740146,-0.501493010923262)); #44734=CARTESIAN_POINT('',(-14.3944877591467,-36.5237929813745,-0.501493010923262)); #44735=CARTESIAN_POINT('',(-14.4612377591467,-36.5639004276945,-0.501493010923262)); #44736=CARTESIAN_POINT('Origin',(-14.3944877591467,-37.0205078740146,-0.501493010923262)); #44737=CARTESIAN_POINT('',(-14.5279877591467,-37.0205078740146,-0.501493010923262)); #44738=CARTESIAN_POINT('Origin',(40.639745107409,-1.87310744632017,-0.499999892787262)); #44739=CARTESIAN_POINT('',(40.506245107409,-1.83300000000008,-0.499999892787262)); #44740=CARTESIAN_POINT('Origin',(40.639745107409,-1.83300000000008,-0.499999892787262)); #44741=CARTESIAN_POINT('',(40.639745107409,-1.91321489264026,-0.499999892787262)); #44742=CARTESIAN_POINT('',(40.572995107409,-1.87310744632017,-0.499999892787262)); #44743=CARTESIAN_POINT('Origin',(40.639745107409,-1.41650000000008,-0.499999892787262)); #44744=CARTESIAN_POINT('',(40.506245107409,-1.00000000000008,-0.499999892787262)); #44745=CARTESIAN_POINT('Origin',(40.639745107409,-1.00000000000008,-0.499999892787262)); #44746=CARTESIAN_POINT('',(40.506245107409,-1.41650000000008,-0.499999892787262)); #44747=CARTESIAN_POINT('Origin',(34.6397451074121,-1.87310744632017,-0.499999892787919)); #44748=CARTESIAN_POINT('',(34.5062451074121,-1.83300000000008,-0.499999892787919)); #44749=CARTESIAN_POINT('Origin',(34.6397451074121,-1.83300000000008,-0.499999892787919)); #44750=CARTESIAN_POINT('',(34.6397451074121,-1.91321489264026,-0.499999892787919)); #44751=CARTESIAN_POINT('',(34.5729951074121,-1.87310744632017,-0.499999892787919)); #44752=CARTESIAN_POINT('Origin',(34.6397451074121,-1.41650000000008,-0.499999892787919)); #44753=CARTESIAN_POINT('',(34.5062451074121,-1.00000000000008,-0.499999892787919)); #44754=CARTESIAN_POINT('Origin',(34.6397451074121,-1.00000000000008,-0.499999892787919)); #44755=CARTESIAN_POINT('',(34.5062451074121,-1.41650000000008,-0.499999892787919)); #44756=CARTESIAN_POINT('Origin',(28.6397451074153,-1.87310744632017,-0.499999892788573)); #44757=CARTESIAN_POINT('',(28.5062451074153,-1.83300000000008,-0.499999892788573)); #44758=CARTESIAN_POINT('Origin',(28.6397451074153,-1.83300000000008,-0.499999892788573)); #44759=CARTESIAN_POINT('',(28.6397451074153,-1.91321489264026,-0.499999892788573)); #44760=CARTESIAN_POINT('',(28.5729951074153,-1.87310744632017,-0.499999892788573)); #44761=CARTESIAN_POINT('Origin',(28.6397451074153,-1.41650000000008,-0.499999892788573)); #44762=CARTESIAN_POINT('',(28.5062451074153,-1.00000000000008,-0.499999892788573)); #44763=CARTESIAN_POINT('Origin',(28.6397451074153,-1.00000000000008,-0.499999892788573)); #44764=CARTESIAN_POINT('',(28.5062451074153,-1.41650000000008,-0.499999892788573)); #44765=CARTESIAN_POINT('Origin',(22.6397451074184,-1.87310744632017,-0.499999892789232)); #44766=CARTESIAN_POINT('',(22.5062451074184,-1.83300000000008,-0.499999892789232)); #44767=CARTESIAN_POINT('Origin',(22.6397451074184,-1.83300000000008,-0.499999892789232)); #44768=CARTESIAN_POINT('',(22.6397451074184,-1.91321489264026,-0.499999892789232)); #44769=CARTESIAN_POINT('',(22.5729951074184,-1.87310744632017,-0.499999892789232)); #44770=CARTESIAN_POINT('Origin',(22.6397451074184,-1.41650000000008,-0.499999892789232)); #44771=CARTESIAN_POINT('',(22.5062451074184,-1.00000000000008,-0.499999892789232)); #44772=CARTESIAN_POINT('Origin',(22.6397451074184,-1.00000000000008,-0.499999892789232)); #44773=CARTESIAN_POINT('',(22.5062451074184,-1.41650000000008,-0.499999892789232)); #44774=CARTESIAN_POINT('Origin',(16.6397451074216,-1.87310744632017,-0.499999892789885)); #44775=CARTESIAN_POINT('',(16.5062451074216,-1.83300000000008,-0.499999892789885)); #44776=CARTESIAN_POINT('Origin',(16.6397451074216,-1.83300000000008,-0.499999892789885)); #44777=CARTESIAN_POINT('',(16.6397451074216,-1.91321489264026,-0.499999892789885)); #44778=CARTESIAN_POINT('',(16.5729951074216,-1.87310744632017,-0.499999892789885)); #44779=CARTESIAN_POINT('Origin',(16.6397451074216,-1.41650000000008,-0.499999892789885)); #44780=CARTESIAN_POINT('',(16.5062451074216,-1.00000000000008,-0.499999892789885)); #44781=CARTESIAN_POINT('Origin',(16.6397451074216,-1.00000000000008,-0.499999892789885)); #44782=CARTESIAN_POINT('',(16.5062451074216,-1.41650000000008,-0.499999892789885)); #44783=CARTESIAN_POINT('Origin',(10.6397451074247,-1.87310744632017,-0.499999892790541)); #44784=CARTESIAN_POINT('',(10.5062451074247,-1.83300000000009,-0.499999892790541)); #44785=CARTESIAN_POINT('Origin',(10.6397451074247,-1.83300000000009,-0.499999892790541)); #44786=CARTESIAN_POINT('',(10.6397451074247,-1.91321489264026,-0.499999892790541)); #44787=CARTESIAN_POINT('',(10.5729951074247,-1.87310744632017,-0.499999892790541)); #44788=CARTESIAN_POINT('Origin',(10.6397451074247,-1.41650000000009,-0.499999892790541)); #44789=CARTESIAN_POINT('',(10.5062451074247,-1.00000000000009,-0.499999892790541)); #44790=CARTESIAN_POINT('Origin',(10.6397451074247,-1.00000000000009,-0.499999892790541)); #44791=CARTESIAN_POINT('',(10.5062451074247,-1.41650000000009,-0.499999892790541)); #44792=CARTESIAN_POINT('Origin',(4.63974510742783,-1.87310744632018,-0.499999892791197)); #44793=CARTESIAN_POINT('',(4.50624510742783,-1.83300000000009,-0.499999892791197)); #44794=CARTESIAN_POINT('Origin',(4.63974510742783,-1.83300000000009,-0.499999892791197)); #44795=CARTESIAN_POINT('',(4.63974510742783,-1.91321489264027,-0.499999892791197)); #44796=CARTESIAN_POINT('',(4.57299510742783,-1.87310744632018,-0.499999892791197)); #44797=CARTESIAN_POINT('Origin',(4.63974510742783,-1.41650000000009,-0.499999892791197)); #44798=CARTESIAN_POINT('',(4.50624510742783,-1.00000000000009,-0.499999892791197)); #44799=CARTESIAN_POINT('Origin',(4.63974510742783,-1.00000000000009,-0.499999892791197)); #44800=CARTESIAN_POINT('',(4.50624510742783,-1.41650000000009,-0.499999892791197)); #44801=CARTESIAN_POINT('Origin',(-1.36025489256905,-1.87310744632018,-0.499999892791844)); #44802=CARTESIAN_POINT('',(-1.49375489256905,-1.83300000000009,-0.499999892791844)); #44803=CARTESIAN_POINT('Origin',(-1.36025489256905,-1.83300000000009,-0.499999892791844)); #44804=CARTESIAN_POINT('',(-1.36025489256905,-1.91321489264027,-0.499999892791844)); #44805=CARTESIAN_POINT('',(-1.42700489256905,-1.87310744632018,-0.499999892791844)); #44806=CARTESIAN_POINT('Origin',(-1.36025489256905,-1.41650000000009,-0.499999892791844)); #44807=CARTESIAN_POINT('',(-1.49375489256905,-1.00000000000009,-0.499999892791844)); #44808=CARTESIAN_POINT('Origin',(-1.36025489256905,-1.00000000000009,-0.499999892791844)); #44809=CARTESIAN_POINT('',(-1.49375489256905,-1.41650000000009,-0.499999892791844)); #44810=CARTESIAN_POINT('Origin',(1.63974510742939,-1.87310744632018,-0.499999892791518)); #44811=CARTESIAN_POINT('',(1.50624510742939,-1.83300000000009,-0.499999892791518)); #44812=CARTESIAN_POINT('Origin',(1.63974510742939,-1.83300000000009,-0.499999892791518)); #44813=CARTESIAN_POINT('',(1.63974510742939,-1.91321489264027,-0.499999892791518)); #44814=CARTESIAN_POINT('',(1.57299510742939,-1.87310744632018,-0.499999892791518)); #44815=CARTESIAN_POINT('Origin',(1.63974510742939,-1.41650000000009,-0.499999892791518)); #44816=CARTESIAN_POINT('',(1.50624510742939,-1.00000000000009,-0.499999892791518)); #44817=CARTESIAN_POINT('Origin',(1.63974510742939,-1.00000000000009,-0.499999892791518)); #44818=CARTESIAN_POINT('',(1.50624510742939,-1.41650000000009,-0.499999892791518)); #44819=CARTESIAN_POINT('Origin',(7.63974510742625,-1.87310744632018,-0.49999989279087)); #44820=CARTESIAN_POINT('',(7.50624510742625,-1.83300000000009,-0.49999989279087)); #44821=CARTESIAN_POINT('Origin',(7.63974510742625,-1.83300000000009,-0.49999989279087)); #44822=CARTESIAN_POINT('',(7.63974510742625,-1.91321489264027,-0.49999989279087)); #44823=CARTESIAN_POINT('',(7.57299510742625,-1.87310744632018,-0.49999989279087)); #44824=CARTESIAN_POINT('Origin',(7.63974510742625,-1.41650000000009,-0.49999989279087)); #44825=CARTESIAN_POINT('',(7.50624510742625,-1.00000000000009,-0.49999989279087)); #44826=CARTESIAN_POINT('Origin',(7.63974510742625,-1.00000000000009,-0.49999989279087)); #44827=CARTESIAN_POINT('',(7.50624510742625,-1.41650000000009,-0.49999989279087)); #44828=CARTESIAN_POINT('Origin',(13.6397451074231,-1.87310744632017,-0.499999892790206)); #44829=CARTESIAN_POINT('',(13.5062451074231,-1.83300000000008,-0.499999892790206)); #44830=CARTESIAN_POINT('Origin',(13.6397451074231,-1.83300000000008,-0.499999892790206)); #44831=CARTESIAN_POINT('',(13.6397451074231,-1.91321489264026,-0.499999892790206)); #44832=CARTESIAN_POINT('',(13.5729951074231,-1.87310744632017,-0.499999892790206)); #44833=CARTESIAN_POINT('Origin',(13.6397451074231,-1.41650000000008,-0.499999892790206)); #44834=CARTESIAN_POINT('',(13.5062451074231,-1.00000000000008,-0.499999892790206)); #44835=CARTESIAN_POINT('Origin',(13.6397451074231,-1.00000000000008,-0.499999892790206)); #44836=CARTESIAN_POINT('',(13.5062451074231,-1.41650000000008,-0.499999892790206)); #44837=CARTESIAN_POINT('Origin',(19.63974510742,-1.87310744632017,-0.49999989278955)); #44838=CARTESIAN_POINT('',(19.50624510742,-1.83300000000008,-0.49999989278955)); #44839=CARTESIAN_POINT('Origin',(19.63974510742,-1.83300000000008,-0.49999989278955)); #44840=CARTESIAN_POINT('',(19.63974510742,-1.91321489264026,-0.49999989278955)); #44841=CARTESIAN_POINT('',(19.57299510742,-1.87310744632017,-0.49999989278955)); #44842=CARTESIAN_POINT('Origin',(19.63974510742,-1.41650000000008,-0.49999989278955)); #44843=CARTESIAN_POINT('',(19.50624510742,-1.00000000000008,-0.49999989278955)); #44844=CARTESIAN_POINT('Origin',(19.63974510742,-1.00000000000008,-0.49999989278955)); #44845=CARTESIAN_POINT('',(19.50624510742,-1.41650000000008,-0.49999989278955)); #44846=CARTESIAN_POINT('Origin',(25.6397451074168,-1.87310744632017,-0.499999892788903)); #44847=CARTESIAN_POINT('',(25.5062451074168,-1.83300000000008,-0.499999892788903)); #44848=CARTESIAN_POINT('Origin',(25.6397451074168,-1.83300000000008,-0.499999892788903)); #44849=CARTESIAN_POINT('',(25.6397451074168,-1.91321489264026,-0.499999892788903)); #44850=CARTESIAN_POINT('',(25.5729951074168,-1.87310744632017,-0.499999892788903)); #44851=CARTESIAN_POINT('Origin',(25.6397451074168,-1.41650000000008,-0.499999892788903)); #44852=CARTESIAN_POINT('',(25.5062451074168,-1.00000000000008,-0.499999892788903)); #44853=CARTESIAN_POINT('Origin',(25.6397451074168,-1.00000000000008,-0.499999892788903)); #44854=CARTESIAN_POINT('',(25.5062451074168,-1.41650000000008,-0.499999892788903)); #44855=CARTESIAN_POINT('Origin',(31.6397451074137,-1.87310744632017,-0.499999892788239)); #44856=CARTESIAN_POINT('',(31.5062451074137,-1.83300000000008,-0.499999892788239)); #44857=CARTESIAN_POINT('Origin',(31.6397451074137,-1.83300000000008,-0.499999892788239)); #44858=CARTESIAN_POINT('',(31.6397451074137,-1.91321489264026,-0.499999892788239)); #44859=CARTESIAN_POINT('',(31.5729951074137,-1.87310744632017,-0.499999892788239)); #44860=CARTESIAN_POINT('Origin',(31.6397451074137,-1.41650000000008,-0.499999892788239)); #44861=CARTESIAN_POINT('',(31.5062451074137,-1.00000000000008,-0.499999892788239)); #44862=CARTESIAN_POINT('Origin',(31.6397451074137,-1.00000000000008,-0.499999892788239)); #44863=CARTESIAN_POINT('',(31.5062451074137,-1.41650000000008,-0.499999892788239)); #44864=CARTESIAN_POINT('Origin',(37.6397451074106,-1.87310744632017,-0.499999892787592)); #44865=CARTESIAN_POINT('',(37.5062451074106,-1.83300000000008,-0.499999892787592)); #44866=CARTESIAN_POINT('Origin',(37.6397451074106,-1.83300000000008,-0.499999892787592)); #44867=CARTESIAN_POINT('',(37.6397451074106,-1.91321489264026,-0.499999892787592)); #44868=CARTESIAN_POINT('',(37.5729951074106,-1.87310744632017,-0.499999892787592)); #44869=CARTESIAN_POINT('Origin',(37.6397451074106,-1.41650000000008,-0.499999892787592)); #44870=CARTESIAN_POINT('',(37.5062451074106,-1.00000000000008,-0.499999892787592)); #44871=CARTESIAN_POINT('Origin',(37.6397451074106,-1.00000000000008,-0.499999892787592)); #44872=CARTESIAN_POINT('',(37.5062451074106,-1.41650000000008,-0.499999892787592)); #44873=CARTESIAN_POINT('Origin',(43.6397451074074,-1.41650000000008,-0.499999892786928)); #44874=CARTESIAN_POINT('',(43.5062451074074,-1.00000000000008,-0.499999892786928)); #44875=CARTESIAN_POINT('Origin',(43.6397451074074,-1.00000000000008,-0.499999892786928)); #44876=CARTESIAN_POINT('',(43.5062451074074,-1.41650000000008,-0.499999892786928)); #44877=CARTESIAN_POINT('Origin',(-15.7276587386854,-1.62197262281451E-5, -1.65304354424779)); #44878=CARTESIAN_POINT('',(-15.796285233674,-10.8091578641676,-1.)); #44879=CARTESIAN_POINT('',(-15.9013894689396,-10.8091578641676,8.39223703653662E-15)); #44880=CARTESIAN_POINT('',(-15.7276477131586,-10.8091578641676,-1.65304238541825)); #44881=CARTESIAN_POINT('',(-15.7962874717431,-8.63898638040642,-1.)); #44882=CARTESIAN_POINT('',(-15.9014006162641,-1.63989039262064E-5,-1.63910879619856E-17)); #44883=CARTESIAN_POINT('Origin',(12.646137759581,-17.2779271380141,-1.)); #44884=CARTESIAN_POINT('',(-3.60717518318185,-1.00000000000009,-1.)); #44885=CARTESIAN_POINT('',(-1.3112521540522,0.847638699086152,-1.)); #44886=CARTESIAN_POINT('',(44.4464240963185,-1.00000000000008,-1.)); #44887=CARTESIAN_POINT('',(-3.86746652429916,-1.00000000000009,-1.)); #44888=CARTESIAN_POINT('',(41.2159553408991,-27.9433446149102,-1.)); #44889=CARTESIAN_POINT('',(43.2590958623437,-10.9027714554834,-1.)); #44890=CARTESIAN_POINT('',(-3.96034192065787,-39.4370078740147,-1.)); #44891=CARTESIAN_POINT('Origin',(-3.96034192065838,54.1233663829328,-1.)); #44892=CARTESIAN_POINT('',(1.81048770903125,-39.4370078740145,-1.)); #44893=CARTESIAN_POINT('',(-2.0619000391667,-36.2385447700851,-1.)); #44894=CARTESIAN_POINT('',(-3.7720007557951,-35.9370078740146,-1.)); #44895=CARTESIAN_POINT('Origin',(-3.7720007557951,-40.9370078740146,-1.)); #44896=CARTESIAN_POINT('',(-1.40235643342847,-36.4785990107744,-1.)); #44897=CARTESIAN_POINT('',(-2.89036585225274,-35.9370078740146,-1.)); #44898=CARTESIAN_POINT('',(0.597258323048121,-36.7717470315952,-1.)); #44899=CARTESIAN_POINT('Origin',(0.307744283199927,-31.7801359068449,-1.)); #44900=CARTESIAN_POINT('',(37.4555843824674,-27.2668857971237,-1.)); #44901=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-1.)); #44902=CARTESIAN_POINT('',(40.2595324531346,-23.3529896995904,-1.)); #44903=CARTESIAN_POINT('Origin',(35.2950893243746,-22.7577549380826,-1.)); #44904=CARTESIAN_POINT('',(42.4243644307387,-5.29761738075396,-1.)); #44905=CARTESIAN_POINT('',(40.2859308178532,-23.1328191235212,-1.)); #44906=CARTESIAN_POINT('',(39.9421428663587,-2.50000000000008,-1.)); #44907=CARTESIAN_POINT('Origin',(39.9421428663587,-5.00000000000008,-1.)); #44908=CARTESIAN_POINT('',(1.04284307472866,-2.50000000000009,-1.)); #44909=CARTESIAN_POINT('',(27.2803194854045,-2.50000000000009,-1.)); #44910=CARTESIAN_POINT('',(-1.30676075860013,-4.1459731699727,-1.)); #44911=CARTESIAN_POINT('Origin',(1.04284307472866,-5.00000000000009,-1.)); #44912=CARTESIAN_POINT('',(-11.6429575870253,-32.5829810439873,-1.)); #44913=CARTESIAN_POINT('',(-2.391180258946,-7.12943472570325,-1.)); #44914=CARTESIAN_POINT('',(-9.29335375369653,-35.9370078740146,-1.)); #44915=CARTESIAN_POINT('Origin',(-9.29335375369653,-33.4370078740146,-1.)); #44916=CARTESIAN_POINT('',(0.749529449724432,-35.9370078740146,-1.)); #44917=CARTESIAN_POINT('Origin',(-0.966533966667988,1.20103978857258,-0.10158681342486)); #44918=CARTESIAN_POINT('',(-3.71227941844744,-1.00000000000009,1.57791540114048E-14)); #44919=CARTESIAN_POINT('',(-0.970730785919862,1.20625486334572,1.93239828939336E-14)); #44920=CARTESIAN_POINT('',(-3.69197528659209,-1.00000000000009,-0.193180910398541)); #44921=CARTESIAN_POINT('Origin',(-3.7079633989208,-1.00000000000009,0.)); #44922=CARTESIAN_POINT('',(-3.60717518318185,-1.00000000000009,1.)); #44923=CARTESIAN_POINT('',(-3.69197528659209,-1.00000000000009,0.193180910398542)); #44924=CARTESIAN_POINT('',(44.4464237192307,-1.00000000000008,1.)); #44925=CARTESIAN_POINT('',(45.6430320861992,-1.00000000000008,1.)); #44926=CARTESIAN_POINT('',(44.3413196853632,-1.00000000000008,1.91617444963056E-6)); #44927=CARTESIAN_POINT('',(44.1576459708881,-1.00000000000008,-1.74753674400284)); #44928=CARTESIAN_POINT('',(44.0788303267815,-1.00000000000008,2.49742194993998)); #44929=CARTESIAN_POINT('Origin',(-0.966533966667989,1.20103978857258,0.10158681342486)); #44930=CARTESIAN_POINT('',(-15.796285233674,-10.8091578641676,1.)); #44931=CARTESIAN_POINT('',(-15.7276477131586,-10.8091578641676,1.65304238541825)); #44932=CARTESIAN_POINT('',(-1.3112521540522,0.847638699086153,1.)); #44933=CARTESIAN_POINT('Origin',(-15.7276587386854,-1.62197262281451E-5, 1.65304354424779)); #44934=CARTESIAN_POINT('',(-15.7962874717431,-8.63898638040642,1.)); #44935=CARTESIAN_POINT('Origin',(12.646137759581,-17.2779271380141,1.)); #44936=CARTESIAN_POINT('',(-3.74052645969016,-39.4370078740145,1.)); #44937=CARTESIAN_POINT('',(-4.39036585225313,-39.4370078740145,1.)); #44938=CARTESIAN_POINT('',(41.2004995345438,-28.0722538929936,1.)); #44939=CARTESIAN_POINT('Origin',(-3.74052645969055,46.6180736922471,1.)); #44940=CARTESIAN_POINT('',(43.2590957403286,-10.9027711893997,1.)); #44941=CARTESIAN_POINT('',(-3.7720007557951,-35.9370078740146,1.)); #44942=CARTESIAN_POINT('',(-2.0619000391667,-36.2385447700851,1.)); #44943=CARTESIAN_POINT('Origin',(-3.7720007557951,-40.9370078740146,1.)); #44944=CARTESIAN_POINT('',(-9.29335375369653,-35.9370078740146,1.)); #44945=CARTESIAN_POINT('',(0.749529449724432,-35.9370078740146,1.)); #44946=CARTESIAN_POINT('',(-11.6429575870253,-32.5829810439873,1.)); #44947=CARTESIAN_POINT('Origin',(-9.29335375369653,-33.4370078740146,1.)); #44948=CARTESIAN_POINT('',(-1.30676075860013,-4.1459731699727,1.)); #44949=CARTESIAN_POINT('',(-2.391180258946,-7.12943472570325,1.)); #44950=CARTESIAN_POINT('',(1.04284307472866,-2.50000000000009,1.)); #44951=CARTESIAN_POINT('Origin',(1.04284307472866,-5.00000000000009,1.)); #44952=CARTESIAN_POINT('',(39.9421428663587,-2.50000000000008,1.)); #44953=CARTESIAN_POINT('',(27.2803194854045,-2.50000000000009,1.)); #44954=CARTESIAN_POINT('',(42.4243644307387,-5.29761738075396,1.)); #44955=CARTESIAN_POINT('Origin',(39.9421428663587,-5.00000000000008,1.)); #44956=CARTESIAN_POINT('',(40.2595324531346,-23.3529896995904,1.)); #44957=CARTESIAN_POINT('',(40.2859308178532,-23.1328191235212,1.)); #44958=CARTESIAN_POINT('',(37.4555843824674,-27.2668857971237,1.)); #44959=CARTESIAN_POINT('Origin',(35.2950893243746,-22.7577549380826,1.)); #44960=CARTESIAN_POINT('',(0.597258323048121,-36.7717470315952,1.)); #44961=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,1.)); #44962=CARTESIAN_POINT('',(-1.40235643342847,-36.4785990107744,1.)); #44963=CARTESIAN_POINT('Origin',(0.307744283199927,-31.7801359068449,1.)); #44964=CARTESIAN_POINT('',(3.79017311193407,-38.3685252058343,1.)); #44965=CARTESIAN_POINT('Origin',(-3.7720007557951,-40.9370078740146,-1.)); #44966=CARTESIAN_POINT('',(-2.0619000391667,-36.2385447700851,-1.)); #44967=CARTESIAN_POINT('',(-3.7720007557951,-35.9370078740146,-1.)); #44968=CARTESIAN_POINT('Origin',(-11.1470788601322,-35.9370078740146,-1.)); #44969=CARTESIAN_POINT('',(-9.29335375369653,-35.9370078740146,-1.)); #44970=CARTESIAN_POINT('Origin',(0.307744283199927,-31.7801359068449,-1.)); #44971=CARTESIAN_POINT('',(-1.40235643342847,-36.4785990107744,-1.)); #44972=CARTESIAN_POINT('',(0.597258323048121,-36.7717470315952,-1.)); #44973=CARTESIAN_POINT('Origin',(-0.445945549601973,-36.8267041042155,-1.)); #44974=CARTESIAN_POINT('Origin',(-9.29335375369653,-33.4370078740146,-1.)); #44975=CARTESIAN_POINT('',(-11.6429575870253,-32.5829810439872,-1.)); #44976=CARTESIAN_POINT('Origin',(39.9421428663587,-5.00000000000008,-1.)); #44977=CARTESIAN_POINT('',(39.9421428663587,-2.50000000000008,-1.)); #44978=CARTESIAN_POINT('',(42.4243644307387,-5.29761738075396,-1.)); #44979=CARTESIAN_POINT('Origin',(35.2950893243746,-22.7577549380826,-1.)); #44980=CARTESIAN_POINT('',(40.2595324531346,-23.3529896995904,-1.)); #44981=CARTESIAN_POINT('',(37.4555843824674,-27.2668857971237,-1.)); #44982=CARTESIAN_POINT('Origin',(1.04284307472866,-5.00000000000009,-1.)); #44983=CARTESIAN_POINT('',(-1.30676075860013,-4.1459731699727,-1.)); #44984=CARTESIAN_POINT('',(1.04284307472866,-2.50000000000009,-1.)); #44985=CARTESIAN_POINT('Origin',(41.9145012112279,-2.50000000000008,-1.)); #44986=CARTESIAN_POINT('Origin',(44.342865417432,-0.987108002311586,1.91755657861523E-6)); #44987=CARTESIAN_POINT('',(41.110851235338,-27.9433445999351,-9.72375363780087E-7)); #44988=CARTESIAN_POINT('',(44.342865417432,-0.987108002311586,1.91755657861523E-6)); #44989=CARTESIAN_POINT('',(41.1368089780131,-27.9433446036335,-0.246972461223335)); #44990=CARTESIAN_POINT('Origin',(44.4982874558304,-1.00574304305224,1.50000191755657)); #44991=CARTESIAN_POINT('',(41.1107975401391,-27.9437932909028,0.)); #44992=CARTESIAN_POINT('Origin',(75.9261430988624,46.6180736922469,246.18879736194)); #44993=CARTESIAN_POINT('',(41.1108513518142,-27.9433444808726,0.)); #44994=CARTESIAN_POINT('',(42.2645673555762,-18.3209100686322,8.74191357972564E-17)); #44995=CARTESIAN_POINT('Origin',(23.4425427155246,54.1233663829332,-261.721031525243)); #44996=CARTESIAN_POINT('Origin',(-3.18596081840143,54.1233663829328,-8.36774403332338)); #44997=CARTESIAN_POINT('',(-4.06544615592307,-39.4370078740144,0.)); #44998=CARTESIAN_POINT('Origin',(-4.06544615592422,54.1233663829328,0.)); #44999=CARTESIAN_POINT('',(-3.18596081840091,-39.4370078740147,-8.3677440333235)); #45000=CARTESIAN_POINT('Origin',(18.4911022639218,-33.0534927450875,0.)); #45001=CARTESIAN_POINT('Origin',(-4.06544615592346,46.6180736922471,0.)); #45002=CARTESIAN_POINT('Origin',(3.62097541806254,-39.4370078740145,23.6563731380442)); #45003=CARTESIAN_POINT('',(3.62097541806254,-39.4370078740145,23.6563731380442)); #45004=CARTESIAN_POINT('Origin',(3.62097541806222,46.6180736922471,23.6563731380444)); #45005=CARTESIAN_POINT('Origin',(-0.887729067577286,-2.99313062112451,-1.)); #45006=CARTESIAN_POINT('Origin',(39.9855964842705,-25.6377009199215,-1.)); #45007=CARTESIAN_POINT('Origin',(-5.1061682619613,61.5629921259854,-1.)); #45008=CARTESIAN_POINT('',(0.,0.,0.)); #45009=CARTESIAN_POINT('Origin',(8.9025782749962,-19.9500170014711,0.)); #45010=CARTESIAN_POINT('',(9.0685782749962,-19.9500170014711,0.03)); #45011=CARTESIAN_POINT('Origin',(8.9025782749962,-19.9500170014711,0.03)); #45012=CARTESIAN_POINT('',(9.0685782749962,-19.9500170014711,0.)); #45013=CARTESIAN_POINT('',(9.0685782749962,-19.9500170014711,0.)); #45014=CARTESIAN_POINT('Origin',(8.9025782749962,-19.9500170014711,0.)); #45015=CARTESIAN_POINT('Origin',(6.90065586379791,-17.8030124642916,0.)); #45016=CARTESIAN_POINT('',(7.06665586379791,-17.8030124642916,0.03)); #45017=CARTESIAN_POINT('Origin',(6.90065586379791,-17.8030124642916,0.03)); #45018=CARTESIAN_POINT('',(7.06665586379791,-17.8030124642916,0.)); #45019=CARTESIAN_POINT('',(7.06665586379791,-17.8030124642916,0.)); #45020=CARTESIAN_POINT('Origin',(6.90065586379791,-17.8030124642916,0.)); #45021=CARTESIAN_POINT('Origin',(5.87279308959202,-13.4237906319696,0.)); #45022=CARTESIAN_POINT('',(6.03879308959201,-13.4237906319696,0.03)); #45023=CARTESIAN_POINT('Origin',(5.87279308959202,-13.4237906319696,0.03)); #45024=CARTESIAN_POINT('',(6.03879308959202,-13.4237906319696,0.)); #45025=CARTESIAN_POINT('',(6.03879308959202,-13.4237906319696,0.)); #45026=CARTESIAN_POINT('Origin',(5.87279308959202,-13.4237906319696,0.)); #45027=CARTESIAN_POINT('Origin',(5.36790747882411,-10.4656681818976,0.)); #45028=CARTESIAN_POINT('',(5.53390747882411,-10.4656681818976,0.03)); #45029=CARTESIAN_POINT('Origin',(5.36790747882411,-10.4656681818976,0.03)); #45030=CARTESIAN_POINT('',(5.53390747882411,-10.4656681818976,0.)); #45031=CARTESIAN_POINT('',(5.53390747882411,-10.4656681818976,0.)); #45032=CARTESIAN_POINT('Origin',(5.36790747882411,-10.4656681818976,0.)); #45033=CARTESIAN_POINT('Origin',(4.60831810247377,-1.5004497583719,0.)); #45034=CARTESIAN_POINT('',(4.77431810247377,-1.5004497583719,0.03)); #45035=CARTESIAN_POINT('Origin',(4.60831810247377,-1.5004497583719,0.03)); #45036=CARTESIAN_POINT('',(4.77431810247377,-1.5004497583719,0.)); #45037=CARTESIAN_POINT('',(4.77431810247377,-1.5004497583719,0.)); #45038=CARTESIAN_POINT('Origin',(4.60831810247377,-1.5004497583719,0.)); #45039=CARTESIAN_POINT('Origin',(10.3386821981811,20.3557612825797,0.)); #45040=CARTESIAN_POINT('',(10.5046821981811,20.3557612825797,0.03)); #45041=CARTESIAN_POINT('Origin',(10.3386821981811,20.3557612825797,0.03)); #45042=CARTESIAN_POINT('',(10.5046821981811,20.3557612825797,0.)); #45043=CARTESIAN_POINT('',(10.5046821981811,20.3557612825797,0.)); #45044=CARTESIAN_POINT('Origin',(10.3386821981811,20.3557612825797,0.)); #45045=CARTESIAN_POINT('Origin',(7.70442409334417,19.0603825502285,0.)); #45046=CARTESIAN_POINT('',(7.87042409334417,19.0603825502285,0.03)); #45047=CARTESIAN_POINT('Origin',(7.70442409334417,19.0603825502285,0.03)); #45048=CARTESIAN_POINT('',(7.87042409334417,19.0603825502285,0.)); #45049=CARTESIAN_POINT('',(7.87042409334417,19.0603825502285,0.)); #45050=CARTESIAN_POINT('Origin',(7.70442409334417,19.0603825502285,0.)); #45051=CARTESIAN_POINT('Origin',(44.8253098421234,-7.45653041250363,0.)); #45052=CARTESIAN_POINT('',(44.9913098421234,-7.45653041250363,0.03)); #45053=CARTESIAN_POINT('Origin',(44.8253098421234,-7.45653041250363,0.03)); #45054=CARTESIAN_POINT('',(44.9913098421234,-7.45653041250363,0.)); #45055=CARTESIAN_POINT('',(44.9913098421234,-7.45653041250363,0.)); #45056=CARTESIAN_POINT('Origin',(44.8253098421234,-7.45653041250363,0.)); #45057=CARTESIAN_POINT('Origin',(44.8253098421232,7.45653041250288,0.)); #45058=CARTESIAN_POINT('',(44.9913098421232,7.45653041250288,0.03)); #45059=CARTESIAN_POINT('Origin',(44.8253098421232,7.45653041250288,0.03)); #45060=CARTESIAN_POINT('',(44.9913098421232,7.45653041250288,0.)); #45061=CARTESIAN_POINT('',(44.9913098421232,7.45653041250288,0.)); #45062=CARTESIAN_POINT('Origin',(44.8253098421232,7.45653041250288,0.)); #45063=CARTESIAN_POINT('Origin',(6.90065586379736,17.8030124642896,0.)); #45064=CARTESIAN_POINT('',(7.06665586379736,17.8030124642896,0.03)); #45065=CARTESIAN_POINT('Origin',(6.90065586379736,17.8030124642896,0.03)); #45066=CARTESIAN_POINT('',(7.06665586379736,17.8030124642896,0.)); #45067=CARTESIAN_POINT('',(7.06665586379736,17.8030124642896,0.)); #45068=CARTESIAN_POINT('Origin',(6.90065586379736,17.8030124642896,0.)); #45069=CARTESIAN_POINT('Origin',(6.17199922260545,14.8941907496339,0.)); #45070=CARTESIAN_POINT('',(6.33799922260545,14.8941907496339,0.03)); #45071=CARTESIAN_POINT('Origin',(6.17199922260545,14.8941907496339,0.03)); #45072=CARTESIAN_POINT('',(6.33799922260545,14.8941907496339,0.)); #45073=CARTESIAN_POINT('',(6.33799922260545,14.8941907496339,0.)); #45074=CARTESIAN_POINT('Origin',(6.17199922260545,14.8941907496339,0.)); #45075=CARTESIAN_POINT('Origin',(5.60472260991587,11.9473967028305,0.)); #45076=CARTESIAN_POINT('',(5.77072260991587,11.9473967028305,0.03)); #45077=CARTESIAN_POINT('Origin',(5.60472260991587,11.9473967028305,0.03)); #45078=CARTESIAN_POINT('',(5.77072260991587,11.9473967028305,0.)); #45079=CARTESIAN_POINT('',(5.77072260991587,11.9473967028305,0.)); #45080=CARTESIAN_POINT('Origin',(5.60472260991587,11.9473967028305,0.)); #45081=CARTESIAN_POINT('Origin',(4.98845221755814,7.48885585756632,0.)); #45082=CARTESIAN_POINT('',(5.15445221755814,7.48885585756632,0.03)); #45083=CARTESIAN_POINT('Origin',(4.98845221755814,7.48885585756632,0.03)); #45084=CARTESIAN_POINT('',(5.15445221755814,7.48885585756632,0.)); #45085=CARTESIAN_POINT('',(5.15445221755814,7.48885585756632,0.)); #45086=CARTESIAN_POINT('Origin',(4.98845221755814,7.48885585756632,0.)); #45087=CARTESIAN_POINT('Origin',(10.3386821981817,-20.3557612825817,0.)); #45088=CARTESIAN_POINT('',(10.5046821981817,-20.3557612825817,0.03)); #45089=CARTESIAN_POINT('Origin',(10.3386821981817,-20.3557612825817,0.03)); #45090=CARTESIAN_POINT('',(10.5046821981817,-20.3557612825817,0.)); #45091=CARTESIAN_POINT('',(10.5046821981817,-20.3557612825817,0.)); #45092=CARTESIAN_POINT('Origin',(10.3386821981817,-20.3557612825817,0.)); #45093=CARTESIAN_POINT('Origin',(13.253557196602,19.7648505953893,0.)); #45094=CARTESIAN_POINT('',(13.419557196602,19.7648505953893,0.03)); #45095=CARTESIAN_POINT('Origin',(13.253557196602,19.7648505953893,0.03)); #45096=CARTESIAN_POINT('',(13.419557196602,19.7648505953893,0.)); #45097=CARTESIAN_POINT('',(13.419557196602,19.7648505953893,0.)); #45098=CARTESIAN_POINT('Origin',(13.253557196602,19.7648505953893,0.)); #45099=CARTESIAN_POINT('Origin',(20.3891508198391,17.4463556828859,0.)); #45100=CARTESIAN_POINT('',(20.5551508198391,17.4463556828859,0.03)); #45101=CARTESIAN_POINT('Origin',(20.3891508198391,17.4463556828859,0.03)); #45102=CARTESIAN_POINT('',(20.5551508198391,17.4463556828859,0.)); #45103=CARTESIAN_POINT('',(20.5551508198391,17.4463556828859,0.)); #45104=CARTESIAN_POINT('Origin',(20.3891508198391,17.4463556828859,0.)); #45105=CARTESIAN_POINT('Origin',(6.1719992226059,-14.8941907496359,0.)); #45106=CARTESIAN_POINT('',(6.3379992226059,-14.8941907496359,0.03)); #45107=CARTESIAN_POINT('Origin',(6.1719992226059,-14.8941907496359,0.03)); #45108=CARTESIAN_POINT('',(6.33799922260591,-14.8941907496359,0.)); #45109=CARTESIAN_POINT('',(6.33799922260591,-14.8941907496359,0.)); #45110=CARTESIAN_POINT('Origin',(6.1719992226059,-14.8941907496359,0.)); #45111=CARTESIAN_POINT('Origin',(44.9152744200303,5.96692332519265,0.)); #45112=CARTESIAN_POINT('',(45.0812744200303,5.96692332519265,0.03)); #45113=CARTESIAN_POINT('Origin',(44.9152744200303,5.96692332519265,0.03)); #45114=CARTESIAN_POINT('',(45.0812744200303,5.96692332519265,0.)); #45115=CARTESIAN_POINT('',(45.0812744200303,5.96692332519265,0.)); #45116=CARTESIAN_POINT('Origin',(44.9152744200303,5.96692332519265,0.)); #45117=CARTESIAN_POINT('Origin',(40.368812964903,10.9545699278765,0.)); #45118=CARTESIAN_POINT('',(40.534812964903,10.9545699278765,0.03)); #45119=CARTESIAN_POINT('Origin',(40.368812964903,10.9545699278765,0.03)); #45120=CARTESIAN_POINT('',(40.534812964903,10.9545699278765,0.)); #45121=CARTESIAN_POINT('',(40.534812964903,10.9545699278765,0.)); #45122=CARTESIAN_POINT('Origin',(40.368812964903,10.9545699278765,0.)); #45123=CARTESIAN_POINT('Origin',(33.2332193416659,13.2730648403798,0.)); #45124=CARTESIAN_POINT('',(33.3992193416659,13.2730648403798,0.03)); #45125=CARTESIAN_POINT('Origin',(33.2332193416659,13.2730648403798,0.03)); #45126=CARTESIAN_POINT('',(33.3992193416659,13.2730648403798,0.)); #45127=CARTESIAN_POINT('',(33.3992193416659,13.2730648403798,0.)); #45128=CARTESIAN_POINT('Origin',(33.2332193416659,13.2730648403798,0.)); #45129=CARTESIAN_POINT('Origin',(38.9416942402556,11.4182689103771,0.)); #45130=CARTESIAN_POINT('',(39.1076942402556,11.4182689103771,0.03)); #45131=CARTESIAN_POINT('Origin',(38.9416942402556,11.4182689103771,0.03)); #45132=CARTESIAN_POINT('',(39.1076942402556,11.4182689103771,0.)); #45133=CARTESIAN_POINT('',(39.1076942402556,11.4182689103771,0.)); #45134=CARTESIAN_POINT('Origin',(38.9416942402556,11.4182689103771,0.)); #45135=CARTESIAN_POINT('Origin',(11.8252319012502,-20.2246396590788,0.)); #45136=CARTESIAN_POINT('',(11.9912319012502,-20.2246396590788,0.03)); #45137=CARTESIAN_POINT('Origin',(11.8252319012502,-20.2246396590788,0.03)); #45138=CARTESIAN_POINT('',(11.9912319012502,-20.2246396590788,0.)); #45139=CARTESIAN_POINT('',(11.9912319012502,-20.2246396590788,0.)); #45140=CARTESIAN_POINT('Origin',(11.8252319012502,-20.2246396590788,0.)); #45141=CARTESIAN_POINT('Origin',(4.8459815166734,-5.99510122060305,0.)); #45142=CARTESIAN_POINT('',(5.0119815166734,-5.99510122060305,0.03)); #45143=CARTESIAN_POINT('Origin',(4.8459815166734,-5.99510122060305,0.03)); #45144=CARTESIAN_POINT('',(5.0119815166734,-5.99510122060305,0.)); #45145=CARTESIAN_POINT('',(5.0119815166734,-5.99510122060305,0.)); #45146=CARTESIAN_POINT('Origin',(4.8459815166734,-5.99510122060305,0.)); #45147=CARTESIAN_POINT('Origin',(16.1077946458974,-18.8374526303898,0.)); #45148=CARTESIAN_POINT('',(16.2737946458974,-18.8374526303898,0.03)); #45149=CARTESIAN_POINT('Origin',(16.1077946458974,-18.8374526303898,0.03)); #45150=CARTESIAN_POINT('',(16.2737946458974,-18.8374526303898,0.)); #45151=CARTESIAN_POINT('',(16.2737946458974,-18.8374526303898,0.)); #45152=CARTESIAN_POINT('Origin',(16.1077946458974,-18.8374526303898,0.)); #45153=CARTESIAN_POINT('Origin',(18.9620320951922,-17.9100546653883,0.)); #45154=CARTESIAN_POINT('',(19.1280320951922,-17.9100546653883,0.03)); #45155=CARTESIAN_POINT('Origin',(18.9620320951922,-17.9100546653883,0.03)); #45156=CARTESIAN_POINT('',(19.1280320951922,-17.9100546653883,0.)); #45157=CARTESIAN_POINT('',(19.1280320951922,-17.9100546653883,0.)); #45158=CARTESIAN_POINT('Origin',(18.9620320951922,-17.9100546653883,0.)); #45159=CARTESIAN_POINT('Origin',(24.6705069937818,-16.0552587353854,0.)); #45160=CARTESIAN_POINT('',(24.8365069937818,-16.0552587353854,0.03)); #45161=CARTESIAN_POINT('Origin',(24.6705069937818,-16.0552587353854,0.03)); #45162=CARTESIAN_POINT('',(24.8365069937818,-16.0552587353854,0.)); #45163=CARTESIAN_POINT('',(24.8365069937818,-16.0552587353854,0.)); #45164=CARTESIAN_POINT('Origin',(24.6705069937818,-16.0552587353854,0.)); #45165=CARTESIAN_POINT('Origin',(30.3789818923714,-14.2004628053824,0.)); #45166=CARTESIAN_POINT('',(30.5449818923714,-14.2004628053824,0.03)); #45167=CARTESIAN_POINT('Origin',(30.3789818923714,-14.2004628053824,0.03)); #45168=CARTESIAN_POINT('',(30.5449818923714,-14.2004628053824,0.)); #45169=CARTESIAN_POINT('',(30.5449818923714,-14.2004628053824,0.)); #45170=CARTESIAN_POINT('Origin',(30.3789818923714,-14.2004628053824,0.)); #45171=CARTESIAN_POINT('Origin',(40.3688129649033,-10.9545699278773,0.)); #45172=CARTESIAN_POINT('',(40.5348129649033,-10.9545699278773,0.03)); #45173=CARTESIAN_POINT('Origin',(40.3688129649033,-10.9545699278773,0.03)); #45174=CARTESIAN_POINT('',(40.5348129649033,-10.9545699278773,0.)); #45175=CARTESIAN_POINT('',(40.5348129649033,-10.9545699278773,0.)); #45176=CARTESIAN_POINT('Origin',(40.3688129649033,-10.9545699278773,0.)); #45177=CARTESIAN_POINT('Origin',(14.68067592125,-19.3011516128905,0.)); #45178=CARTESIAN_POINT('',(14.84667592125,-19.3011516128905,0.03)); #45179=CARTESIAN_POINT('Origin',(14.68067592125,-19.3011516128905,0.03)); #45180=CARTESIAN_POINT('',(14.84667592125,-19.3011516128905,0.)); #45181=CARTESIAN_POINT('',(14.84667592125,-19.3011516128905,0.)); #45182=CARTESIAN_POINT('Origin',(14.68067592125,-19.3011516128905,0.)); #45183=CARTESIAN_POINT('Origin',(41.7959316895507,-10.4908709453765,0.)); #45184=CARTESIAN_POINT('',(41.9619316895507,-10.4908709453765,0.03)); #45185=CARTESIAN_POINT('Origin',(41.7959316895507,-10.4908709453765,0.03)); #45186=CARTESIAN_POINT('',(41.9619316895507,-10.4908709453765,0.)); #45187=CARTESIAN_POINT('',(41.9619316895507,-10.4908709453765,0.)); #45188=CARTESIAN_POINT('Origin',(41.7959316895507,-10.4908709453765,0.)); #45189=CARTESIAN_POINT('Origin',(37.5145755156085,-11.8819678928788,0.)); #45190=CARTESIAN_POINT('',(37.6805755156085,-11.8819678928788,0.03)); #45191=CARTESIAN_POINT('Origin',(37.5145755156085,-11.8819678928788,0.03)); #45192=CARTESIAN_POINT('',(37.6805755156085,-11.8819678928788,0.)); #45193=CARTESIAN_POINT('',(37.6805755156085,-11.8819678928788,0.)); #45194=CARTESIAN_POINT('Origin',(37.5145755156085,-11.8819678928788,0.)); #45195=CARTESIAN_POINT('Origin',(34.6603380663137,-12.8093658578802,0.)); #45196=CARTESIAN_POINT('',(34.8263380663137,-12.8093658578802,0.03)); #45197=CARTESIAN_POINT('Origin',(34.6603380663137,-12.8093658578802,0.03)); #45198=CARTESIAN_POINT('',(34.8263380663137,-12.8093658578802,0.)); #45199=CARTESIAN_POINT('',(34.8263380663137,-12.8093658578802,0.)); #45200=CARTESIAN_POINT('Origin',(34.6603380663137,-12.8093658578802,0.)); #45201=CARTESIAN_POINT('Origin',(26.0976257184292,-15.5915597528846,0.)); #45202=CARTESIAN_POINT('',(26.2636257184292,-15.5915597528846,0.03)); #45203=CARTESIAN_POINT('Origin',(26.0976257184292,-15.5915597528846,0.03)); #45204=CARTESIAN_POINT('',(26.2636257184292,-15.5915597528846,0.)); #45205=CARTESIAN_POINT('',(26.2636257184292,-15.5915597528846,0.)); #45206=CARTESIAN_POINT('Origin',(26.0976257184292,-15.5915597528846,0.)); #45207=CARTESIAN_POINT('Origin',(23.2433882691344,-16.5189577178861,0.)); #45208=CARTESIAN_POINT('',(23.4093882691344,-16.5189577178861,0.03)); #45209=CARTESIAN_POINT('Origin',(23.2433882691344,-16.5189577178861,0.03)); #45210=CARTESIAN_POINT('',(23.4093882691344,-16.5189577178861,0.)); #45211=CARTESIAN_POINT('',(23.4093882691344,-16.5189577178861,0.)); #45212=CARTESIAN_POINT('Origin',(23.2433882691344,-16.5189577178861,0.)); #45213=CARTESIAN_POINT('Origin',(17.5349133705443,18.3737536478873,0.)); #45214=CARTESIAN_POINT('',(17.7009133705443,18.3737536478873,0.03)); #45215=CARTESIAN_POINT('Origin',(17.5349133705443,18.3737536478873,0.03)); #45216=CARTESIAN_POINT('',(17.7009133705443,18.3737536478873,0.)); #45217=CARTESIAN_POINT('',(17.7009133705443,18.3737536478873,0.)); #45218=CARTESIAN_POINT('Origin',(17.5349133705443,18.3737536478873,0.)); #45219=CARTESIAN_POINT('Origin',(4.65587201655522,3.00022955598065,0.)); #45220=CARTESIAN_POINT('',(4.82187201655522,3.00022955598065,0.03)); #45221=CARTESIAN_POINT('Origin',(4.65587201655522,3.00022955598065,0.03)); #45222=CARTESIAN_POINT('',(4.82187201655522,3.00022955598065,0.)); #45223=CARTESIAN_POINT('',(4.82187201655522,3.00022955598065,0.)); #45224=CARTESIAN_POINT('Origin',(4.65587201655522,3.00022955598065,0.)); #45225=CARTESIAN_POINT('Origin',(11.8252319012496,20.2246396590768,0.)); #45226=CARTESIAN_POINT('',(11.9912319012496,20.2246396590768,0.03)); #45227=CARTESIAN_POINT('Origin',(11.8252319012496,20.2246396590768,0.03)); #45228=CARTESIAN_POINT('',(11.9912319012496,20.2246396590768,0.)); #45229=CARTESIAN_POINT('',(11.9912319012496,20.2246396590768,0.)); #45230=CARTESIAN_POINT('Origin',(11.8252319012496,20.2246396590768,0.)); #45231=CARTESIAN_POINT('Origin',(18.9620320951917,17.9100546653866,0.)); #45232=CARTESIAN_POINT('',(19.1280320951917,17.9100546653866,0.03)); #45233=CARTESIAN_POINT('Origin',(18.9620320951917,17.9100546653866,0.03)); #45234=CARTESIAN_POINT('',(19.1280320951917,17.9100546653866,0.)); #45235=CARTESIAN_POINT('',(19.1280320951917,17.9100546653866,0.)); #45236=CARTESIAN_POINT('Origin',(18.9620320951917,17.9100546653866,0.)); #45237=CARTESIAN_POINT('Origin',(44.6733239451714,4.48613570830768,0.)); #45238=CARTESIAN_POINT('',(44.8393239451714,4.48613570830768,0.03)); #45239=CARTESIAN_POINT('Origin',(44.6733239451714,4.48613570830768,0.03)); #45240=CARTESIAN_POINT('',(44.8393239451714,4.48613570830768,0.)); #45241=CARTESIAN_POINT('',(44.8393239451714,4.48613570830768,0.)); #45242=CARTESIAN_POINT('Origin',(44.6733239451714,4.48613570830768,0.)); #45243=CARTESIAN_POINT('Origin',(36.0874567909608,12.3456668753785,0.)); #45244=CARTESIAN_POINT('',(36.2534567909608,12.3456668753785,0.03)); #45245=CARTESIAN_POINT('Origin',(36.0874567909608,12.3456668753785,0.03)); #45246=CARTESIAN_POINT('',(36.2534567909608,12.3456668753785,0.)); #45247=CARTESIAN_POINT('',(36.2534567909608,12.3456668753785,0.)); #45248=CARTESIAN_POINT('Origin',(36.0874567909608,12.3456668753785,0.)); #45249=CARTESIAN_POINT('Origin',(31.8061006170185,13.7367638228805,0.)); #45250=CARTESIAN_POINT('',(31.9721006170185,13.7367638228805,0.03)); #45251=CARTESIAN_POINT('Origin',(31.8061006170185,13.7367638228805,0.03)); #45252=CARTESIAN_POINT('',(31.9721006170185,13.7367638228805,0.)); #45253=CARTESIAN_POINT('',(31.9721006170185,13.7367638228805,0.)); #45254=CARTESIAN_POINT('Origin',(31.8061006170185,13.7367638228805,0.)); #45255=CARTESIAN_POINT('Origin',(24.6705069937814,16.0552587353839,0.)); #45256=CARTESIAN_POINT('',(24.8365069937814,16.0552587353839,0.03)); #45257=CARTESIAN_POINT('Origin',(24.6705069937814,16.0552587353839,0.03)); #45258=CARTESIAN_POINT('',(24.8365069937814,16.0552587353839,0.)); #45259=CARTESIAN_POINT('',(24.8365069937814,16.0552587353839,0.)); #45260=CARTESIAN_POINT('Origin',(24.6705069937814,16.0552587353839,0.)); #45261=CARTESIAN_POINT('Origin',(44.9152744200304,-5.96692332519338,0.)); #45262=CARTESIAN_POINT('',(45.0812744200304,-5.96692332519338,0.03)); #45263=CARTESIAN_POINT('Origin',(44.9152744200304,-5.96692332519338,0.03)); #45264=CARTESIAN_POINT('',(45.0812744200304,-5.96692332519338,0.)); #45265=CARTESIAN_POINT('',(45.0812744200304,-5.96692332519338,0.)); #45266=CARTESIAN_POINT('Origin',(44.9152744200304,-5.96692332519338,0.)); #45267=CARTESIAN_POINT('Origin',(28.9518631677237,14.6641617878819,0.)); #45268=CARTESIAN_POINT('',(29.1178631677237,14.6641617878819,0.03)); #45269=CARTESIAN_POINT('Origin',(28.9518631677237,14.6641617878819,0.03)); #45270=CARTESIAN_POINT('',(29.1178631677237,14.6641617878819,0.)); #45271=CARTESIAN_POINT('',(29.1178631677237,14.6641617878819,0.)); #45272=CARTESIAN_POINT('Origin',(28.9518631677237,14.6641617878819,0.)); #45273=CARTESIAN_POINT('Origin',(34.6603380663134,12.8093658578792,0.)); #45274=CARTESIAN_POINT('',(34.8263380663134,12.8093658578792,0.03)); #45275=CARTESIAN_POINT('Origin',(34.6603380663134,12.8093658578792,0.03)); #45276=CARTESIAN_POINT('',(34.8263380663134,12.8093658578792,0.)); #45277=CARTESIAN_POINT('',(34.8263380663134,12.8093658578792,0.)); #45278=CARTESIAN_POINT('Origin',(34.6603380663134,12.8093658578792,0.)); #45279=CARTESIAN_POINT('Origin',(41.7959316895505,10.4908709453758,0.)); #45280=CARTESIAN_POINT('',(41.9619316895505,10.4908709453758,0.03)); #45281=CARTESIAN_POINT('Origin',(41.7959316895505,10.4908709453758,0.03)); #45282=CARTESIAN_POINT('',(41.9619316895505,10.4908709453758,0.)); #45283=CARTESIAN_POINT('',(41.9619316895505,10.4908709453758,0.)); #45284=CARTESIAN_POINT('Origin',(41.7959316895505,10.4908709453758,0.)); #45285=CARTESIAN_POINT('Origin',(21.8162695444866,16.9826567003852,0.)); #45286=CARTESIAN_POINT('',(21.9822695444866,16.9826567003852,0.03)); #45287=CARTESIAN_POINT('Origin',(21.8162695444866,16.9826567003852,0.03)); #45288=CARTESIAN_POINT('',(21.9822695444866,16.9826567003852,0.)); #45289=CARTESIAN_POINT('',(21.9822695444866,16.9826567003852,0.)); #45290=CARTESIAN_POINT('Origin',(21.8162695444866,16.9826567003852,0.)); #45291=CARTESIAN_POINT('Origin',(14.6806759212495,19.3011516128886,0.)); #45292=CARTESIAN_POINT('',(14.8466759212494,19.3011516128886,0.03)); #45293=CARTESIAN_POINT('Origin',(14.6806759212495,19.3011516128886,0.03)); #45294=CARTESIAN_POINT('',(14.8466759212494,19.3011516128886,0.)); #45295=CARTESIAN_POINT('',(14.8466759212494,19.3011516128886,0.)); #45296=CARTESIAN_POINT('Origin',(14.6806759212495,19.3011516128886,0.)); #45297=CARTESIAN_POINT('Origin',(4.73510494729792,4.49866973121362,0.)); #45298=CARTESIAN_POINT('',(4.90110494729792,4.49866973121362,0.03)); #45299=CARTESIAN_POINT('Origin',(4.73510494729792,4.49866973121362,0.03)); #45300=CARTESIAN_POINT('',(4.90110494729792,4.49866973121362,0.)); #45301=CARTESIAN_POINT('',(4.90110494729792,4.49866973121362,0.)); #45302=CARTESIAN_POINT('Origin',(4.73510494729792,4.49866973121362,0.)); #45303=CARTESIAN_POINT('Origin',(4.60831810247371,1.50044975836977,0.)); #45304=CARTESIAN_POINT('',(4.77431810247371,1.50044975836977,0.03)); #45305=CARTESIAN_POINT('Origin',(4.60831810247371,1.50044975836977,0.03)); #45306=CARTESIAN_POINT('',(4.77431810247371,1.50044975836977,0.)); #45307=CARTESIAN_POINT('',(4.77431810247371,1.50044975836977,0.)); #45308=CARTESIAN_POINT('Origin',(4.60831810247371,1.50044975836977,0.)); #45309=CARTESIAN_POINT('Origin',(27.5247444430762,15.1278607703825,0.)); #45310=CARTESIAN_POINT('',(27.6907444430762,15.1278607703825,0.03)); #45311=CARTESIAN_POINT('Origin',(27.5247444430762,15.1278607703825,0.03)); #45312=CARTESIAN_POINT('',(27.6907444430762,15.1278607703825,0.)); #45313=CARTESIAN_POINT('',(27.6907444430762,15.1278607703825,0.)); #45314=CARTESIAN_POINT('Origin',(27.5247444430762,15.1278607703825,0.)); #45315=CARTESIAN_POINT('Origin',(21.816269544487,-16.9826567003868,0.)); #45316=CARTESIAN_POINT('',(21.982269544487,-16.9826567003868,0.03)); #45317=CARTESIAN_POINT('Origin',(21.816269544487,-16.9826567003868,0.03)); #45318=CARTESIAN_POINT('',(21.982269544487,-16.9826567003868,0.)); #45319=CARTESIAN_POINT('',(21.982269544487,-16.9826567003868,0.)); #45320=CARTESIAN_POINT('Origin',(21.816269544487,-16.9826567003868,0.)); #45321=CARTESIAN_POINT('Origin',(31.8061006170188,-13.7367638228817,0.)); #45322=CARTESIAN_POINT('',(31.9721006170188,-13.7367638228817,0.03)); #45323=CARTESIAN_POINT('Origin',(31.8061006170188,-13.7367638228817,0.03)); #45324=CARTESIAN_POINT('',(31.9721006170188,-13.7367638228817,0.)); #45325=CARTESIAN_POINT('',(31.9721006170188,-13.7367638228817,0.)); #45326=CARTESIAN_POINT('Origin',(31.8061006170188,-13.7367638228817,0.)); #45327=CARTESIAN_POINT('Origin',(36.0874567909611,-12.3456668753795,0.)); #45328=CARTESIAN_POINT('',(36.2534567909611,-12.3456668753795,0.03)); #45329=CARTESIAN_POINT('Origin',(36.0874567909611,-12.3456668753795,0.03)); #45330=CARTESIAN_POINT('',(36.2534567909611,-12.3456668753795,0.)); #45331=CARTESIAN_POINT('',(36.2534567909611,-12.3456668753795,0.)); #45332=CARTESIAN_POINT('Origin',(36.0874567909611,-12.3456668753795,0.)); #45333=CARTESIAN_POINT('Origin',(38.9416942402559,-11.418268910378,0.)); #45334=CARTESIAN_POINT('',(39.1076942402559,-11.418268910378,0.03)); #45335=CARTESIAN_POINT('Origin',(38.9416942402559,-11.418268910378,0.03)); #45336=CARTESIAN_POINT('',(39.1076942402559,-11.418268910378,0.)); #45337=CARTESIAN_POINT('',(39.1076942402559,-11.418268910378,0.)); #45338=CARTESIAN_POINT('Origin',(38.9416942402559,-11.418268910378,0.)); #45339=CARTESIAN_POINT('Origin',(28.951863167724,-14.6641617878832,0.)); #45340=CARTESIAN_POINT('',(29.117863167724,-14.6641617878832,0.03)); #45341=CARTESIAN_POINT('Origin',(28.951863167724,-14.6641617878832,0.03)); #45342=CARTESIAN_POINT('',(29.117863167724,-14.6641617878832,0.)); #45343=CARTESIAN_POINT('',(29.117863167724,-14.6641617878832,0.)); #45344=CARTESIAN_POINT('Origin',(28.951863167724,-14.6641617878832,0.)); #45345=CARTESIAN_POINT('Origin',(43.1549213773576,-9.87047411522426,0.)); #45346=CARTESIAN_POINT('',(43.3209213773576,-9.87047411522426,0.03)); #45347=CARTESIAN_POINT('Origin',(43.1549213773576,-9.87047411522426,0.03)); #45348=CARTESIAN_POINT('',(43.3209213773576,-9.87047411522426,0.)); #45349=CARTESIAN_POINT('',(43.3209213773576,-9.87047411522426,0.)); #45350=CARTESIAN_POINT('Origin',(43.1549213773576,-9.87047411522426,0.)); #45351=CARTESIAN_POINT('Origin',(33.2332193416663,-13.273064840381,0.)); #45352=CARTESIAN_POINT('',(33.3992193416663,-13.273064840381,0.03)); #45353=CARTESIAN_POINT('Origin',(33.2332193416663,-13.273064840381,0.03)); #45354=CARTESIAN_POINT('',(33.3992193416663,-13.273064840381,0.)); #45355=CARTESIAN_POINT('',(33.3992193416663,-13.273064840381,0.)); #45356=CARTESIAN_POINT('Origin',(33.2332193416663,-13.273064840381,0.)); #45357=CARTESIAN_POINT('Origin',(27.5247444430766,-15.1278607703839,0.)); #45358=CARTESIAN_POINT('',(27.6907444430766,-15.1278607703839,0.03)); #45359=CARTESIAN_POINT('Origin',(27.5247444430766,-15.1278607703839,0.03)); #45360=CARTESIAN_POINT('',(27.6907444430766,-15.1278607703839,0.)); #45361=CARTESIAN_POINT('',(27.6907444430766,-15.1278607703839,0.)); #45362=CARTESIAN_POINT('Origin',(27.5247444430766,-15.1278607703839,0.)); #45363=CARTESIAN_POINT('Origin',(20.3891508198396,-17.4463556828876,0.)); #45364=CARTESIAN_POINT('',(20.5551508198396,-17.4463556828876,0.03)); #45365=CARTESIAN_POINT('Origin',(20.3891508198396,-17.4463556828876,0.03)); #45366=CARTESIAN_POINT('',(20.5551508198396,-17.4463556828876,0.)); #45367=CARTESIAN_POINT('',(20.5551508198396,-17.4463556828876,0.)); #45368=CARTESIAN_POINT('Origin',(20.3891508198396,-17.4463556828876,0.)); #45369=CARTESIAN_POINT('Origin',(17.5349133705448,-18.373753647889,0.)); #45370=CARTESIAN_POINT('',(17.7009133705448,-18.373753647889,0.03)); #45371=CARTESIAN_POINT('Origin',(17.5349133705448,-18.373753647889,0.03)); #45372=CARTESIAN_POINT('',(17.7009133705448,-18.373753647889,0.)); #45373=CARTESIAN_POINT('',(17.7009133705448,-18.373753647889,0.)); #45374=CARTESIAN_POINT('Origin',(17.5349133705448,-18.373753647889,0.)); #45375=CARTESIAN_POINT('Origin',(4.73510494729806,-4.49866973121577,0.)); #45376=CARTESIAN_POINT('',(4.90110494729806,-4.49866973121577,0.03)); #45377=CARTESIAN_POINT('Origin',(4.73510494729806,-4.49866973121577,0.03)); #45378=CARTESIAN_POINT('',(4.90110494729806,-4.49866973121577,0.)); #45379=CARTESIAN_POINT('',(4.90110494729806,-4.49866973121577,0.)); #45380=CARTESIAN_POINT('Origin',(4.73510494729806,-4.49866973121577,0.)); #45381=CARTESIAN_POINT('Origin',(13.2535571966026,-19.7648505953912,0.)); #45382=CARTESIAN_POINT('',(13.4195571966026,-19.7648505953912,0.03)); #45383=CARTESIAN_POINT('Origin',(13.2535571966026,-19.7648505953912,0.03)); #45384=CARTESIAN_POINT('',(13.4195571966026,-19.7648505953912,0.)); #45385=CARTESIAN_POINT('',(13.4195571966026,-19.7648505953912,0.)); #45386=CARTESIAN_POINT('Origin',(13.2535571966026,-19.7648505953912,0.)); #45387=CARTESIAN_POINT('Origin',(43.1549213773574,9.87047411522351,0.)); #45388=CARTESIAN_POINT('',(43.3209213773574,9.87047411522351,0.03)); #45389=CARTESIAN_POINT('Origin',(43.1549213773574,9.87047411522351,0.03)); #45390=CARTESIAN_POINT('',(43.3209213773574,9.87047411522351,0.)); #45391=CARTESIAN_POINT('',(43.3209213773574,9.87047411522351,0.)); #45392=CARTESIAN_POINT('Origin',(43.1549213773574,9.87047411522351,0.)); #45393=CARTESIAN_POINT('Origin',(30.3789818923711,14.2004628053812,0.)); #45394=CARTESIAN_POINT('',(30.5449818923711,14.2004628053812,0.03)); #45395=CARTESIAN_POINT('Origin',(30.3789818923711,14.2004628053812,0.03)); #45396=CARTESIAN_POINT('',(30.5449818923711,14.2004628053812,0.)); #45397=CARTESIAN_POINT('',(30.5449818923711,14.2004628053812,0.)); #45398=CARTESIAN_POINT('Origin',(30.3789818923711,14.2004628053812,0.)); #45399=CARTESIAN_POINT('Origin',(37.5145755156082,11.8819678928778,0.)); #45400=CARTESIAN_POINT('',(37.6805755156082,11.8819678928778,0.03)); #45401=CARTESIAN_POINT('Origin',(37.5145755156082,11.8819678928778,0.03)); #45402=CARTESIAN_POINT('',(37.6805755156082,11.8819678928778,0.)); #45403=CARTESIAN_POINT('',(37.6805755156082,11.8819678928778,0.)); #45404=CARTESIAN_POINT('Origin',(37.5145755156082,11.8819678928778,0.)); #45405=CARTESIAN_POINT('Origin',(44.6733239451715,-4.48613570830844,0.)); #45406=CARTESIAN_POINT('',(44.8393239451715,-4.48613570830844,0.03)); #45407=CARTESIAN_POINT('Origin',(44.6733239451715,-4.48613570830844,0.03)); #45408=CARTESIAN_POINT('',(44.8393239451715,-4.48613570830844,0.)); #45409=CARTESIAN_POINT('',(44.8393239451715,-4.48613570830844,0.)); #45410=CARTESIAN_POINT('Origin',(44.6733239451715,-4.48613570830844,0.)); #45411=CARTESIAN_POINT('Origin',(5.16245343584092,-8.9792666707681,0.)); #45412=CARTESIAN_POINT('',(5.32845343584092,-8.9792666707681,0.03)); #45413=CARTESIAN_POINT('Origin',(5.16245343584092,-8.9792666707681,0.03)); #45414=CARTESIAN_POINT('',(5.32845343584092,-8.9792666707681,0.)); #45415=CARTESIAN_POINT('',(5.32845343584092,-8.9792666707681,0.)); #45416=CARTESIAN_POINT('Origin',(5.16245343584092,-8.9792666707681,0.)); #45417=CARTESIAN_POINT('Origin',(4.98845221755835,-7.48885585756844,0.)); #45418=CARTESIAN_POINT('',(5.15445221755835,-7.48885585756844,0.03)); #45419=CARTESIAN_POINT('Origin',(4.98845221755835,-7.48885585756844,0.03)); #45420=CARTESIAN_POINT('',(5.15445221755835,-7.48885585756844,0.)); #45421=CARTESIAN_POINT('',(5.15445221755835,-7.48885585756844,0.)); #45422=CARTESIAN_POINT('Origin',(4.98845221755835,-7.48885585756844,0.)); #45423=CARTESIAN_POINT('Origin',(26.0976257184288,15.5915597528832,0.)); #45424=CARTESIAN_POINT('',(26.2636257184288,15.5915597528832,0.03)); #45425=CARTESIAN_POINT('Origin',(26.0976257184288,15.5915597528832,0.03)); #45426=CARTESIAN_POINT('',(26.2636257184288,15.5915597528832,0.)); #45427=CARTESIAN_POINT('',(26.2636257184288,15.5915597528832,0.)); #45428=CARTESIAN_POINT('Origin',(26.0976257184288,15.5915597528832,0.)); #45429=CARTESIAN_POINT('Origin',(16.1077946458969,18.8374526303879,0.)); #45430=CARTESIAN_POINT('',(16.2737946458969,18.8374526303879,0.03)); #45431=CARTESIAN_POINT('Origin',(16.1077946458969,18.8374526303879,0.03)); #45432=CARTESIAN_POINT('',(16.2737946458969,18.8374526303879,0.)); #45433=CARTESIAN_POINT('',(16.2737946458969,18.8374526303879,0.)); #45434=CARTESIAN_POINT('Origin',(16.1077946458969,18.8374526303879,0.)); #45435=CARTESIAN_POINT('Origin',(23.243388269134,16.5189577178846,0.)); #45436=CARTESIAN_POINT('',(23.409388269134,16.5189577178846,0.03)); #45437=CARTESIAN_POINT('Origin',(23.243388269134,16.5189577178846,0.03)); #45438=CARTESIAN_POINT('',(23.409388269134,16.5189577178846,0.)); #45439=CARTESIAN_POINT('',(23.409388269134,16.5189577178846,0.)); #45440=CARTESIAN_POINT('Origin',(23.243388269134,16.5189577178846,0.)); #45441=CARTESIAN_POINT('Origin',(4.84598151667321,5.99510122060092,0.)); #45442=CARTESIAN_POINT('',(5.01198151667321,5.99510122060092,0.03)); #45443=CARTESIAN_POINT('Origin',(4.84598151667321,5.99510122060092,0.03)); #45444=CARTESIAN_POINT('',(5.01198151667321,5.99510122060092,0.)); #45445=CARTESIAN_POINT('',(5.01198151667321,5.99510122060092,0.)); #45446=CARTESIAN_POINT('Origin',(4.84598151667321,5.99510122060092,0.)); #45447=CARTESIAN_POINT('Origin',(5.36790747882378,10.4656681818955,0.)); #45448=CARTESIAN_POINT('',(5.53390747882377,10.4656681818955,0.03)); #45449=CARTESIAN_POINT('Origin',(5.36790747882378,10.4656681818955,0.03)); #45450=CARTESIAN_POINT('',(5.53390747882378,10.4656681818955,0.)); #45451=CARTESIAN_POINT('',(5.53390747882378,10.4656681818955,0.)); #45452=CARTESIAN_POINT('Origin',(5.36790747882378,10.4656681818955,0.)); #45453=CARTESIAN_POINT('Origin',(5.8727930895916,13.4237906319675,0.)); #45454=CARTESIAN_POINT('',(6.0387930895916,13.4237906319675,0.03)); #45455=CARTESIAN_POINT('Origin',(5.8727930895916,13.4237906319675,0.03)); #45456=CARTESIAN_POINT('',(6.0387930895916,13.4237906319675,0.)); #45457=CARTESIAN_POINT('',(6.0387930895916,13.4237906319675,0.)); #45458=CARTESIAN_POINT('Origin',(5.8727930895916,13.4237906319675,0.)); #45459=CARTESIAN_POINT('Origin',(6.5022074114363,16.3579405124333,0.)); #45460=CARTESIAN_POINT('',(6.6682074114363,16.3579405124333,0.03)); #45461=CARTESIAN_POINT('Origin',(6.5022074114363,16.3579405124333,0.03)); #45462=CARTESIAN_POINT('',(6.6682074114363,16.3579405124333,0.)); #45463=CARTESIAN_POINT('',(6.6682074114363,16.3579405124333,0.)); #45464=CARTESIAN_POINT('Origin',(6.5022074114363,16.3579405124333,0.)); #45465=CARTESIAN_POINT('Origin',(5.16245343584065,8.97926667076599,0.)); #45466=CARTESIAN_POINT('',(5.32845343584065,8.97926667076599,0.03)); #45467=CARTESIAN_POINT('Origin',(5.16245343584065,8.97926667076599,0.03)); #45468=CARTESIAN_POINT('',(5.32845343584065,8.97926667076599,0.)); #45469=CARTESIAN_POINT('',(5.32845343584065,8.97926667076599,0.)); #45470=CARTESIAN_POINT('Origin',(5.16245343584065,8.97926667076599,0.)); #45471=CARTESIAN_POINT('Origin',(8.9025782749956,19.950017001469,0.)); #45472=CARTESIAN_POINT('',(9.06857827499559,19.950017001469,0.03)); #45473=CARTESIAN_POINT('Origin',(8.9025782749956,19.950017001469,0.03)); #45474=CARTESIAN_POINT('',(9.0685782749956,19.950017001469,0.)); #45475=CARTESIAN_POINT('',(9.0685782749956,19.950017001469,0.)); #45476=CARTESIAN_POINT('Origin',(8.9025782749956,19.950017001469,0.)); #45477=CARTESIAN_POINT('Origin',(4.6558720165553,-3.0002295559828,0.)); #45478=CARTESIAN_POINT('',(4.8218720165553,-3.0002295559828,0.03)); #45479=CARTESIAN_POINT('Origin',(4.6558720165553,-3.0002295559828,0.03)); #45480=CARTESIAN_POINT('',(4.8218720165553,-3.0002295559828,0.)); #45481=CARTESIAN_POINT('',(4.8218720165553,-3.0002295559828,0.)); #45482=CARTESIAN_POINT('Origin',(4.6558720165553,-3.0002295559828,0.)); #45483=CARTESIAN_POINT('Origin',(4.59246443819118,-1.09646227540418E-12, 0.)); #45484=CARTESIAN_POINT('',(4.75846443819118,-1.09658563944078E-12,0.03)); #45485=CARTESIAN_POINT('Origin',(4.59246443819118,-1.09646227540418E-12, 0.03)); #45486=CARTESIAN_POINT('',(4.75846443819118,-1.09644194626731E-12,0.)); #45487=CARTESIAN_POINT('',(4.75846443819118,-1.09644194626731E-12,0.)); #45488=CARTESIAN_POINT('Origin',(4.59246443819118,-1.09646227540418E-12, 0.)); #45489=CARTESIAN_POINT('Origin',(5.60472260991623,-11.9473967028326,0.)); #45490=CARTESIAN_POINT('',(5.77072260991623,-11.9473967028326,0.03)); #45491=CARTESIAN_POINT('Origin',(5.60472260991623,-11.9473967028326,0.03)); #45492=CARTESIAN_POINT('',(5.77072260991623,-11.9473967028326,0.)); #45493=CARTESIAN_POINT('',(5.77072260991623,-11.9473967028326,0.)); #45494=CARTESIAN_POINT('Origin',(5.60472260991623,-11.9473967028326,0.)); #45495=CARTESIAN_POINT('Origin',(6.50220741143679,-16.3579405124354,0.)); #45496=CARTESIAN_POINT('',(6.66820741143679,-16.3579405124354,0.03)); #45497=CARTESIAN_POINT('Origin',(6.50220741143679,-16.3579405124354,0.03)); #45498=CARTESIAN_POINT('',(6.66820741143679,-16.3579405124354,0.)); #45499=CARTESIAN_POINT('',(6.66820741143679,-16.3579405124354,0.)); #45500=CARTESIAN_POINT('Origin',(6.50220741143679,-16.3579405124354,0.)); #45501=CARTESIAN_POINT('Origin',(7.70442409334475,-19.0603825502306,0.)); #45502=CARTESIAN_POINT('',(7.87042409334475,-19.0603825502306,0.03)); #45503=CARTESIAN_POINT('Origin',(7.70442409334475,-19.0603825502306,0.03)); #45504=CARTESIAN_POINT('',(7.87042409334475,-19.0603825502306,0.)); #45505=CARTESIAN_POINT('',(7.87042409334475,-19.0603825502306,0.)); #45506=CARTESIAN_POINT('Origin',(7.70442409334475,-19.0603825502306,0.)); #45507=CARTESIAN_POINT('Origin',(7.77854975018582,21.5234327789624,0.)); #45508=CARTESIAN_POINT('',(7.94454975018582,21.5234327789624,0.03)); #45509=CARTESIAN_POINT('Origin',(7.77854975018582,21.5234327789624,0.03)); #45510=CARTESIAN_POINT('',(7.94454975018582,21.5234327789624,0.)); #45511=CARTESIAN_POINT('',(7.94454975018582,21.5234327789624,0.)); #45512=CARTESIAN_POINT('Origin',(7.77854975018582,21.5234327789624,0.)); #45513=CARTESIAN_POINT('Origin',(45.577046036698,8.70503744143326,0.)); #45514=CARTESIAN_POINT('',(45.743046036698,8.70503744143326,0.03)); #45515=CARTESIAN_POINT('Origin',(45.577046036698,8.70503744143326,0.03)); #45516=CARTESIAN_POINT('',(45.743046036698,8.70503744143326,0.)); #45517=CARTESIAN_POINT('',(45.743046036698,8.70503744143326,0.)); #45518=CARTESIAN_POINT('Origin',(45.577046036698,8.70503744143326,0.)); #45519=CARTESIAN_POINT('Origin',(9.68287076245137,-20.9122360166642,0.)); #45520=CARTESIAN_POINT('',(9.84887076245137,-20.9122360166642,0.03)); #45521=CARTESIAN_POINT('Origin',(9.68287076245137,-20.9122360166642,0.03)); #45522=CARTESIAN_POINT('',(9.84887076245137,-20.9122360166642,0.)); #45523=CARTESIAN_POINT('',(9.84887076245137,-20.9122360166642,0.)); #45524=CARTESIAN_POINT('Origin',(9.68287076245137,-20.9122360166642,0.)); #45525=CARTESIAN_POINT('Origin',(7.77854975018579,-21.5234327789623,0.)); #45526=CARTESIAN_POINT('',(7.94454975018579,-21.5234327789623,0.03)); #45527=CARTESIAN_POINT('Origin',(7.77854975018579,-21.5234327789623,0.03)); #45528=CARTESIAN_POINT('',(7.94454975018579,-21.5234327789623,0.)); #45529=CARTESIAN_POINT('',(7.94454975018579,-21.5234327789623,0.)); #45530=CARTESIAN_POINT('Origin',(7.77854975018579,-21.5234327789623,0.)); #45531=CARTESIAN_POINT('Origin',(45.577046036698,-8.70503744143317,0.)); #45532=CARTESIAN_POINT('',(45.743046036698,-8.70503744143317,0.03)); #45533=CARTESIAN_POINT('Origin',(45.577046036698,-8.70503744143317,0.03)); #45534=CARTESIAN_POINT('',(45.743046036698,-8.70503744143317,0.)); #45535=CARTESIAN_POINT('',(45.743046036698,-8.70503744143317,0.)); #45536=CARTESIAN_POINT('Origin',(45.577046036698,-8.70503744143317,0.)); #45537=CARTESIAN_POINT('Origin',(9.6828707624514,20.9122360166643,0.)); #45538=CARTESIAN_POINT('',(9.8488707624514,20.9122360166643,0.03)); #45539=CARTESIAN_POINT('Origin',(9.6828707624514,20.9122360166643,0.03)); #45540=CARTESIAN_POINT('',(9.8488707624514,20.9122360166643,0.)); #45541=CARTESIAN_POINT('',(9.8488707624514,20.9122360166643,0.)); #45542=CARTESIAN_POINT('Origin',(9.6828707624514,20.9122360166643,0.)); #45543=CARTESIAN_POINT('Origin',(44.4936660822862,-2.99651321547293,0.)); #45544=CARTESIAN_POINT('',(44.6596660822862,-2.99651321547293,0.03)); #45545=CARTESIAN_POINT('Origin',(44.4936660822862,-2.99651321547293,0.03)); #45546=CARTESIAN_POINT('',(44.6596660822862,-2.99651321547293,0.)); #45547=CARTESIAN_POINT('',(44.6596660822862,-2.99651321547293,0.)); #45548=CARTESIAN_POINT('Origin',(44.4936660822862,-2.99651321547293,0.)); #45549=CARTESIAN_POINT('Origin',(44.4936660822862,2.99651321547217,0.)); #45550=CARTESIAN_POINT('',(44.6596660822862,2.99651321547217,0.03)); #45551=CARTESIAN_POINT('Origin',(44.4936660822862,2.99651321547217,0.03)); #45552=CARTESIAN_POINT('',(44.6596660822862,2.99651321547217,0.)); #45553=CARTESIAN_POINT('',(44.6596660822862,2.99651321547217,0.)); #45554=CARTESIAN_POINT('Origin',(44.4936660822862,2.99651321547217,0.)); #45555=CARTESIAN_POINT('Origin',(44.3857054827172,1.49998502402414,0.)); #45556=CARTESIAN_POINT('',(44.5517054827172,1.49998502402414,0.03)); #45557=CARTESIAN_POINT('Origin',(44.3857054827172,1.49998502402414,0.03)); #45558=CARTESIAN_POINT('',(44.5517054827172,1.49998502402414,0.)); #45559=CARTESIAN_POINT('',(44.5517054827172,1.49998502402414,0.)); #45560=CARTESIAN_POINT('Origin',(44.3857054827172,1.49998502402414,0.)); #45561=CARTESIAN_POINT('Origin',(44.3857054827172,-1.49998502402491,0.)); #45562=CARTESIAN_POINT('',(44.5517054827173,-1.49998502402491,0.03)); #45563=CARTESIAN_POINT('Origin',(44.3857054827172,-1.49998502402491,0.03)); #45564=CARTESIAN_POINT('',(44.5517054827173,-1.49998502402491,0.)); #45565=CARTESIAN_POINT('',(44.5517054827173,-1.49998502402491,0.)); #45566=CARTESIAN_POINT('Origin',(44.3857054827172,-1.49998502402491,0.)); #45567=CARTESIAN_POINT('Origin',(44.3496909500937,-3.97857113537715E-13, 0.)); #45568=CARTESIAN_POINT('',(44.5156909500937,-3.97232553062733E-13,0.03)); #45569=CARTESIAN_POINT('Origin',(44.3496909500937,-3.97857113537715E-13, 0.03)); #45570=CARTESIAN_POINT('',(44.5156909500937,-3.97836784400849E-13,0.)); #45571=CARTESIAN_POINT('',(44.5156909500937,-3.97836784400849E-13,0.)); #45572=CARTESIAN_POINT('Origin',(44.3496909500937,-3.97857113537715E-13, 0.)); #45573=CARTESIAN_POINT('Origin',(44.2118257805944,-8.81692013831489,0.)); #45574=CARTESIAN_POINT('',(44.3778257805944,-8.8169201383149,0.03)); #45575=CARTESIAN_POINT('Origin',(44.2118257805944,-8.81692013831489,0.03)); #45576=CARTESIAN_POINT('',(44.3778257805944,-8.81692013831489,0.)); #45577=CARTESIAN_POINT('',(44.3778257805944,-8.81692013831489,0.)); #45578=CARTESIAN_POINT('Origin',(44.2118257805944,-8.81692013831489,0.)); #45579=CARTESIAN_POINT('Origin',(44.2118257805942,8.81692013831413,0.)); #45580=CARTESIAN_POINT('',(44.3778257805942,8.81692013831413,0.03)); #45581=CARTESIAN_POINT('Origin',(44.2118257805942,8.81692013831413,0.03)); #45582=CARTESIAN_POINT('',(44.3778257805942,8.81692013831413,0.)); #45583=CARTESIAN_POINT('',(44.3778257805942,8.81692013831413,0.)); #45584=CARTESIAN_POINT('Origin',(44.2118257805942,8.81692013831413,0.)); #45585=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #45586=CARTESIAN_POINT('',(46.3264050096657,-9.51301817580546,0.)); #45587=CARTESIAN_POINT('',(46.3264050096657,9.5130181758055,0.)); #45588=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #45589=CARTESIAN_POINT('',(46.3264050096657,9.5130181758055,0.03)); #45590=CARTESIAN_POINT('',(46.3264050096657,9.5130181758055,0.)); #45591=CARTESIAN_POINT('',(46.3264050096657,-9.51301817580546,0.03)); #45592=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.03)); #45593=CARTESIAN_POINT('',(46.3264050096657,-9.51301817580546,0.)); #45594=CARTESIAN_POINT('Origin',(7.24061993386299,-22.21275958966,0.)); #45595=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.)); #45596=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.)); #45597=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.03)); #45598=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.03)); #45599=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.)); #45600=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #45601=CARTESIAN_POINT('',(7.24061993386298,22.21275958966,0.)); #45602=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #45603=CARTESIAN_POINT('',(7.24061993386298,22.21275958966,0.03)); #45604=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.03)); #45605=CARTESIAN_POINT('',(7.24061993386298,22.21275958966,0.)); #45606=CARTESIAN_POINT('Origin',(46.3264050096657,9.5130181758055,0.)); #45607=CARTESIAN_POINT('',(46.3264050096657,9.5130181758055,0.)); #45608=CARTESIAN_POINT('',(46.3264050096657,9.5130181758055,0.03)); #45609=CARTESIAN_POINT('Origin',(25.0244347239285,1.3987061727561E-14,0.03)); #45610=CARTESIAN_POINT('Origin',(25.0244347239285,1.3987061727561E-14,0.)); #45611=CARTESIAN_POINT('',(0.,0.,0.)); #45612=CARTESIAN_POINT('Origin',(18.0011520999241,38.6779175721909,-70.6819215938077)); #45613=CARTESIAN_POINT('',(17.8351520999241,38.6779175721909,0.)); #45614=CARTESIAN_POINT('Origin',(18.0011520999241,38.6779175721909,0.)); #45615=CARTESIAN_POINT('',(17.8351520999241,38.6779175721909,-0.999999999999997)); #45616=CARTESIAN_POINT('',(17.8351520999241,38.6779175721909,-70.6819215938077)); #45617=CARTESIAN_POINT('Origin',(18.0011520999241,38.6779175721909,-0.999999999999997)); #45618=CARTESIAN_POINT('Origin',(18.1056805367405,22.3953580942754,-70.6819215938077)); #45619=CARTESIAN_POINT('',(17.9396805367405,22.3953580942754,0.)); #45620=CARTESIAN_POINT('Origin',(18.1056805367405,22.3953580942754,0.)); #45621=CARTESIAN_POINT('',(17.9396805367405,22.3953580942754,-0.999999999999997)); #45622=CARTESIAN_POINT('',(17.9396805367405,22.3953580942754,-70.6819215938077)); #45623=CARTESIAN_POINT('Origin',(18.1056805367405,22.3953580942754,-0.999999999999997)); #45624=CARTESIAN_POINT('Origin',(18.0011520737677,23.3898799896746,-70.6819215938077)); #45625=CARTESIAN_POINT('',(17.8351520737677,23.3898799896746,0.)); #45626=CARTESIAN_POINT('Origin',(18.0011520737677,23.3898799896746,0.)); #45627=CARTESIAN_POINT('',(17.8351520737677,23.3898799896746,-0.999999999999997)); #45628=CARTESIAN_POINT('',(17.8351520737677,23.3898799896746,-70.6819215938077)); #45629=CARTESIAN_POINT('Origin',(18.0011520737677,23.3898799896746,-0.999999999999997)); #45630=CARTESIAN_POINT('Origin',(18.1056805634781,39.672439467529,-70.6819215938077)); #45631=CARTESIAN_POINT('',(17.9396805634781,39.672439467529,0.)); #45632=CARTESIAN_POINT('Origin',(18.1056805634781,39.672439467529,0.)); #45633=CARTESIAN_POINT('',(17.9396805634781,39.672439467529,-0.999999999999997)); #45634=CARTESIAN_POINT('',(17.9396805634781,39.672439467529,-70.6819215938077)); #45635=CARTESIAN_POINT('Origin',(18.1056805634781,39.672439467529,-0.999999999999997)); #45636=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.3)); #45637=CARTESIAN_POINT('',(63.7950323641191,40.5953557510901,-0.3)); #45638=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.3)); #45639=CARTESIAN_POINT('',(63.8810323641191,40.5953557510901,-0.3)); #45640=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.3)); #45641=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.15)); #45642=CARTESIAN_POINT('',(63.7950323641191,40.5953557510901,0.)); #45643=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,0.)); #45644=CARTESIAN_POINT('',(63.7950323641191,40.5953557510901,-0.15)); #45645=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-70.6819215938077)); #45646=CARTESIAN_POINT('',(63.8810323641191,40.5953557510901,-0.999999999999997)); #45647=CARTESIAN_POINT('',(63.8810323641191,40.5953557510901,-70.6819215938077)); #45648=CARTESIAN_POINT('Origin',(64.0140323641191,40.5953557510901,-0.999999999999997)); #45649=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.3)); #45650=CARTESIAN_POINT('',(65.0680386949023,39.0155609832701,-0.3)); #45651=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.3)); #45652=CARTESIAN_POINT('',(65.1540386949023,39.0155609832701,-0.3)); #45653=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.3)); #45654=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.15)); #45655=CARTESIAN_POINT('',(65.0680386949023,39.0155609832701,0.)); #45656=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,0.)); #45657=CARTESIAN_POINT('',(65.0680386949023,39.0155609832701,-0.15)); #45658=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-70.6819215938077)); #45659=CARTESIAN_POINT('',(65.1540386949023,39.0155609832701,-0.999999999999997)); #45660=CARTESIAN_POINT('',(65.1540386949023,39.0155609832701,-70.6819215938077)); #45661=CARTESIAN_POINT('Origin',(65.2870386949023,39.0155609832701,-0.999999999999997)); #45662=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-70.6819215938077)); #45663=CARTESIAN_POINT('',(64.206975230487,33.2829578235971,-0.3)); #45664=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.3)); #45665=CARTESIAN_POINT('',(64.206975230487,33.2829578235971,-0.999999999999997)); #45666=CARTESIAN_POINT('',(64.206975230487,33.2829578235971,-70.6819215938077)); #45667=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.999999999999997)); #45668=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.15)); #45669=CARTESIAN_POINT('',(64.120975230487,33.2829578235971,0.)); #45670=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,0.)); #45671=CARTESIAN_POINT('',(64.120975230487,33.2829578235971,-0.3)); #45672=CARTESIAN_POINT('',(64.120975230487,33.2829578235971,-0.15)); #45673=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.3)); #45674=CARTESIAN_POINT('Origin',(64.339975230487,33.2829578235971,-0.3)); #45675=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.3)); #45676=CARTESIAN_POINT('',(60.7714267976933,41.7566190668677,-0.3)); #45677=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.3)); #45678=CARTESIAN_POINT('',(60.8574267976933,41.7566190668677,-0.3)); #45679=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.3)); #45680=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.15)); #45681=CARTESIAN_POINT('',(60.7714267976933,41.7566190668677,0.)); #45682=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,0.)); #45683=CARTESIAN_POINT('',(60.7714267976933,41.7566190668677,-0.15)); #45684=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-70.6819215938077)); #45685=CARTESIAN_POINT('',(60.8574267976933,41.7566190668677,-0.999999999999997)); #45686=CARTESIAN_POINT('',(60.8574267976933,41.7566190668677,-70.6819215938077)); #45687=CARTESIAN_POINT('Origin',(60.9904267976933,41.7566190668677,-0.999999999999997)); #45688=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-70.6819215938077)); #45689=CARTESIAN_POINT('',(55.1489518991037,43.6114149968706,-0.3)); #45690=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.3)); #45691=CARTESIAN_POINT('',(55.1489518991037,43.6114149968706,-0.999999999999997)); #45692=CARTESIAN_POINT('',(55.1489518991037,43.6114149968706,-70.6819215938077)); #45693=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.999999999999997)); #45694=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.15)); #45695=CARTESIAN_POINT('',(55.0629518991037,43.6114149968706,0.)); #45696=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,0.)); #45697=CARTESIAN_POINT('',(55.0629518991037,43.6114149968706,-0.3)); #45698=CARTESIAN_POINT('',(55.0629518991037,43.6114149968706,-0.15)); #45699=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.3)); #45700=CARTESIAN_POINT('Origin',(55.2819518991037,43.6114149968706,-0.3)); #45701=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.3)); #45702=CARTESIAN_POINT('',(49.3544770005141,45.4662109268736,-0.3)); #45703=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.3)); #45704=CARTESIAN_POINT('',(49.4404770005141,45.4662109268736,-0.3)); #45705=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.3)); #45706=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.15)); #45707=CARTESIAN_POINT('',(49.3544770005141,45.4662109268736,0.)); #45708=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,0.)); #45709=CARTESIAN_POINT('',(49.3544770005141,45.4662109268736,-0.15)); #45710=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-70.6819215938077)); #45711=CARTESIAN_POINT('',(49.4404770005141,45.4662109268736,-0.999999999999997)); #45712=CARTESIAN_POINT('',(49.4404770005141,45.4662109268736,-70.6819215938077)); #45713=CARTESIAN_POINT('Origin',(49.5734770005141,45.4662109268736,-0.999999999999997)); #45714=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-70.6819215938077)); #45715=CARTESIAN_POINT('',(43.7320021019245,47.3210068568765,-0.3)); #45716=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.3)); #45717=CARTESIAN_POINT('',(43.7320021019245,47.3210068568765,-0.999999999999997)); #45718=CARTESIAN_POINT('',(43.7320021019245,47.3210068568765,-70.6819215938077)); #45719=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.999999999999997)); #45720=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.15)); #45721=CARTESIAN_POINT('',(43.6460021019245,47.3210068568765,0.)); #45722=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,0.)); #45723=CARTESIAN_POINT('',(43.6460021019245,47.3210068568765,-0.3)); #45724=CARTESIAN_POINT('',(43.6460021019245,47.3210068568765,-0.15)); #45725=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.3)); #45726=CARTESIAN_POINT('Origin',(43.8650021019245,47.3210068568765,-0.3)); #45727=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.3)); #45728=CARTESIAN_POINT('',(37.9375272033349,49.1758027868795,-0.3)); #45729=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.3)); #45730=CARTESIAN_POINT('',(38.0235272033349,49.1758027868795,-0.3)); #45731=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.3)); #45732=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.15)); #45733=CARTESIAN_POINT('',(37.9375272033349,49.1758027868795,0.)); #45734=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,0.)); #45735=CARTESIAN_POINT('',(37.9375272033349,49.1758027868795,-0.15)); #45736=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-70.6819215938077)); #45737=CARTESIAN_POINT('',(38.0235272033349,49.1758027868795,-0.999999999999997)); #45738=CARTESIAN_POINT('',(38.0235272033349,49.1758027868795,-70.6819215938077)); #45739=CARTESIAN_POINT('Origin',(38.1565272033349,49.1758027868795,-0.999999999999997)); #45740=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-70.6819215938077)); #45741=CARTESIAN_POINT('',(32.3144490193928,51.0286437574758,-0.3)); #45742=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.3)); #45743=CARTESIAN_POINT('',(32.3144490193928,51.0286437574758,-0.999999999999997)); #45744=CARTESIAN_POINT('',(32.3144490193928,51.0286437574758,-70.6819215938077)); #45745=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.999999999999997)); #45746=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.15)); #45747=CARTESIAN_POINT('',(32.2284490193928,51.0286437574758,0.)); #45748=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,0.)); #45749=CARTESIAN_POINT('',(32.2284490193928,51.0286437574758,-0.3)); #45750=CARTESIAN_POINT('',(32.2284490193928,51.0286437574758,-0.15)); #45751=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.3)); #45752=CARTESIAN_POINT('Origin',(32.4474490193928,51.0286437574758,-0.3)); #45753=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.3)); #45754=CARTESIAN_POINT('',(35.0832897540401,50.1032007518809,-0.3)); #45755=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.3)); #45756=CARTESIAN_POINT('',(35.1692897540401,50.1032007518809,-0.3)); #45757=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.3)); #45758=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.15)); #45759=CARTESIAN_POINT('',(35.0832897540401,50.1032007518809,0.)); #45760=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,0.)); #45761=CARTESIAN_POINT('',(35.0832897540401,50.1032007518809,-0.15)); #45762=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-70.6819215938077)); #45763=CARTESIAN_POINT('',(35.1692897540401,50.1032007518809,-0.999999999999997)); #45764=CARTESIAN_POINT('',(35.1692897540401,50.1032007518809,-70.6819215938077)); #45765=CARTESIAN_POINT('Origin',(35.3022897540401,50.1032007518809,-0.999999999999997)); #45766=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-70.6819215938077)); #45767=CARTESIAN_POINT('',(40.8777646526297,48.248404821878,-0.3)); #45768=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.3)); #45769=CARTESIAN_POINT('',(40.8777646526297,48.248404821878,-0.999999999999997)); #45770=CARTESIAN_POINT('',(40.8777646526297,48.248404821878,-70.6819215938077)); #45771=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.999999999999997)); #45772=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.15)); #45773=CARTESIAN_POINT('',(40.7917646526297,48.248404821878,0.)); #45774=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,0.)); #45775=CARTESIAN_POINT('',(40.7917646526297,48.248404821878,-0.3)); #45776=CARTESIAN_POINT('',(40.7917646526297,48.248404821878,-0.15)); #45777=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.3)); #45778=CARTESIAN_POINT('Origin',(41.0107646526297,48.248404821878,-0.3)); #45779=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.3)); #45780=CARTESIAN_POINT('',(46.5002395512193,46.3936088918751,-0.3)); #45781=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.3)); #45782=CARTESIAN_POINT('',(46.5862395512193,46.3936088918751,-0.3)); #45783=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.3)); #45784=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.15)); #45785=CARTESIAN_POINT('',(46.5002395512193,46.3936088918751,0.)); #45786=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,0.)); #45787=CARTESIAN_POINT('',(46.5002395512193,46.3936088918751,-0.15)); #45788=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-70.6819215938077)); #45789=CARTESIAN_POINT('',(46.5862395512193,46.3936088918751,-0.999999999999997)); #45790=CARTESIAN_POINT('',(46.5862395512193,46.3936088918751,-70.6819215938077)); #45791=CARTESIAN_POINT('Origin',(46.7192395512193,46.3936088918751,-0.999999999999997)); #45792=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-70.6819215938077)); #45793=CARTESIAN_POINT('',(52.2947144498089,44.5388129618721,-0.3)); #45794=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.3)); #45795=CARTESIAN_POINT('',(52.2947144498089,44.5388129618721,-0.999999999999997)); #45796=CARTESIAN_POINT('',(52.2947144498089,44.5388129618721,-70.6819215938077)); #45797=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.999999999999997)); #45798=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.15)); #45799=CARTESIAN_POINT('',(52.2087144498089,44.5388129618721,0.)); #45800=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,0.)); #45801=CARTESIAN_POINT('',(52.2087144498089,44.5388129618721,-0.3)); #45802=CARTESIAN_POINT('',(52.2087144498089,44.5388129618721,-0.15)); #45803=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.3)); #45804=CARTESIAN_POINT('Origin',(52.4277144498089,44.5388129618721,-0.3)); #45805=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.3)); #45806=CARTESIAN_POINT('',(57.9171893483985,42.6840170318692,-0.3)); #45807=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.3)); #45808=CARTESIAN_POINT('',(58.0031893483985,42.6840170318692,-0.3)); #45809=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.3)); #45810=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.15)); #45811=CARTESIAN_POINT('',(57.9171893483985,42.6840170318692,0.)); #45812=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,0.)); #45813=CARTESIAN_POINT('',(57.9171893483985,42.6840170318692,-0.15)); #45814=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-70.6819215938077)); #45815=CARTESIAN_POINT('',(58.0031893483985,42.6840170318692,-0.999999999999997)); #45816=CARTESIAN_POINT('',(58.0031893483985,42.6840170318692,-70.6819215938077)); #45817=CARTESIAN_POINT('Origin',(58.1361893483985,42.6840170318692,-0.999999999999997)); #45818=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-70.6819215938077)); #45819=CARTESIAN_POINT('',(64.5640812022108,36.2615239632805,-0.3)); #45820=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.3)); #45821=CARTESIAN_POINT('',(64.5640812022108,36.2615239632805,-0.999999999999997)); #45822=CARTESIAN_POINT('',(64.5640812022108,36.2615239632805,-70.6819215938077)); #45823=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.999999999999997)); #45824=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.15)); #45825=CARTESIAN_POINT('',(64.4780812022108,36.2615239632805,0.)); #45826=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,0.)); #45827=CARTESIAN_POINT('',(64.4780812022108,36.2615239632805,-0.3)); #45828=CARTESIAN_POINT('',(64.4780812022108,36.2615239632805,-0.15)); #45829=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.3)); #45830=CARTESIAN_POINT('Origin',(64.6970812022108,36.2615239632805,-0.3)); #45831=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.3)); #45832=CARTESIAN_POINT('',(63.7950323641191,21.4724415093914,-0.3)); #45833=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.3)); #45834=CARTESIAN_POINT('',(63.8810323641191,21.4724415093914,-0.3)); #45835=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.3)); #45836=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.15)); #45837=CARTESIAN_POINT('',(63.7950323641191,21.4724415093914,0.)); #45838=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,0.)); #45839=CARTESIAN_POINT('',(63.7950323641191,21.4724415093914,-0.15)); #45840=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-70.6819215938077)); #45841=CARTESIAN_POINT('',(63.8810323641191,21.4724415093914,-0.999999999999997)); #45842=CARTESIAN_POINT('',(63.8810323641191,21.4724415093914,-70.6819215938077)); #45843=CARTESIAN_POINT('Origin',(64.0140323641191,21.4724415093914,-0.999999999999997)); #45844=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.3)); #45845=CARTESIAN_POINT('',(64.4780812022108,25.806273297201,-0.3)); #45846=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.3)); #45847=CARTESIAN_POINT('',(64.5640812022108,25.806273297201,-0.3)); #45848=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.3)); #45849=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.15)); #45850=CARTESIAN_POINT('',(64.4780812022108,25.806273297201,0.)); #45851=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,0.)); #45852=CARTESIAN_POINT('',(64.4780812022108,25.806273297201,-0.15)); #45853=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-70.6819215938077)); #45854=CARTESIAN_POINT('',(64.5640812022108,25.806273297201,-0.999999999999997)); #45855=CARTESIAN_POINT('',(64.5640812022108,25.806273297201,-70.6819215938077)); #45856=CARTESIAN_POINT('Origin',(64.6970812022108,25.806273297201,-0.999999999999997)); #45857=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.3)); #45858=CARTESIAN_POINT('',(57.9171893483985,19.3837802286123,-0.3)); #45859=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.3)); #45860=CARTESIAN_POINT('',(58.0031893483985,19.3837802286123,-0.3)); #45861=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.3)); #45862=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.15)); #45863=CARTESIAN_POINT('',(57.9171893483985,19.3837802286123,0.)); #45864=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,0.)); #45865=CARTESIAN_POINT('',(57.9171893483985,19.3837802286123,-0.15)); #45866=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-70.6819215938077)); #45867=CARTESIAN_POINT('',(58.0031893483985,19.3837802286123,-0.999999999999997)); #45868=CARTESIAN_POINT('',(58.0031893483985,19.3837802286123,-70.6819215938077)); #45869=CARTESIAN_POINT('Origin',(58.1361893483985,19.3837802286123,-0.999999999999997)); #45870=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.3)); #45871=CARTESIAN_POINT('',(52.2087144498089,17.5289842986094,-0.3)); #45872=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.3)); #45873=CARTESIAN_POINT('',(52.2947144498089,17.5289842986094,-0.3)); #45874=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.3)); #45875=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.15)); #45876=CARTESIAN_POINT('',(52.2087144498089,17.5289842986094,0.)); #45877=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,0.)); #45878=CARTESIAN_POINT('',(52.2087144498089,17.5289842986094,-0.15)); #45879=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-70.6819215938077)); #45880=CARTESIAN_POINT('',(52.2947144498089,17.5289842986094,-0.999999999999997)); #45881=CARTESIAN_POINT('',(52.2947144498089,17.5289842986094,-70.6819215938077)); #45882=CARTESIAN_POINT('Origin',(52.4277144498089,17.5289842986094,-0.999999999999997)); #45883=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.3)); #45884=CARTESIAN_POINT('',(46.5002395512193,15.6741883686065,-0.3)); #45885=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.3)); #45886=CARTESIAN_POINT('',(46.5862395512193,15.6741883686065,-0.3)); #45887=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.3)); #45888=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.15)); #45889=CARTESIAN_POINT('',(46.5002395512193,15.6741883686065,0.)); #45890=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,0.)); #45891=CARTESIAN_POINT('',(46.5002395512193,15.6741883686065,-0.15)); #45892=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-70.6819215938077)); #45893=CARTESIAN_POINT('',(46.5862395512193,15.6741883686065,-0.999999999999997)); #45894=CARTESIAN_POINT('',(46.5862395512193,15.6741883686065,-70.6819215938077)); #45895=CARTESIAN_POINT('Origin',(46.7192395512193,15.6741883686065,-0.999999999999997)); #45896=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.3)); #45897=CARTESIAN_POINT('',(40.7917646526297,13.8193924386035,-0.3)); #45898=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.3)); #45899=CARTESIAN_POINT('',(40.8777646526297,13.8193924386035,-0.3)); #45900=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.3)); #45901=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.15)); #45902=CARTESIAN_POINT('',(40.7917646526297,13.8193924386035,0.)); #45903=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,0.)); #45904=CARTESIAN_POINT('',(40.7917646526297,13.8193924386035,-0.15)); #45905=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-70.6819215938077)); #45906=CARTESIAN_POINT('',(40.8777646526297,13.8193924386035,-0.999999999999997)); #45907=CARTESIAN_POINT('',(40.8777646526297,13.8193924386035,-70.6819215938077)); #45908=CARTESIAN_POINT('Origin',(41.0107646526297,13.8193924386035,-0.999999999999997)); #45909=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.3)); #45910=CARTESIAN_POINT('',(35.0832897540401,11.9645965086006,-0.3)); #45911=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.3)); #45912=CARTESIAN_POINT('',(35.1692897540401,11.9645965086006,-0.3)); #45913=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.3)); #45914=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.15)); #45915=CARTESIAN_POINT('',(35.0832897540401,11.9645965086006,0.)); #45916=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,0.)); #45917=CARTESIAN_POINT('',(35.0832897540401,11.9645965086006,-0.15)); #45918=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-70.6819215938077)); #45919=CARTESIAN_POINT('',(35.1692897540401,11.9645965086006,-0.999999999999997)); #45920=CARTESIAN_POINT('',(35.1692897540401,11.9645965086006,-70.6819215938077)); #45921=CARTESIAN_POINT('Origin',(35.3022897540401,11.9645965086006,-0.999999999999997)); #45922=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.3)); #45923=CARTESIAN_POINT('',(32.2284490193928,11.0391535030057,-0.3)); #45924=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.3)); #45925=CARTESIAN_POINT('',(32.3144490193928,11.0391535030057,-0.3)); #45926=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.3)); #45927=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.15)); #45928=CARTESIAN_POINT('',(32.2284490193928,11.0391535030057,0.)); #45929=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,0.)); #45930=CARTESIAN_POINT('',(32.2284490193928,11.0391535030057,-0.15)); #45931=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-70.6819215938077)); #45932=CARTESIAN_POINT('',(32.3144490193928,11.0391535030057,-0.999999999999997)); #45933=CARTESIAN_POINT('',(32.3144490193928,11.0391535030057,-70.6819215938077)); #45934=CARTESIAN_POINT('Origin',(32.4474490193928,11.0391535030057,-0.999999999999997)); #45935=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.3)); #45936=CARTESIAN_POINT('',(37.9375272033349,12.8919944736021,-0.3)); #45937=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.3)); #45938=CARTESIAN_POINT('',(38.0235272033349,12.8919944736021,-0.3)); #45939=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.3)); #45940=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.15)); #45941=CARTESIAN_POINT('',(37.9375272033349,12.8919944736021,0.)); #45942=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,0.)); #45943=CARTESIAN_POINT('',(37.9375272033349,12.8919944736021,-0.15)); #45944=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-70.6819215938077)); #45945=CARTESIAN_POINT('',(38.0235272033349,12.8919944736021,-0.999999999999997)); #45946=CARTESIAN_POINT('',(38.0235272033349,12.8919944736021,-70.6819215938077)); #45947=CARTESIAN_POINT('Origin',(38.1565272033349,12.8919944736021,-0.999999999999997)); #45948=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.3)); #45949=CARTESIAN_POINT('',(43.6460021019245,14.746790403605,-0.3)); #45950=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.3)); #45951=CARTESIAN_POINT('',(43.7320021019245,14.746790403605,-0.3)); #45952=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.3)); #45953=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.15)); #45954=CARTESIAN_POINT('',(43.6460021019245,14.746790403605,0.)); #45955=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,0.)); #45956=CARTESIAN_POINT('',(43.6460021019245,14.746790403605,-0.15)); #45957=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-70.6819215938077)); #45958=CARTESIAN_POINT('',(43.7320021019245,14.746790403605,-0.999999999999997)); #45959=CARTESIAN_POINT('',(43.7320021019245,14.746790403605,-70.6819215938077)); #45960=CARTESIAN_POINT('Origin',(43.8650021019245,14.746790403605,-0.999999999999997)); #45961=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.3)); #45962=CARTESIAN_POINT('',(49.3544770005141,16.6015863336079,-0.3)); #45963=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.3)); #45964=CARTESIAN_POINT('',(49.4404770005141,16.6015863336079,-0.3)); #45965=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.3)); #45966=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.15)); #45967=CARTESIAN_POINT('',(49.3544770005141,16.6015863336079,0.)); #45968=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,0.)); #45969=CARTESIAN_POINT('',(49.3544770005141,16.6015863336079,-0.15)); #45970=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-70.6819215938077)); #45971=CARTESIAN_POINT('',(49.4404770005141,16.6015863336079,-0.999999999999997)); #45972=CARTESIAN_POINT('',(49.4404770005141,16.6015863336079,-70.6819215938077)); #45973=CARTESIAN_POINT('Origin',(49.5734770005141,16.6015863336079,-0.999999999999997)); #45974=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.3)); #45975=CARTESIAN_POINT('',(55.0629518991037,18.4563822636109,-0.3)); #45976=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.3)); #45977=CARTESIAN_POINT('',(55.1489518991037,18.4563822636109,-0.3)); #45978=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.3)); #45979=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.15)); #45980=CARTESIAN_POINT('',(55.0629518991037,18.4563822636109,0.)); #45981=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,0.)); #45982=CARTESIAN_POINT('',(55.0629518991037,18.4563822636109,-0.15)); #45983=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-70.6819215938077)); #45984=CARTESIAN_POINT('',(55.1489518991037,18.4563822636109,-0.999999999999997)); #45985=CARTESIAN_POINT('',(55.1489518991037,18.4563822636109,-70.6819215938077)); #45986=CARTESIAN_POINT('Origin',(55.2819518991037,18.4563822636109,-0.999999999999997)); #45987=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.3)); #45988=CARTESIAN_POINT('',(60.7714267976933,20.3111781936138,-0.3)); #45989=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.3)); #45990=CARTESIAN_POINT('',(60.8574267976933,20.3111781936138,-0.3)); #45991=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.3)); #45992=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.15)); #45993=CARTESIAN_POINT('',(60.7714267976933,20.3111781936138,0.)); #45994=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,0.)); #45995=CARTESIAN_POINT('',(60.7714267976933,20.3111781936138,-0.15)); #45996=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-70.6819215938077)); #45997=CARTESIAN_POINT('',(60.8574267976933,20.3111781936138,-0.999999999999997)); #45998=CARTESIAN_POINT('',(60.8574267976933,20.3111781936138,-70.6819215938077)); #45999=CARTESIAN_POINT('Origin',(60.9904267976933,20.3111781936138,-0.999999999999997)); #46000=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.3)); #46001=CARTESIAN_POINT('',(64.120975230487,28.7848394368844,-0.3)); #46002=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.3)); #46003=CARTESIAN_POINT('',(64.206975230487,28.7848394368844,-0.3)); #46004=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.3)); #46005=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.15)); #46006=CARTESIAN_POINT('',(64.120975230487,28.7848394368844,0.)); #46007=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,0.)); #46008=CARTESIAN_POINT('',(64.120975230487,28.7848394368844,-0.15)); #46009=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-70.6819215938077)); #46010=CARTESIAN_POINT('',(64.206975230487,28.7848394368844,-0.999999999999997)); #46011=CARTESIAN_POINT('',(64.206975230487,28.7848394368844,-70.6819215938077)); #46012=CARTESIAN_POINT('Origin',(64.339975230487,28.7848394368844,-0.999999999999997)); #46013=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.3)); #46014=CARTESIAN_POINT('',(65.0680386949023,23.0522362772115,-0.3)); #46015=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.3)); #46016=CARTESIAN_POINT('',(65.1540386949023,23.0522362772115,-0.3)); #46017=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.3)); #46018=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.15)); #46019=CARTESIAN_POINT('',(65.0680386949023,23.0522362772115,0.)); #46020=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,0.)); #46021=CARTESIAN_POINT('',(65.0680386949023,23.0522362772115,-0.15)); #46022=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-70.6819215938077)); #46023=CARTESIAN_POINT('',(65.1540386949023,23.0522362772115,-0.999999999999997)); #46024=CARTESIAN_POINT('',(65.1540386949023,23.0522362772115,-70.6819215938077)); #46025=CARTESIAN_POINT('Origin',(65.2870386949023,23.0522362772115,-0.999999999999997)); #46026=CARTESIAN_POINT('Origin',(65.4851005071646,22.3288611888075,-70.6819215938077)); #46027=CARTESIAN_POINT('',(65.3191005071646,22.3288611888075,0.)); #46028=CARTESIAN_POINT('Origin',(65.4851005071646,22.3288611888075,0.)); #46029=CARTESIAN_POINT('',(65.3191005071646,22.3288611888075,-0.999999999999997)); #46030=CARTESIAN_POINT('',(65.3191005071646,22.3288611888075,-70.6819215938077)); #46031=CARTESIAN_POINT('Origin',(65.4851005071646,22.3288611888075,-0.999999999999997)); #46032=CARTESIAN_POINT('Origin',(65.4851005071646,39.738936071674,-70.6819215938077)); #46033=CARTESIAN_POINT('',(65.3191005071646,39.738936071674,0.)); #46034=CARTESIAN_POINT('Origin',(65.4851005071646,39.738936071674,0.)); #46035=CARTESIAN_POINT('',(65.3191005071646,39.738936071674,-0.999999999999997)); #46036=CARTESIAN_POINT('',(65.3191005071646,39.738936071674,-70.6819215938077)); #46037=CARTESIAN_POINT('Origin',(65.4851005071646,39.738936071674,-0.999999999999997)); #46038=CARTESIAN_POINT('Origin',(20.7105975434505,54.6010923034282,-0.804540039521265)); #46039=CARTESIAN_POINT('',(20.5844079586738,54.6279147276869,-0.85733779990067)); #46040=CARTESIAN_POINT('Origin',(20.6859198241507,54.6063377145924,-0.773361471847356)); #46041=CARTESIAN_POINT('',(20.7352752627504,54.595846892264,-0.835718607195174)); #46042=CARTESIAN_POINT('',(20.6598416107121,54.6118808099755,-0.846528203547921)); #46043=CARTESIAN_POINT('Origin',(20.4296514492872,54.6608092392182,-0.449584352443013)); #46044=CARTESIAN_POINT('',(20.0718712089468,54.7368577769384,-0.209783561091979)); #46045=CARTESIAN_POINT('Origin',(20.1733830744237,54.715280763844,-0.125807233038667)); #46046=CARTESIAN_POINT('',(20.3281395838103,54.6823862523127,-0.533560680496326)); #46047=CARTESIAN_POINT('Origin',(17.5919221811841,39.9288782924211,-0.804540039521268)); #46048=CARTESIAN_POINT('',(17.4657325964074,39.9557007166799,-0.857337799900672)); #46049=CARTESIAN_POINT('Origin',(17.5672444618843,39.9341237035854,-0.773361471847359)); #46050=CARTESIAN_POINT('',(17.616599900484,39.9236328812569,-0.835718607195177)); #46051=CARTESIAN_POINT('',(17.5411662484457,39.9396667989684,-0.846528203547924)); #46052=CARTESIAN_POINT('Origin',(17.3109760870208,39.9885952282111,-0.449584352443016)); #46053=CARTESIAN_POINT('',(16.9531958466804,40.0646437659314,-0.209783561091982)); #46054=CARTESIAN_POINT('Origin',(17.0547077121573,40.0430667528369,-0.125807233038671)); #46055=CARTESIAN_POINT('',(17.2094642215439,40.0101722413056,-0.533560680496329)); #46056=CARTESIAN_POINT('Origin',(20.1908183164061,52.1557233015937,-0.804540039521266)); #46057=CARTESIAN_POINT('',(20.0646287316294,52.1825457258524,-0.857337799900669)); #46058=CARTESIAN_POINT('Origin',(20.1661405971063,52.1609687127579,-0.773361471847357)); #46059=CARTESIAN_POINT('',(20.215496035706,52.1504778904295,-0.835718607195174)); #46060=CARTESIAN_POINT('',(20.1400623836677,52.166511808141,-0.846528203547922)); #46061=CARTESIAN_POINT('Origin',(19.9098722222428,52.2154402373837,-0.449584352443014)); #46062=CARTESIAN_POINT('',(19.5520919819024,52.2914887751039,-0.209783561091977)); #46063=CARTESIAN_POINT('Origin',(19.6536038473793,52.2699117620094,-0.125807233038666)); #46064=CARTESIAN_POINT('',(19.8083603567659,52.2370172504782,-0.533560680496326)); #46065=CARTESIAN_POINT('Origin',(18.1117014082285,42.3742472942557,-0.804540039521267)); #46066=CARTESIAN_POINT('',(17.9855118234518,42.4010697185144,-0.857337799900672)); #46067=CARTESIAN_POINT('Origin',(18.0870236889287,42.3794927054199,-0.773361471847359)); #46068=CARTESIAN_POINT('',(18.1363791275284,42.3690018830914,-0.835718607195176)); #46069=CARTESIAN_POINT('',(18.0609454754901,42.3850358008029,-0.846528203547924)); #46070=CARTESIAN_POINT('Origin',(17.8307553140652,42.4339642300456,-0.449584352443016)); #46071=CARTESIAN_POINT('',(17.4729750737248,42.5100127677659,-0.209783561091981)); #46072=CARTESIAN_POINT('Origin',(17.5744869392017,42.4884357546714,-0.125807233038669)); #46073=CARTESIAN_POINT('',(17.7292434485883,42.4555412431401,-0.533560680496328)); #46074=CARTESIAN_POINT('Origin',(19.6710390893617,49.7103542997592,-0.804540039521266)); #46075=CARTESIAN_POINT('',(19.544849504585,49.7371767240179,-0.85733779990067)); #46076=CARTESIAN_POINT('Origin',(19.6463613700619,49.7155997109234,-0.773361471847357)); #46077=CARTESIAN_POINT('',(19.6957168086616,49.705108888595,-0.835718607195174)); #46078=CARTESIAN_POINT('',(19.6202831566233,49.7211428063064,-0.846528203547922)); #46079=CARTESIAN_POINT('Origin',(19.3900929951984,49.7700712355492,-0.449584352443014)); #46080=CARTESIAN_POINT('',(19.032312754858,49.8461197732694,-0.20978356109198)); #46081=CARTESIAN_POINT('Origin',(19.1338246203349,49.8245427601749,-0.125807233038668)); #46082=CARTESIAN_POINT('',(19.2885811297215,49.7916482486437,-0.533560680496327)); #46083=CARTESIAN_POINT('Origin',(18.6314806352729,44.8196162960902,-0.804540039521267)); #46084=CARTESIAN_POINT('',(18.5052910504962,44.8464387203489,-0.857337799900671)); #46085=CARTESIAN_POINT('Origin',(18.6068029159731,44.8248617072544,-0.773361471847358)); #46086=CARTESIAN_POINT('',(18.6561583545728,44.8143708849259,-0.835718607195176)); #46087=CARTESIAN_POINT('',(18.5807247025345,44.8304048026374,-0.846528203547923)); #46088=CARTESIAN_POINT('Origin',(18.3505345411096,44.8793332318802,-0.449584352443015)); #46089=CARTESIAN_POINT('',(17.9927543007692,44.9553817696004,-0.209783561091981)); #46090=CARTESIAN_POINT('Origin',(18.0942661662461,44.9338047565059,-0.125807233038669)); #46091=CARTESIAN_POINT('',(18.2490226756327,44.9009102449746,-0.533560680496328)); #46092=CARTESIAN_POINT('Origin',(17.4453039105795,38.5338986302407,-0.804540039521265)); #46093=CARTESIAN_POINT('',(17.3162951754131,38.5338986302407,-0.857337799900669)); #46094=CARTESIAN_POINT('Origin',(17.4200748763506,38.5338986302407,-0.773361471847356)); #46095=CARTESIAN_POINT('',(17.4705329448084,38.5338986302407,-0.835718607195174)); #46096=CARTESIAN_POINT('',(17.3934140601107,38.5338986302407,-0.846528203547921)); #46097=CARTESIAN_POINT('Origin',(17.1580813135476,38.5338986302407,-0.449584352443013)); #46098=CARTESIAN_POINT('',(16.792308049807,38.5338986302407,-0.209783561091995)); #46099=CARTESIAN_POINT('Origin',(16.8960877507446,38.5338986302407,-0.125807233038682)); #46100=CARTESIAN_POINT('',(17.05430161261,38.5338986302407,-0.533560680496326)); #46101=CARTESIAN_POINT('Origin',(17.4453039105795,23.5338986302407,-0.804540039521268)); #46102=CARTESIAN_POINT('',(17.3162951754131,23.5338986302407,-0.857337799900672)); #46103=CARTESIAN_POINT('Origin',(17.4200748763506,23.5338986302407,-0.773361471847359)); #46104=CARTESIAN_POINT('',(17.4705329448084,23.5338986302407,-0.835718607195177)); #46105=CARTESIAN_POINT('',(17.3934140601107,23.5338986302407,-0.846528203547924)); #46106=CARTESIAN_POINT('Origin',(17.1580813135476,23.5338986302407,-0.449584352443016)); #46107=CARTESIAN_POINT('',(16.792308049807,23.5338986302407,-0.209783561092001)); #46108=CARTESIAN_POINT('Origin',(16.8960877507445,23.5338986302407,-0.125807233038688)); #46109=CARTESIAN_POINT('',(17.05430161261,23.5338986302407,-0.533560680496329)); #46110=CARTESIAN_POINT('Origin',(17.4453039105795,36.0338986302407,-0.804540039521266)); #46111=CARTESIAN_POINT('',(17.3162951754131,36.0338986302407,-0.857337799900669)); #46112=CARTESIAN_POINT('Origin',(17.4200748763506,36.0338986302407,-0.773361471847357)); #46113=CARTESIAN_POINT('',(17.4705329448084,36.0338986302407,-0.835718607195174)); #46114=CARTESIAN_POINT('',(17.3934140601107,36.0338986302407,-0.846528203547922)); #46115=CARTESIAN_POINT('Origin',(17.1580813135476,36.0338986302407,-0.449584352443014)); #46116=CARTESIAN_POINT('',(16.792308049807,36.0338986302407,-0.209783561091996)); #46117=CARTESIAN_POINT('Origin',(16.8960877507445,36.0338986302407,-0.125807233038684)); #46118=CARTESIAN_POINT('',(17.05430161261,36.0338986302407,-0.533560680496326)); #46119=CARTESIAN_POINT('Origin',(17.4453039105795,26.0338986302407,-0.804540039521268)); #46120=CARTESIAN_POINT('',(17.3162951754131,26.0338986302407,-0.857337799900671)); #46121=CARTESIAN_POINT('Origin',(17.4200748763506,26.0338986302407,-0.773361471847359)); #46122=CARTESIAN_POINT('',(17.4705329448084,26.0338986302407,-0.835718607195176)); #46123=CARTESIAN_POINT('',(17.3934140601107,26.0338986302407,-0.846528203547924)); #46124=CARTESIAN_POINT('Origin',(17.1580813135476,26.0338986302407,-0.449584352443016)); #46125=CARTESIAN_POINT('',(16.792308049807,26.0338986302407,-0.209783561091998)); #46126=CARTESIAN_POINT('Origin',(16.8960877507445,26.0338986302407,-0.125807233038686)); #46127=CARTESIAN_POINT('',(17.05430161261,26.0338986302407,-0.533560680496328)); #46128=CARTESIAN_POINT('Origin',(17.4453039105795,33.5338986302407,-0.804540039521266)); #46129=CARTESIAN_POINT('',(17.3162951754131,33.5338986302407,-0.85733779990067)); #46130=CARTESIAN_POINT('Origin',(17.4200748763506,33.5338986302407,-0.773361471847357)); #46131=CARTESIAN_POINT('',(17.4705329448084,33.5338986302407,-0.835718607195175)); #46132=CARTESIAN_POINT('',(17.3934140601107,33.5338986302407,-0.846528203547922)); #46133=CARTESIAN_POINT('Origin',(17.1580813135476,33.5338986302407,-0.449584352443014)); #46134=CARTESIAN_POINT('',(16.792308049807,33.5338986302407,-0.209783561091996)); #46135=CARTESIAN_POINT('Origin',(16.8960877507445,33.5338986302407,-0.125807233038685)); #46136=CARTESIAN_POINT('',(17.05430161261,33.5338986302407,-0.533560680496327)); #46137=CARTESIAN_POINT('Origin',(17.4453039105795,28.5338986302407,-0.804540039521267)); #46138=CARTESIAN_POINT('',(17.3162951754131,28.5338986302407,-0.857337799900671)); #46139=CARTESIAN_POINT('Origin',(17.4200748763506,28.5338986302407,-0.773361471847358)); #46140=CARTESIAN_POINT('',(17.4705329448084,28.5338986302407,-0.835718607195176)); #46141=CARTESIAN_POINT('',(17.3934140601107,28.5338986302407,-0.846528203547923)); #46142=CARTESIAN_POINT('Origin',(17.1580813135476,28.5338986302407,-0.449584352443015)); #46143=CARTESIAN_POINT('',(16.792308049807,28.5338986302407,-0.209783561091997)); #46144=CARTESIAN_POINT('Origin',(16.8960877507445,28.5338986302407,-0.125807233038685)); #46145=CARTESIAN_POINT('',(17.05430161261,28.5338986302407,-0.533560680496328)); #46146=CARTESIAN_POINT('Origin',(19.1512598623173,47.2649852979247,-0.804540039521266)); #46147=CARTESIAN_POINT('',(19.0250702775406,47.2918077221834,-0.857337799900671)); #46148=CARTESIAN_POINT('Origin',(19.1265821430175,47.2702307090889,-0.773361471847358)); #46149=CARTESIAN_POINT('',(19.1759375816171,47.2597398867605,-0.835718607195175)); #46150=CARTESIAN_POINT('',(19.1005039295789,47.2757738044719,-0.846528203547923)); #46151=CARTESIAN_POINT('Origin',(18.870313768154,47.3247022337147,-0.449584352443015)); #46152=CARTESIAN_POINT('',(18.5125335278136,47.4007507714349,-0.209783561091981)); #46153=CARTESIAN_POINT('Origin',(18.6140453932905,47.3791737583404,-0.125807233038671)); #46154=CARTESIAN_POINT('',(18.7688019026771,47.3462792468091,-0.533560680496327)); #46155=CARTESIAN_POINT('Origin',(17.4453039105795,31.0338986302407,-0.804540039521266)); #46156=CARTESIAN_POINT('',(17.3162951754131,31.0338986302407,-0.85733779990067)); #46157=CARTESIAN_POINT('Origin',(17.4200748763506,31.0338986302407,-0.773361471847358)); #46158=CARTESIAN_POINT('',(17.4705329448084,31.0338986302407,-0.835718607195175)); #46159=CARTESIAN_POINT('',(17.3934140601107,31.0338986302407,-0.846528203547923)); #46160=CARTESIAN_POINT('Origin',(17.1580813135476,31.0338986302407,-0.449584352443015)); #46161=CARTESIAN_POINT('',(16.792308049807,31.0338986302407,-0.209783561091997)); #46162=CARTESIAN_POINT('Origin',(16.8960877507445,31.0338986302407,-0.125807233038685)); #46163=CARTESIAN_POINT('',(17.05430161261,31.0338986302407,-0.533560680496327)); #46164=CARTESIAN_POINT('Origin',(17.5919221811841,22.1389189680603,-0.804540039521265)); #46165=CARTESIAN_POINT('',(17.4657325964074,22.1120965438016,-0.857337799900669)); #46166=CARTESIAN_POINT('Origin',(17.5672444618843,22.133673556896,-0.773361471847356)); #46167=CARTESIAN_POINT('',(17.616599900484,22.1441643792245,-0.835718607195174)); #46168=CARTESIAN_POINT('',(17.5411662484457,22.128130461513,-0.846528203547921)); #46169=CARTESIAN_POINT('Origin',(17.3109760870208,22.0792020322703,-0.449584352443013)); #46170=CARTESIAN_POINT('',(16.9531958466804,22.0031534945501,-0.209783561091983)); #46171=CARTESIAN_POINT('Origin',(17.0547077121574,22.0247305076445,-0.125807233038671)); #46172=CARTESIAN_POINT('',(17.2094642215439,22.0576250191758,-0.533560680496326)); #46173=CARTESIAN_POINT('Origin',(20.7105975434505,7.46670495705318,-0.804540039521268)); #46174=CARTESIAN_POINT('',(20.5844079586738,7.43988253279447,-0.857337799900672)); #46175=CARTESIAN_POINT('Origin',(20.6859198241507,7.46145954588895,-0.773361471847359)); #46176=CARTESIAN_POINT('',(20.7352752627504,7.4719503682174,-0.835718607195177)); #46177=CARTESIAN_POINT('',(20.6598416107121,7.45591645050594,-0.846528203547924)); #46178=CARTESIAN_POINT('Origin',(20.4296514492872,7.4069880212632,-0.449584352443016)); #46179=CARTESIAN_POINT('',(20.0718712089468,7.33093948354297,-0.209783561091986)); #46180=CARTESIAN_POINT('Origin',(20.1733830744237,7.35251649663746,-0.125807233038674)); #46181=CARTESIAN_POINT('',(20.3281395838103,7.38541100816872,-0.533560680496329)); #46182=CARTESIAN_POINT('Origin',(18.1117014082285,19.6935499662258,-0.804540039521266)); #46183=CARTESIAN_POINT('',(17.9855118234518,19.666727541967,-0.85733779990067)); #46184=CARTESIAN_POINT('Origin',(18.0870236889287,19.6883045550615,-0.773361471847357)); #46185=CARTESIAN_POINT('',(18.1363791275284,19.69879537739,-0.835718607195174)); #46186=CARTESIAN_POINT('',(18.0609454754901,19.6827614596785,-0.846528203547922)); #46187=CARTESIAN_POINT('Origin',(17.8307553140652,19.6338330304358,-0.449584352443014)); #46188=CARTESIAN_POINT('',(17.4729750737248,19.5577844927155,-0.209783561091984)); #46189=CARTESIAN_POINT('Origin',(17.5744869392018,19.57936150581,-0.125807233038672)); #46190=CARTESIAN_POINT('',(17.7292434485883,19.6122560173413,-0.533560680496326)); #46191=CARTESIAN_POINT('Origin',(20.1908183164061,9.91207395888769,-0.804540039521267)); #46192=CARTESIAN_POINT('',(20.0646287316294,9.88525153462898,-0.857337799900671)); #46193=CARTESIAN_POINT('Origin',(20.1661405971063,9.90682854772346,-0.773361471847359)); #46194=CARTESIAN_POINT('',(20.215496035706,9.91731937005192,-0.835718607195176)); #46195=CARTESIAN_POINT('',(20.1400623836677,9.90128545234045,-0.846528203547924)); #46196=CARTESIAN_POINT('Origin',(19.9098722222428,9.85235702309772,-0.449584352443016)); #46197=CARTESIAN_POINT('',(19.5520919819024,9.77630848537749,-0.209783561091985)); #46198=CARTESIAN_POINT('Origin',(19.6536038473793,9.79788549847197,-0.125807233038672)); #46199=CARTESIAN_POINT('',(19.8083603567659,9.83078001000323,-0.533560680496328)); #46200=CARTESIAN_POINT('Origin',(18.6314806352729,17.2481809643912,-0.804540039521266)); #46201=CARTESIAN_POINT('',(18.5052910504962,17.2213585401325,-0.85733779990067)); #46202=CARTESIAN_POINT('Origin',(18.6068029159731,17.242935553227,-0.773361471847357)); #46203=CARTESIAN_POINT('',(18.6561583545728,17.2534263755555,-0.835718607195174)); #46204=CARTESIAN_POINT('',(18.5807247025345,17.237392457844,-0.846528203547922)); #46205=CARTESIAN_POINT('Origin',(18.3505345411096,17.1884640286013,-0.449584352443014)); #46206=CARTESIAN_POINT('',(17.9927543007692,17.112415490881,-0.209783561091984)); #46207=CARTESIAN_POINT('Origin',(18.0942661662462,17.1339925039755,-0.125807233038671)); #46208=CARTESIAN_POINT('',(18.2490226756327,17.1668870155068,-0.533560680496327)); #46209=CARTESIAN_POINT('Origin',(19.6710390893617,12.3574429607222,-0.804540039521267)); #46210=CARTESIAN_POINT('',(19.544849504585,12.3306205364635,-0.857337799900671)); #46211=CARTESIAN_POINT('Origin',(19.6463613700619,12.352197549558,-0.773361471847358)); #46212=CARTESIAN_POINT('',(19.6957168086616,12.3626883718864,-0.835718607195176)); #46213=CARTESIAN_POINT('',(19.6202831566233,12.346654454175,-0.846528203547923)); #46214=CARTESIAN_POINT('Origin',(19.3900929951984,12.2977260249322,-0.449584352443015)); #46215=CARTESIAN_POINT('',(19.032312754858,12.221677487212,-0.209783561091985)); #46216=CARTESIAN_POINT('Origin',(19.1338246203349,12.2432545003065,-0.125807233038672)); #46217=CARTESIAN_POINT('',(19.2885811297215,12.2761490118377,-0.533560680496328)); #46218=CARTESIAN_POINT('Origin',(19.1512598623173,14.8028119625567,-0.804540039521266)); #46219=CARTESIAN_POINT('',(19.0250702775406,14.775989538298,-0.85733779990067)); #46220=CARTESIAN_POINT('Origin',(19.1265821430175,14.7975665513925,-0.773361471847358)); #46221=CARTESIAN_POINT('',(19.1759375816172,14.8080573737209,-0.835718607195175)); #46222=CARTESIAN_POINT('',(19.1005039295789,14.7920234560095,-0.846528203547923)); #46223=CARTESIAN_POINT('Origin',(18.870313768154,14.7430950267667,-0.449584352443015)); #46224=CARTESIAN_POINT('',(18.5125335278136,14.6670464890465,-0.209783561091985)); #46225=CARTESIAN_POINT('Origin',(18.6140453932906,14.688623502141,-0.125807233038672)); #46226=CARTESIAN_POINT('',(18.7688019026771,14.7215180136723,-0.533560680496327)); #46227=CARTESIAN_POINT('Origin',(23.877962196121,53.7797249337995,-70.6819215938077)); #46228=CARTESIAN_POINT('',(23.711962196121,53.7797249337995,0.)); #46229=CARTESIAN_POINT('Origin',(23.877962196121,53.7797249337995,0.)); #46230=CARTESIAN_POINT('',(23.711962196121,53.7797249337995,-0.999999999999997)); #46231=CARTESIAN_POINT('',(23.711962196121,53.7797249337995,-70.6819215938077)); #46232=CARTESIAN_POINT('Origin',(23.877962196121,53.7797249337995,-0.999999999999997)); #46233=CARTESIAN_POINT('Origin',(21.9736411838554,54.3909216960976,-70.6819215938077)); #46234=CARTESIAN_POINT('',(21.8076411838554,54.3909216960976,0.)); #46235=CARTESIAN_POINT('Origin',(21.9736411838554,54.3909216960976,0.)); #46236=CARTESIAN_POINT('',(21.8076411838554,54.3909216960976,-0.999999999999997)); #46237=CARTESIAN_POINT('',(21.8076411838554,54.3909216960976,-70.6819215938077)); #46238=CARTESIAN_POINT('Origin',(21.9736411838554,54.3909216960976,-0.999999999999997)); #46239=CARTESIAN_POINT('Origin',(25.7822832083866,53.1685281715014,-70.6819215938077)); #46240=CARTESIAN_POINT('',(25.6162832083866,53.1685281715014,0.)); #46241=CARTESIAN_POINT('Origin',(25.7822832083866,53.1685281715014,0.)); #46242=CARTESIAN_POINT('',(25.6162832083866,53.1685281715014,-0.999999999999997)); #46243=CARTESIAN_POINT('',(25.6162832083866,53.1685281715014,-70.6819215938077)); #46244=CARTESIAN_POINT('Origin',(25.7822832083866,53.1685281715014,-0.999999999999997)); #46245=CARTESIAN_POINT('Origin',(29.5909252329178,51.9461346469051,-70.6819215938077)); #46246=CARTESIAN_POINT('',(29.4249252329178,51.9461346469051,0.)); #46247=CARTESIAN_POINT('Origin',(29.5909252329178,51.9461346469051,0.)); #46248=CARTESIAN_POINT('',(29.4249252329178,51.9461346469051,-0.999999999999997)); #46249=CARTESIAN_POINT('',(29.4249252329178,51.9461346469051,-70.6819215938077)); #46250=CARTESIAN_POINT('Origin',(29.5909252329178,51.9461346469051,-0.999999999999997)); #46251=CARTESIAN_POINT('Origin',(27.6866042206522,52.5573314092032,-70.6819215938077)); #46252=CARTESIAN_POINT('',(27.5206042206522,52.5573314092032,0.)); #46253=CARTESIAN_POINT('Origin',(27.6866042206522,52.5573314092032,0.)); #46254=CARTESIAN_POINT('',(27.5206042206522,52.5573314092032,-0.999999999999997)); #46255=CARTESIAN_POINT('',(27.5206042206522,52.5573314092032,-70.6819215938077)); #46256=CARTESIAN_POINT('Origin',(27.6866042206522,52.5573314092032,-0.999999999999997)); #46257=CARTESIAN_POINT('Origin',(23.877962196121,8.28807232668206,-70.6819215938077)); #46258=CARTESIAN_POINT('',(23.711962196121,8.28807232668206,0.)); #46259=CARTESIAN_POINT('Origin',(23.877962196121,8.28807232668206,0.)); #46260=CARTESIAN_POINT('',(23.711962196121,8.28807232668206,-0.999999999999997)); #46261=CARTESIAN_POINT('',(23.711962196121,8.28807232668206,-70.6819215938077)); #46262=CARTESIAN_POINT('Origin',(23.877962196121,8.28807232668206,-0.999999999999997)); #46263=CARTESIAN_POINT('Origin',(27.6866042206522,9.51046585127836,-70.6819215938077)); #46264=CARTESIAN_POINT('',(27.5206042206522,9.51046585127836,0.)); #46265=CARTESIAN_POINT('Origin',(27.6866042206522,9.51046585127836,0.)); #46266=CARTESIAN_POINT('',(27.5206042206522,9.51046585127836,-0.999999999999997)); #46267=CARTESIAN_POINT('',(27.5206042206522,9.51046585127836,-70.6819215938077)); #46268=CARTESIAN_POINT('Origin',(27.6866042206522,9.51046585127836,-0.999999999999997)); #46269=CARTESIAN_POINT('Origin',(29.5909252329178,10.1216626135765,-70.6819215938077)); #46270=CARTESIAN_POINT('',(29.4249252329178,10.1216626135765,0.)); #46271=CARTESIAN_POINT('Origin',(29.5909252329178,10.1216626135765,0.)); #46272=CARTESIAN_POINT('',(29.4249252329178,10.1216626135765,-0.999999999999997)); #46273=CARTESIAN_POINT('',(29.4249252329178,10.1216626135765,-70.6819215938077)); #46274=CARTESIAN_POINT('Origin',(29.5909252329178,10.1216626135765,-0.999999999999997)); #46275=CARTESIAN_POINT('Origin',(25.7822832083866,8.89926908898021,-70.6819215938077)); #46276=CARTESIAN_POINT('',(25.6162832083866,8.89926908898021,0.)); #46277=CARTESIAN_POINT('Origin',(25.7822832083866,8.89926908898021,0.)); #46278=CARTESIAN_POINT('',(25.6162832083866,8.89926908898021,-0.999999999999997)); #46279=CARTESIAN_POINT('',(25.6162832083866,8.89926908898021,-70.6819215938077)); #46280=CARTESIAN_POINT('Origin',(25.7822832083866,8.89926908898021,-0.999999999999997)); #46281=CARTESIAN_POINT('Origin',(21.9736411838554,7.67687556438392,-70.6819215938077)); #46282=CARTESIAN_POINT('',(21.8076411838554,7.67687556438392,0.)); #46283=CARTESIAN_POINT('Origin',(21.9736411838554,7.67687556438392,0.)); #46284=CARTESIAN_POINT('',(21.8076411838554,7.67687556438392,-0.999999999999997)); #46285=CARTESIAN_POINT('',(21.8076411838554,7.67687556438392,-70.6819215938077)); #46286=CARTESIAN_POINT('Origin',(21.9736411838554,7.67687556438392,-0.999999999999997)); #46287=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-0.125)); #46288=CARTESIAN_POINT('',(27.9497449396166,48.0678618393216,0.)); #46289=CARTESIAN_POINT('',(28.0709520344421,48.0373030408495,-0.125)); #46290=CARTESIAN_POINT('Origin',(27.9497449396166,48.0678618393216,-0.125)); #46291=CARTESIAN_POINT('',(31.8686130807799,50.1358638425509,-0.125)); #46292=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-0.125)); #46293=CARTESIAN_POINT('',(31.9072402050768,50.2547459070878,0.)); #46294=CARTESIAN_POINT('Origin',(31.9072402050768,50.2547459070878,-0.125)); #46295=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #46296=CARTESIAN_POINT('Origin',(29.3049901184075,51.1002682147703,-0.125)); #46297=CARTESIAN_POINT('',(61.7903124242498,40.4137143811,-0.125)); #46298=CARTESIAN_POINT('',(61.8289395485467,40.5325964456369,0.)); #46299=CARTESIAN_POINT('Origin',(61.8289395485467,40.5325964456369,-0.125)); #46300=CARTESIAN_POINT('',(29.3049901184075,51.1002682147703,0.)); #46301=CARTESIAN_POINT('',(29.2663629941106,50.9813861502334,-0.125)); #46302=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,-0.125)); #46303=CARTESIAN_POINT('',(63.7907399292068,37.0368427043309,-0.125)); #46304=CARTESIAN_POINT('',(63.9135601303113,37.0136005400476,0.)); #46305=CARTESIAN_POINT('Origin',(63.9135601303113,37.0136005400476,-0.125)); #46306=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,0.)); #46307=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,-0.125)); #46308=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-0.125)); #46309=CARTESIAN_POINT('',(63.7907399292069,25.0309545561503,-0.125)); #46310=CARTESIAN_POINT('',(63.9135601303117,25.0541967204337,0.)); #46311=CARTESIAN_POINT('Origin',(63.9135601303117,25.0541967204337,-0.125)); #46312=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #46313=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-0.125)); #46314=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,-0.125)); #46315=CARTESIAN_POINT('',(61.7903124242503,21.6540828793813,-0.125)); #46316=CARTESIAN_POINT('',(61.8289395485472,21.5352008148444,0.)); #46317=CARTESIAN_POINT('Origin',(61.8289395485472,21.5352008148444,-0.125)); #46318=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #46319=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,-0.125)); #46320=CARTESIAN_POINT('Origin',(44.2658397901436,15.8286037764356,-0.125)); #46321=CARTESIAN_POINT('',(31.868613080781,11.9319334179288,-0.125)); #46322=CARTESIAN_POINT('',(31.9072402050779,11.8130513533919,0.)); #46323=CARTESIAN_POINT('Origin',(31.9072402050779,11.8130513533919,-0.125)); #46324=CARTESIAN_POINT('',(44.2658397901436,15.8286037764356,0.)); #46325=CARTESIAN_POINT('',(44.2272126658467,15.9474858409725,-0.125)); #46326=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-0.125)); #46327=CARTESIAN_POINT('',(28.0709520344426,14.03049421963,-0.125)); #46328=CARTESIAN_POINT('',(27.9497449396175,13.9999354211579,0.)); #46329=CARTESIAN_POINT('Origin',(27.9497449396175,13.9999354211579,-0.125)); #46330=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #46331=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-0.125)); #46332=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-0.125)); #46333=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #46334=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-0.125)); #46335=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #46336=CARTESIAN_POINT('',(63.7907399292068,37.0368427043309,-1.)); #46337=CARTESIAN_POINT('',(63.7907399292068,37.0368427043309,0.)); #46338=CARTESIAN_POINT('',(63.7907399292069,25.0309545561503,-1.)); #46339=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-1.)); #46340=CARTESIAN_POINT('',(63.7907399292069,25.0309545561503,0.)); #46341=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,0.)); #46342=CARTESIAN_POINT('',(61.7903124242498,40.4137143811,-1.)); #46343=CARTESIAN_POINT('',(61.7903124242498,40.4137143811,0.)); #46344=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277034,-1.)); #46345=CARTESIAN_POINT('Origin',(31.8686130807799,50.1358638425509,0.)); #46346=CARTESIAN_POINT('',(31.8686130807799,50.1358638425509,-1.)); #46347=CARTESIAN_POINT('',(31.8686130807799,50.1358638425509,0.)); #46348=CARTESIAN_POINT('',(40.5697664225772,47.3086877418587,-1.)); #46349=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #46350=CARTESIAN_POINT('',(28.0709520344421,48.0373030408495,-1.)); #46351=CARTESIAN_POINT('',(28.0709520344421,48.0373030408495,0.)); #46352=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-1.)); #46353=CARTESIAN_POINT('Origin',(19.9080544704664,31.0338986302407,0.)); #46354=CARTESIAN_POINT('',(64.2623695014494,36.9475927934828,0.)); #46355=CARTESIAN_POINT('',(61.9386405815497,40.8702215089212,0.)); #46356=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,0.)); #46357=CARTESIAN_POINT('',(32.0169412380798,50.5923709703721,0.)); #46358=CARTESIAN_POINT('',(29.4146911514104,51.4378932780546,-1.09273919746571E-17)); #46359=CARTESIAN_POINT('',(27.6055167903136,48.1546488269821,0.)); #46360=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #46361=CARTESIAN_POINT('',(27.6055167903141,13.9131484334974,0.)); #46362=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302407,0.)); #46363=CARTESIAN_POINT('',(32.016941238081,11.475426290107,0.)); #46364=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #46365=CARTESIAN_POINT('',(61.9386405815503,21.1975757515596,0.)); #46366=CARTESIAN_POINT('',(44.3755408231467,15.4909787131507,0.)); #46367=CARTESIAN_POINT('',(64.2623695014494,25.1202044669984,0.)); #46368=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #46369=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #46370=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #46371=CARTESIAN_POINT('',(61.7903124242503,21.6540828793813,-1.)); #46372=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,-1.)); #46373=CARTESIAN_POINT('',(61.7903124242503,21.6540828793813,0.)); #46374=CARTESIAN_POINT('Origin',(61.7903124242503,21.6540828793813,0.)); #46375=CARTESIAN_POINT('',(31.868613080781,11.9319334179288,-1.)); #46376=CARTESIAN_POINT('',(55.5306160943126,19.6201842493477,-1.)); #46377=CARTESIAN_POINT('',(31.868613080781,11.9319334179288,0.)); #46378=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #46379=CARTESIAN_POINT('',(28.0709520344426,14.03049421963,-1.)); #46380=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-1.)); #46381=CARTESIAN_POINT('',(28.0709520344426,14.03049421963,0.)); #46382=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #46383=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-1.)); #46384=CARTESIAN_POINT('Origin',(64.8233288904968,25.0669753050474,-70.6819215938077)); #46385=CARTESIAN_POINT('',(64.6573288904968,25.0669753050474,0.)); #46386=CARTESIAN_POINT('Origin',(64.8233288904968,25.0669753050474,0.)); #46387=CARTESIAN_POINT('',(64.6573288904968,25.0669753050474,-0.999999999999997)); #46388=CARTESIAN_POINT('',(64.6573288904968,25.0669753050474,-70.6819215938077)); #46389=CARTESIAN_POINT('Origin',(64.8233288904968,25.0669753050474,-0.999999999999997)); #46390=CARTESIAN_POINT('Origin',(64.2937599531836,29.5339136062158,-70.6819215938077)); #46391=CARTESIAN_POINT('',(64.1277599531836,29.5339136062158,0.)); #46392=CARTESIAN_POINT('Origin',(64.2937599531836,29.5339136062158,0.)); #46393=CARTESIAN_POINT('',(64.1277599531836,29.5339136062158,-0.999999999999997)); #46394=CARTESIAN_POINT('',(64.1277599531836,29.5339136062158,-70.6819215938077)); #46395=CARTESIAN_POINT('Origin',(64.2937599531836,29.5339136062158,-0.999999999999997)); #46396=CARTESIAN_POINT('Origin',(64.2937599531836,32.5338836542649,-70.6819215938077)); #46397=CARTESIAN_POINT('',(64.1277599531836,32.5338836542649,0.)); #46398=CARTESIAN_POINT('Origin',(64.2937599531836,32.5338836542649,0.)); #46399=CARTESIAN_POINT('',(64.1277599531836,32.5338836542649,-0.999999999999997)); #46400=CARTESIAN_POINT('',(64.1277599531836,32.5338836542649,-70.6819215938077)); #46401=CARTESIAN_POINT('Origin',(64.2937599531836,32.5338836542649,-0.999999999999997)); #46402=CARTESIAN_POINT('Origin',(64.5813784156377,35.5200343385484,-70.6819215938077)); #46403=CARTESIAN_POINT('',(64.4153784156377,35.5200343385484,0.)); #46404=CARTESIAN_POINT('Origin',(64.5813784156377,35.5200343385484,0.)); #46405=CARTESIAN_POINT('',(64.4153784156377,35.5200343385484,-0.999999999999997)); #46406=CARTESIAN_POINT('',(64.4153784156377,35.5200343385484,-70.6819215938077)); #46407=CARTESIAN_POINT('Origin',(64.5813784156377,35.5200343385484,-0.999999999999997)); #46408=CARTESIAN_POINT('Origin',(31.733286371716,51.2585382893176,-70.6819215938077)); #46409=CARTESIAN_POINT('',(31.567286371716,51.2585382893176,0.)); #46410=CARTESIAN_POINT('Origin',(31.733286371716,51.2585382893176,0.)); #46411=CARTESIAN_POINT('',(31.567286371716,51.2585382893176,-0.999999999999997)); #46412=CARTESIAN_POINT('',(31.567286371716,51.2585382893176,-70.6819215938077)); #46413=CARTESIAN_POINT('Origin',(31.733286371716,51.2585382893176,-0.999999999999997)); #46414=CARTESIAN_POINT('Origin',(55.9955112614271,43.3795655056192,-70.6819215938077)); #46415=CARTESIAN_POINT('',(55.8295112614271,43.3795655056192,0.)); #46416=CARTESIAN_POINT('Origin',(55.9955112614271,43.3795655056192,0.)); #46417=CARTESIAN_POINT('',(55.8295112614271,43.3795655056192,-0.999999999999997)); #46418=CARTESIAN_POINT('',(55.8295112614271,43.3795655056192,-70.6819215938077)); #46419=CARTESIAN_POINT('Origin',(55.9955112614271,43.3795655056192,-0.999999999999997)); #46420=CARTESIAN_POINT('Origin',(54.5683925367797,43.8432644881199,-70.6819215938077)); #46421=CARTESIAN_POINT('',(54.4023925367797,43.8432644881199,0.)); #46422=CARTESIAN_POINT('Origin',(54.5683925367797,43.8432644881199,0.)); #46423=CARTESIAN_POINT('',(54.4023925367797,43.8432644881199,-0.999999999999997)); #46424=CARTESIAN_POINT('',(54.4023925367797,43.8432644881199,-70.6819215938077)); #46425=CARTESIAN_POINT('Origin',(54.5683925367797,43.8432644881199,-0.999999999999997)); #46426=CARTESIAN_POINT('Origin',(48.85991763819,45.6980604181226,-70.6819215938077)); #46427=CARTESIAN_POINT('',(48.69391763819,45.6980604181226,0.)); #46428=CARTESIAN_POINT('Origin',(48.85991763819,45.6980604181226,0.)); #46429=CARTESIAN_POINT('',(48.69391763819,45.6980604181226,-0.999999999999997)); #46430=CARTESIAN_POINT('',(48.69391763819,45.6980604181226,-70.6819215938077)); #46431=CARTESIAN_POINT('Origin',(48.85991763819,45.6980604181226,-0.999999999999997)); #46432=CARTESIAN_POINT('Origin',(51.7141550874849,44.7706624531212,-70.6819215938077)); #46433=CARTESIAN_POINT('',(51.5481550874849,44.7706624531212,0.)); #46434=CARTESIAN_POINT('Origin',(51.7141550874849,44.7706624531212,0.)); #46435=CARTESIAN_POINT('',(51.5481550874849,44.7706624531212,-0.999999999999997)); #46436=CARTESIAN_POINT('',(51.5481550874849,44.7706624531212,-70.6819215938077)); #46437=CARTESIAN_POINT('Origin',(51.7141550874849,44.7706624531212,-0.999999999999997)); #46438=CARTESIAN_POINT('Origin',(61.7039861600168,41.5247695756165,-70.6819215938077)); #46439=CARTESIAN_POINT('',(61.5379861600168,41.5247695756165,0.)); #46440=CARTESIAN_POINT('Origin',(61.7039861600168,41.5247695756165,0.)); #46441=CARTESIAN_POINT('',(61.5379861600168,41.5247695756165,-0.999999999999997)); #46442=CARTESIAN_POINT('',(61.5379861600168,41.5247695756165,-70.6819215938077)); #46443=CARTESIAN_POINT('Origin',(61.7039861600168,41.5247695756165,-0.999999999999997)); #46444=CARTESIAN_POINT('Origin',(38.8700865656581,48.9439532956273,-70.6819215938077)); #46445=CARTESIAN_POINT('',(38.7040865656581,48.9439532956273,0.)); #46446=CARTESIAN_POINT('Origin',(38.8700865656581,48.9439532956273,0.)); #46447=CARTESIAN_POINT('',(38.7040865656581,48.9439532956273,-0.999999999999997)); #46448=CARTESIAN_POINT('',(38.7040865656581,48.9439532956273,-70.6819215938077)); #46449=CARTESIAN_POINT('Origin',(38.8700865656581,48.9439532956273,-0.999999999999997)); #46450=CARTESIAN_POINT('Origin',(41.7243240149529,48.016555330626,-70.6819215938077)); #46451=CARTESIAN_POINT('',(41.5583240149529,48.016555330626,0.)); #46452=CARTESIAN_POINT('Origin',(41.7243240149529,48.016555330626,0.)); #46453=CARTESIAN_POINT('',(41.5583240149529,48.016555330626,-0.999999999999997)); #46454=CARTESIAN_POINT('',(41.5583240149529,48.016555330626,-70.6819215938077)); #46455=CARTESIAN_POINT('Origin',(41.7243240149529,48.016555330626,-0.999999999999997)); #46456=CARTESIAN_POINT('Origin',(44.5785614642477,47.0891573656246,-70.6819215938077)); #46457=CARTESIAN_POINT('',(44.4125614642477,47.0891573656246,0.)); #46458=CARTESIAN_POINT('Origin',(44.5785614642477,47.0891573656246,0.)); #46459=CARTESIAN_POINT('',(44.4125614642477,47.0891573656246,-0.999999999999997)); #46460=CARTESIAN_POINT('',(44.4125614642477,47.0891573656246,-70.6819215938077)); #46461=CARTESIAN_POINT('Origin',(44.5785614642477,47.0891573656246,-0.999999999999997)); #46462=CARTESIAN_POINT('Origin',(47.4327989135426,46.1617594006233,-70.6819215938077)); #46463=CARTESIAN_POINT('',(47.2667989135426,46.1617594006233,0.)); #46464=CARTESIAN_POINT('Origin',(47.4327989135426,46.1617594006233,0.)); #46465=CARTESIAN_POINT('',(47.2667989135426,46.1617594006233,-0.999999999999997)); #46466=CARTESIAN_POINT('',(47.2667989135426,46.1617594006233,-70.6819215938077)); #46467=CARTESIAN_POINT('Origin',(47.4327989135426,46.1617594006233,-0.999999999999997)); #46468=CARTESIAN_POINT('Origin',(34.5887303917158,50.3350502431293,-70.6819215938077)); #46469=CARTESIAN_POINT('',(34.4227303917158,50.3350502431293,0.)); #46470=CARTESIAN_POINT('Origin',(34.5887303917158,50.3350502431293,0.)); #46471=CARTESIAN_POINT('',(34.4227303917158,50.3350502431293,-0.999999999999997)); #46472=CARTESIAN_POINT('',(34.4227303917158,50.3350502431293,-70.6819215938077)); #46473=CARTESIAN_POINT('Origin',(34.5887303917158,50.3350502431293,-0.999999999999997)); #46474=CARTESIAN_POINT('Origin',(37.4429678410106,49.407652278128,-70.6819215938077)); #46475=CARTESIAN_POINT('',(37.2769678410106,49.407652278128,0.)); #46476=CARTESIAN_POINT('Origin',(37.4429678410106,49.407652278128,0.)); #46477=CARTESIAN_POINT('',(37.2769678410106,49.407652278128,-0.999999999999997)); #46478=CARTESIAN_POINT('',(37.2769678410106,49.407652278128,-70.6819215938077)); #46479=CARTESIAN_POINT('Origin',(37.4429678410106,49.407652278128,-0.999999999999997)); #46480=CARTESIAN_POINT('Origin',(33.161611667069,11.2690480348495,-70.6819215938077)); #46481=CARTESIAN_POINT('',(32.995611667069,11.2690480348495,0.)); #46482=CARTESIAN_POINT('Origin',(33.161611667069,11.2690480348495,0.)); #46483=CARTESIAN_POINT('',(32.995611667069,11.2690480348495,-0.999999999999997)); #46484=CARTESIAN_POINT('',(32.995611667069,11.2690480348495,-70.6819215938077)); #46485=CARTESIAN_POINT('Origin',(33.161611667069,11.2690480348495,-0.999999999999997)); #46486=CARTESIAN_POINT('Origin',(36.0158491163638,12.196445999851,-70.6819215938077)); #46487=CARTESIAN_POINT('',(35.8498491163638,12.196445999851,0.)); #46488=CARTESIAN_POINT('Origin',(36.0158491163638,12.196445999851,0.)); #46489=CARTESIAN_POINT('',(35.8498491163638,12.196445999851,-0.999999999999997)); #46490=CARTESIAN_POINT('',(35.8498491163638,12.196445999851,-70.6819215938077)); #46491=CARTESIAN_POINT('Origin',(36.0158491163638,12.196445999851,-0.999999999999997)); #46492=CARTESIAN_POINT('Origin',(38.8700865656586,13.1238439648524,-70.6819215938077)); #46493=CARTESIAN_POINT('',(38.7040865656586,13.1238439648524,0.)); #46494=CARTESIAN_POINT('Origin',(38.8700865656586,13.1238439648524,0.)); #46495=CARTESIAN_POINT('',(38.7040865656586,13.1238439648524,-0.999999999999997)); #46496=CARTESIAN_POINT('',(38.7040865656586,13.1238439648524,-70.6819215938077)); #46497=CARTESIAN_POINT('Origin',(38.8700865656586,13.1238439648524,-0.999999999999997)); #46498=CARTESIAN_POINT('Origin',(41.7243240149534,14.0512419298539,-70.6819215938077)); #46499=CARTESIAN_POINT('',(41.5583240149534,14.0512419298539,0.)); #46500=CARTESIAN_POINT('Origin',(41.7243240149534,14.0512419298539,0.)); #46501=CARTESIAN_POINT('',(41.5583240149534,14.0512419298539,-0.999999999999997)); #46502=CARTESIAN_POINT('',(41.5583240149534,14.0512419298539,-70.6819215938077)); #46503=CARTESIAN_POINT('Origin',(41.7243240149534,14.0512419298539,-0.999999999999997)); #46504=CARTESIAN_POINT('Origin',(61.703986160017,20.5430276848642,-70.6819215938077)); #46505=CARTESIAN_POINT('',(61.537986160017,20.5430276848642,0.)); #46506=CARTESIAN_POINT('Origin',(61.703986160017,20.5430276848642,0.)); #46507=CARTESIAN_POINT('',(61.537986160017,20.5430276848642,-0.999999999999997)); #46508=CARTESIAN_POINT('',(61.537986160017,20.5430276848642,-70.6819215938077)); #46509=CARTESIAN_POINT('Origin',(61.703986160017,20.5430276848642,-0.999999999999997)); #46510=CARTESIAN_POINT('Origin',(43.1514427396008,14.5149409123546,-70.6819215938077)); #46511=CARTESIAN_POINT('',(42.9854427396008,14.5149409123546,0.)); #46512=CARTESIAN_POINT('Origin',(43.1514427396008,14.5149409123546,0.)); #46513=CARTESIAN_POINT('',(42.9854427396008,14.5149409123546,-0.999999999999997)); #46514=CARTESIAN_POINT('',(42.9854427396008,14.5149409123546,-70.6819215938077)); #46515=CARTESIAN_POINT('Origin',(43.1514427396008,14.5149409123546,-0.999999999999997)); #46516=CARTESIAN_POINT('Origin',(46.0056801888956,15.4423388773561,-70.6819215938077)); #46517=CARTESIAN_POINT('',(45.8396801888956,15.4423388773561,0.)); #46518=CARTESIAN_POINT('Origin',(46.0056801888956,15.4423388773561,0.)); #46519=CARTESIAN_POINT('',(45.8396801888956,15.4423388773561,-0.999999999999997)); #46520=CARTESIAN_POINT('',(45.8396801888956,15.4423388773561,-70.6819215938077)); #46521=CARTESIAN_POINT('Origin',(46.0056801888956,15.4423388773561,-0.999999999999997)); #46522=CARTESIAN_POINT('Origin',(48.8599176381904,16.3697368423576,-70.6819215938077)); #46523=CARTESIAN_POINT('',(48.6939176381904,16.3697368423576,0.)); #46524=CARTESIAN_POINT('Origin',(48.8599176381904,16.3697368423576,0.)); #46525=CARTESIAN_POINT('',(48.6939176381904,16.3697368423576,-0.999999999999997)); #46526=CARTESIAN_POINT('',(48.6939176381904,16.3697368423576,-70.6819215938077)); #46527=CARTESIAN_POINT('Origin',(48.8599176381904,16.3697368423576,-0.999999999999997)); #46528=CARTESIAN_POINT('Origin',(51.7141550874852,17.297134807359,-70.6819215938077)); #46529=CARTESIAN_POINT('',(51.5481550874852,17.297134807359,0.)); #46530=CARTESIAN_POINT('Origin',(51.7141550874852,17.297134807359,0.)); #46531=CARTESIAN_POINT('',(51.5481550874852,17.297134807359,-0.999999999999997)); #46532=CARTESIAN_POINT('',(51.5481550874852,17.297134807359,-70.6819215938077)); #46533=CARTESIAN_POINT('Origin',(51.7141550874852,17.297134807359,-0.999999999999997)); #46534=CARTESIAN_POINT('Origin',(54.56839253678,18.2245327723605,-70.6819215938077)); #46535=CARTESIAN_POINT('',(54.40239253678,18.2245327723605,0.)); #46536=CARTESIAN_POINT('Origin',(54.56839253678,18.2245327723605,0.)); #46537=CARTESIAN_POINT('',(54.40239253678,18.2245327723605,-0.999999999999997)); #46538=CARTESIAN_POINT('',(54.40239253678,18.2245327723605,-70.6819215938077)); #46539=CARTESIAN_POINT('Origin',(54.56839253678,18.2245327723605,-0.999999999999997)); #46540=CARTESIAN_POINT('Origin',(57.4226299860748,19.151930737362,-70.6819215938077)); #46541=CARTESIAN_POINT('',(57.2566299860748,19.151930737362,0.)); #46542=CARTESIAN_POINT('Origin',(57.4226299860748,19.151930737362,0.)); #46543=CARTESIAN_POINT('',(57.2566299860748,19.151930737362,-0.999999999999997)); #46544=CARTESIAN_POINT('',(57.2566299860748,19.151930737362,-70.6819215938077)); #46545=CARTESIAN_POINT('Origin',(57.4226299860748,19.151930737362,-0.999999999999997)); #46546=CARTESIAN_POINT('Origin',(58.8497487107222,19.6156297198627,-70.6819215938077)); #46547=CARTESIAN_POINT('',(58.6837487107222,19.6156297198627,0.)); #46548=CARTESIAN_POINT('Origin',(58.8497487107222,19.6156297198627,0.)); #46549=CARTESIAN_POINT('',(58.6837487107222,19.6156297198627,-0.999999999999997)); #46550=CARTESIAN_POINT('',(58.6837487107222,19.6156297198627,-70.6819215938077)); #46551=CARTESIAN_POINT('Origin',(58.8497487107222,19.6156297198627,-0.999999999999997)); #46552=CARTESIAN_POINT('Origin',(55.9955112614274,18.6882317548612,-70.6819215938077)); #46553=CARTESIAN_POINT('',(55.8295112614274,18.6882317548612,0.)); #46554=CARTESIAN_POINT('Origin',(55.9955112614274,18.6882317548612,0.)); #46555=CARTESIAN_POINT('',(55.8295112614274,18.6882317548612,-0.999999999999997)); #46556=CARTESIAN_POINT('',(55.8295112614274,18.6882317548612,-70.6819215938077)); #46557=CARTESIAN_POINT('Origin',(55.9955112614274,18.6882317548612,-0.999999999999997)); #46558=CARTESIAN_POINT('Origin',(53.1412738121326,17.7608337898598,-70.6819215938077)); #46559=CARTESIAN_POINT('',(52.9752738121326,17.7608337898598,0.)); #46560=CARTESIAN_POINT('Origin',(53.1412738121326,17.7608337898598,0.)); #46561=CARTESIAN_POINT('',(52.9752738121326,17.7608337898598,-0.999999999999997)); #46562=CARTESIAN_POINT('',(52.9752738121326,17.7608337898598,-70.6819215938077)); #46563=CARTESIAN_POINT('Origin',(53.1412738121326,17.7608337898598,-0.999999999999997)); #46564=CARTESIAN_POINT('Origin',(50.2870363628378,16.8334358248583,-70.6819215938077)); #46565=CARTESIAN_POINT('',(50.1210363628378,16.8334358248583,0.)); #46566=CARTESIAN_POINT('Origin',(50.2870363628378,16.8334358248583,0.)); #46567=CARTESIAN_POINT('',(50.1210363628378,16.8334358248583,-0.999999999999997)); #46568=CARTESIAN_POINT('',(50.1210363628378,16.8334358248583,-70.6819215938077)); #46569=CARTESIAN_POINT('Origin',(50.2870363628378,16.8334358248583,-0.999999999999997)); #46570=CARTESIAN_POINT('Origin',(47.432798913543,15.9060378598568,-70.6819215938077)); #46571=CARTESIAN_POINT('',(47.266798913543,15.9060378598568,0.)); #46572=CARTESIAN_POINT('Origin',(47.432798913543,15.9060378598568,0.)); #46573=CARTESIAN_POINT('',(47.266798913543,15.9060378598568,-0.999999999999997)); #46574=CARTESIAN_POINT('',(47.266798913543,15.9060378598568,-70.6819215938077)); #46575=CARTESIAN_POINT('Origin',(47.432798913543,15.9060378598568,-0.999999999999997)); #46576=CARTESIAN_POINT('Origin',(44.5785614642482,14.9786398948554,-70.6819215938077)); #46577=CARTESIAN_POINT('',(44.4125614642482,14.9786398948554,0.)); #46578=CARTESIAN_POINT('Origin',(44.5785614642482,14.9786398948554,0.)); #46579=CARTESIAN_POINT('',(44.4125614642482,14.9786398948554,-0.999999999999997)); #46580=CARTESIAN_POINT('',(44.4125614642482,14.9786398948554,-70.6819215938077)); #46581=CARTESIAN_POINT('Origin',(44.5785614642482,14.9786398948554,-0.999999999999997)); #46582=CARTESIAN_POINT('Origin',(60.2768674353696,20.0793287023635,-70.6819215938077)); #46583=CARTESIAN_POINT('',(60.1108674353696,20.0793287023635,0.)); #46584=CARTESIAN_POINT('Origin',(60.2768674353696,20.0793287023635,0.)); #46585=CARTESIAN_POINT('',(60.1108674353696,20.0793287023635,-0.999999999999997)); #46586=CARTESIAN_POINT('',(60.1108674353696,20.0793287023635,-70.6819215938077)); #46587=CARTESIAN_POINT('Origin',(60.2768674353696,20.0793287023635,-0.999999999999997)); #46588=CARTESIAN_POINT('Origin',(63.0629758478239,21.1634245150165,-70.6819215938077)); #46589=CARTESIAN_POINT('',(62.896975847824,21.1634245150165,0.)); #46590=CARTESIAN_POINT('Origin',(63.0629758478239,21.1634245150165,0.)); #46591=CARTESIAN_POINT('',(62.896975847824,21.1634245150165,-0.999999999999997)); #46592=CARTESIAN_POINT('',(62.896975847824,21.1634245150165,-70.6819215938077)); #46593=CARTESIAN_POINT('Origin',(63.0629758478239,21.1634245150165,-0.999999999999997)); #46594=CARTESIAN_POINT('Origin',(40.297205290306,13.5875429473532,-70.6819215938077)); #46595=CARTESIAN_POINT('',(40.131205290306,13.5875429473532,0.)); #46596=CARTESIAN_POINT('Origin',(40.297205290306,13.5875429473532,0.)); #46597=CARTESIAN_POINT('',(40.131205290306,13.5875429473532,-0.999999999999997)); #46598=CARTESIAN_POINT('',(40.131205290306,13.5875429473532,-70.6819215938077)); #46599=CARTESIAN_POINT('Origin',(40.297205290306,13.5875429473532,-0.999999999999997)); #46600=CARTESIAN_POINT('Origin',(37.4429678410112,12.6601449823517,-70.6819215938077)); #46601=CARTESIAN_POINT('',(37.2769678410112,12.6601449823517,0.)); #46602=CARTESIAN_POINT('Origin',(37.4429678410112,12.6601449823517,0.)); #46603=CARTESIAN_POINT('',(37.2769678410112,12.6601449823517,-0.999999999999997)); #46604=CARTESIAN_POINT('',(37.2769678410112,12.6601449823517,-70.6819215938077)); #46605=CARTESIAN_POINT('Origin',(37.4429678410112,12.6601449823517,-0.999999999999997)); #46606=CARTESIAN_POINT('Origin',(34.5887303917164,11.7327470173502,-70.6819215938077)); #46607=CARTESIAN_POINT('',(34.4227303917164,11.7327470173502,0.)); #46608=CARTESIAN_POINT('Origin',(34.5887303917164,11.7327470173502,0.)); #46609=CARTESIAN_POINT('',(34.4227303917164,11.7327470173502,-0.999999999999997)); #46610=CARTESIAN_POINT('',(34.4227303917164,11.7327470173502,-70.6819215938077)); #46611=CARTESIAN_POINT('Origin',(34.5887303917164,11.7327470173502,-0.999999999999997)); #46612=CARTESIAN_POINT('Origin',(31.7332863717166,10.8092589711619,-70.6819215938077)); #46613=CARTESIAN_POINT('',(31.5672863717166,10.8092589711619,0.)); #46614=CARTESIAN_POINT('Origin',(31.7332863717166,10.8092589711619,0.)); #46615=CARTESIAN_POINT('',(31.5672863717166,10.8092589711619,-0.999999999999997)); #46616=CARTESIAN_POINT('',(31.5672863717166,10.8092589711619,-70.6819215938077)); #46617=CARTESIAN_POINT('Origin',(31.7332863717166,10.8092589711619,-0.999999999999997)); #46618=CARTESIAN_POINT('Origin',(36.0158491163632,49.8713512606287,-70.6819215938077)); #46619=CARTESIAN_POINT('',(35.8498491163632,49.8713512606287,0.)); #46620=CARTESIAN_POINT('Origin',(36.0158491163632,49.8713512606287,0.)); #46621=CARTESIAN_POINT('',(35.8498491163632,49.8713512606287,-0.999999999999997)); #46622=CARTESIAN_POINT('',(35.8498491163632,49.8713512606287,-70.6819215938077)); #46623=CARTESIAN_POINT('Origin',(36.0158491163632,49.8713512606287,-0.999999999999997)); #46624=CARTESIAN_POINT('Origin',(33.1616116670684,50.79874922563,-70.6819215938077)); #46625=CARTESIAN_POINT('',(32.9956116670684,50.79874922563,0.)); #46626=CARTESIAN_POINT('Origin',(33.1616116670684,50.79874922563,0.)); #46627=CARTESIAN_POINT('',(32.9956116670684,50.79874922563,-0.999999999999997)); #46628=CARTESIAN_POINT('',(32.9956116670684,50.79874922563,-70.6819215938077)); #46629=CARTESIAN_POINT('Origin',(33.1616116670684,50.79874922563,-0.999999999999997)); #46630=CARTESIAN_POINT('Origin',(46.0056801888952,46.6254583831239,-70.6819215938077)); #46631=CARTESIAN_POINT('',(45.8396801888952,46.6254583831239,0.)); #46632=CARTESIAN_POINT('Origin',(46.0056801888952,46.6254583831239,0.)); #46633=CARTESIAN_POINT('',(45.8396801888952,46.6254583831239,-0.999999999999997)); #46634=CARTESIAN_POINT('',(45.8396801888952,46.6254583831239,-70.6819215938077)); #46635=CARTESIAN_POINT('Origin',(46.0056801888952,46.6254583831239,-0.999999999999997)); #46636=CARTESIAN_POINT('Origin',(43.1514427396003,47.5528563481253,-70.6819215938077)); #46637=CARTESIAN_POINT('',(42.9854427396003,47.5528563481253,0.)); #46638=CARTESIAN_POINT('Origin',(43.1514427396003,47.5528563481253,0.)); #46639=CARTESIAN_POINT('',(42.9854427396003,47.5528563481253,-0.999999999999997)); #46640=CARTESIAN_POINT('',(42.9854427396003,47.5528563481253,-70.6819215938077)); #46641=CARTESIAN_POINT('Origin',(43.1514427396003,47.5528563481253,-0.999999999999997)); #46642=CARTESIAN_POINT('Origin',(40.2972052903055,48.4802543131266,-70.6819215938077)); #46643=CARTESIAN_POINT('',(40.1312052903055,48.4802543131266,0.)); #46644=CARTESIAN_POINT('Origin',(40.2972052903055,48.4802543131266,0.)); #46645=CARTESIAN_POINT('',(40.1312052903055,48.4802543131266,-0.999999999999997)); #46646=CARTESIAN_POINT('',(40.1312052903055,48.4802543131266,-70.6819215938077)); #46647=CARTESIAN_POINT('Origin',(40.2972052903055,48.4802543131266,-0.999999999999997)); #46648=CARTESIAN_POINT('Origin',(63.0629758478237,40.9043727454642,-70.6819215938077)); #46649=CARTESIAN_POINT('',(62.8969758478237,40.9043727454642,0.)); #46650=CARTESIAN_POINT('Origin',(63.0629758478237,40.9043727454642,0.)); #46651=CARTESIAN_POINT('',(62.8969758478237,40.9043727454642,-0.999999999999997)); #46652=CARTESIAN_POINT('',(62.8969758478237,40.9043727454642,-70.6819215938077)); #46653=CARTESIAN_POINT('Origin',(63.0629758478237,40.9043727454642,-0.999999999999997)); #46654=CARTESIAN_POINT('Origin',(58.849748710722,42.4521675406179,-70.6819215938077)); #46655=CARTESIAN_POINT('',(58.683748710722,42.4521675406179,0.)); #46656=CARTESIAN_POINT('Origin',(58.849748710722,42.4521675406179,0.)); #46657=CARTESIAN_POINT('',(58.683748710722,42.4521675406179,-0.999999999999997)); #46658=CARTESIAN_POINT('',(58.683748710722,42.4521675406179,-70.6819215938077)); #46659=CARTESIAN_POINT('Origin',(58.849748710722,42.4521675406179,-0.999999999999997)); #46660=CARTESIAN_POINT('Origin',(50.2870363628375,45.2343614356219,-70.6819215938077)); #46661=CARTESIAN_POINT('',(50.1210363628375,45.2343614356219,0.)); #46662=CARTESIAN_POINT('Origin',(50.2870363628375,45.2343614356219,0.)); #46663=CARTESIAN_POINT('',(50.1210363628375,45.2343614356219,-0.999999999999997)); #46664=CARTESIAN_POINT('',(50.1210363628375,45.2343614356219,-70.6819215938077)); #46665=CARTESIAN_POINT('Origin',(50.2870363628375,45.2343614356219,-0.999999999999997)); #46666=CARTESIAN_POINT('Origin',(60.2768674353694,41.9884685581172,-70.6819215938077)); #46667=CARTESIAN_POINT('',(60.1108674353694,41.9884685581172,0.)); #46668=CARTESIAN_POINT('Origin',(60.2768674353694,41.9884685581172,0.)); #46669=CARTESIAN_POINT('',(60.1108674353694,41.9884685581172,-0.999999999999997)); #46670=CARTESIAN_POINT('',(60.1108674353694,41.9884685581172,-70.6819215938077)); #46671=CARTESIAN_POINT('Origin',(60.2768674353694,41.9884685581172,-0.999999999999997)); #46672=CARTESIAN_POINT('Origin',(53.1412738121323,44.3069634706206,-70.6819215938077)); #46673=CARTESIAN_POINT('',(52.9752738121323,44.3069634706206,0.)); #46674=CARTESIAN_POINT('Origin',(53.1412738121323,44.3069634706206,0.)); #46675=CARTESIAN_POINT('',(52.9752738121323,44.3069634706206,-0.999999999999997)); #46676=CARTESIAN_POINT('',(52.9752738121323,44.3069634706206,-70.6819215938077)); #46677=CARTESIAN_POINT('Origin',(53.1412738121323,44.3069634706206,-0.999999999999997)); #46678=CARTESIAN_POINT('Origin',(57.4226299860746,42.9158665231185,-70.6819215938077)); #46679=CARTESIAN_POINT('',(57.2566299860746,42.9158665231185,0.)); #46680=CARTESIAN_POINT('Origin',(57.4226299860746,42.9158665231185,0.)); #46681=CARTESIAN_POINT('',(57.2566299860746,42.9158665231185,-0.999999999999997)); #46682=CARTESIAN_POINT('',(57.2566299860746,42.9158665231185,-70.6819215938077)); #46683=CARTESIAN_POINT('Origin',(57.4226299860746,42.9158665231185,-0.999999999999997)); #46684=CARTESIAN_POINT('Origin',(64.8233288904966,37.0008219554334,-70.6819215938077)); #46685=CARTESIAN_POINT('',(64.6573288904966,37.0008219554334,0.)); #46686=CARTESIAN_POINT('Origin',(64.8233288904966,37.0008219554334,0.)); #46687=CARTESIAN_POINT('',(64.6573288904966,37.0008219554334,-0.999999999999997)); #46688=CARTESIAN_POINT('',(64.6573288904966,37.0008219554334,-70.6819215938077)); #46689=CARTESIAN_POINT('Origin',(64.8233288904966,37.0008219554334,-0.999999999999997)); #46690=CARTESIAN_POINT('Origin',(64.4017205527525,34.0304118457129,-70.6819215938077)); #46691=CARTESIAN_POINT('',(64.2357205527525,34.0304118457129,0.)); #46692=CARTESIAN_POINT('Origin',(64.4017205527525,34.0304118457129,0.)); #46693=CARTESIAN_POINT('',(64.2357205527525,34.0304118457129,-0.999999999999997)); #46694=CARTESIAN_POINT('',(64.2357205527525,34.0304118457129,-70.6819215938077)); #46695=CARTESIAN_POINT('Origin',(64.4017205527525,34.0304118457129,-0.999999999999997)); #46696=CARTESIAN_POINT('Origin',(64.25774542056,31.0338986302403,-70.6819215938077)); #46697=CARTESIAN_POINT('',(64.09174542056,31.0338986302403,0.)); #46698=CARTESIAN_POINT('Origin',(64.25774542056,31.0338986302403,0.)); #46699=CARTESIAN_POINT('',(64.09174542056,31.0338986302403,-0.999999999999997)); #46700=CARTESIAN_POINT('',(64.09174542056,31.0338986302403,-70.6819215938077)); #46701=CARTESIAN_POINT('Origin',(64.25774542056,31.0338986302403,-0.999999999999997)); #46702=CARTESIAN_POINT('Origin',(64.4017205527526,28.0373854147678,-70.6819215938077)); #46703=CARTESIAN_POINT('',(64.2357205527526,28.0373854147678,0.)); #46704=CARTESIAN_POINT('Origin',(64.4017205527526,28.0373854147678,0.)); #46705=CARTESIAN_POINT('',(64.2357205527526,28.0373854147678,-0.999999999999997)); #46706=CARTESIAN_POINT('',(64.2357205527526,28.0373854147678,-70.6819215938077)); #46707=CARTESIAN_POINT('Origin',(64.4017205527526,28.0373854147678,-0.999999999999997)); #46708=CARTESIAN_POINT('Origin',(64.5813784156378,26.5477629219323,-70.6819215938077)); #46709=CARTESIAN_POINT('',(64.4153784156378,26.5477629219323,0.)); #46710=CARTESIAN_POINT('Origin',(64.5813784156378,26.5477629219323,0.)); #46711=CARTESIAN_POINT('',(64.4153784156378,26.5477629219323,-0.999999999999997)); #46712=CARTESIAN_POINT('',(64.4153784156378,26.5477629219323,-70.6819215938077)); #46713=CARTESIAN_POINT('Origin',(64.5813784156378,26.5477629219323,-0.999999999999997)); #46714=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #46715=CARTESIAN_POINT('',(32.0663839571809,11.3232572474998,0.)); #46716=CARTESIAN_POINT('',(27.450371708938,13.8740331714532,0.)); #46717=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #46718=CARTESIAN_POINT('',(32.066383957181,11.3232572474998,-0.104)); #46719=CARTESIAN_POINT('',(32.0663839571809,11.3232572474998,0.104)); #46720=CARTESIAN_POINT('',(27.450371708938,13.8740331714532,-0.104)); #46721=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-0.104)); #46722=CARTESIAN_POINT('',(27.450371708938,13.8740331714532,0.104)); #46723=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302407,0.)); #46724=CARTESIAN_POINT('',(27.4503717089375,48.1937640890263,0.)); #46725=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302407,0.)); #46726=CARTESIAN_POINT('',(27.4503717089375,48.1937640890263,-0.104)); #46727=CARTESIAN_POINT('Origin',(95.5125189086574,31.0338986302407,-0.104)); #46728=CARTESIAN_POINT('',(27.4503717089375,48.1937640890263,0.104)); #46729=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #46730=CARTESIAN_POINT('',(32.0663839571798,50.7445400129793,0.)); #46731=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #46732=CARTESIAN_POINT('',(32.0663839571798,50.7445400129793,-0.104)); #46733=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-0.104)); #46734=CARTESIAN_POINT('',(32.0663839571798,50.7445400129793,0.104)); #46735=CARTESIAN_POINT('Origin',(32.0663839571798,50.7445400129793,-0.104)); #46736=CARTESIAN_POINT('',(61.9880833006497,41.0223905515284,0.)); #46737=CARTESIAN_POINT('',(29.4641338705104,51.5900623206619,1.09273919746571E-17)); #46738=CARTESIAN_POINT('',(61.9880833006497,41.0223905515284,-0.104)); #46739=CARTESIAN_POINT('',(32.0663839571798,50.7445400129793,-0.104)); #46740=CARTESIAN_POINT('',(61.9880833006497,41.0223905515284,0.104)); #46741=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,0.)); #46742=CARTESIAN_POINT('',(27.6055167903141,13.9131484334974,-0.104)); #46743=CARTESIAN_POINT('',(27.6055167903141,13.9131484334974,-0.104)); #46744=CARTESIAN_POINT('',(32.016941238081,11.475426290107,-0.104)); #46745=CARTESIAN_POINT('Origin',(30.9508326074874,14.7565712713253,-0.104)); #46746=CARTESIAN_POINT('',(32.016941238081,11.475426290107,-0.104)); #46747=CARTESIAN_POINT('Origin',(61.9386405815503,21.1975757515596,0.104)); #46748=CARTESIAN_POINT('',(61.9386405815503,21.1975757515595,-0.104)); #46749=CARTESIAN_POINT('',(61.9386405815503,21.1975757515595,-0.104)); #46750=CARTESIAN_POINT('',(61.9386405815503,21.1975757515596,-0.104)); #46751=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #46752=CARTESIAN_POINT('',(64.2623695014495,25.1202044669984,-0.104)); #46753=CARTESIAN_POINT('Origin',(60.8725319509567,24.4787207327778,-0.104)); #46754=CARTESIAN_POINT('',(64.2623695014494,25.1202044669984,-0.104)); #46755=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #46756=CARTESIAN_POINT('',(64.2623695014494,36.9475927934828,-0.104)); #46757=CARTESIAN_POINT('Origin',(95.5125189086572,31.0338986302408,-0.104000000000001)); #46758=CARTESIAN_POINT('',(64.2623695014494,36.9475927934828,-0.104)); #46759=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,0.)); #46760=CARTESIAN_POINT('',(64.4195793588635,36.9178428232001,0.)); #46761=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,0.)); #46762=CARTESIAN_POINT('',(64.4195793588635,36.9178428232001,-0.104)); #46763=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,-0.104)); #46764=CARTESIAN_POINT('',(64.4195793588635,36.9178428232001,0.104)); #46765=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,0.)); #46766=CARTESIAN_POINT('',(61.9386405815497,40.8702215089212,-0.104)); #46767=CARTESIAN_POINT('Origin',(60.8725319509564,37.5890765277035,-0.104)); #46768=CARTESIAN_POINT('',(61.9386405815497,40.8702215089212,-0.104)); #46769=CARTESIAN_POINT('Origin',(32.0169412380798,50.5923709703721,0.104)); #46770=CARTESIAN_POINT('',(32.0169412380798,50.5923709703721,-0.104)); #46771=CARTESIAN_POINT('',(32.0169412380798,50.5923709703721,-0.104)); #46772=CARTESIAN_POINT('',(32.0169412380798,50.5923709703721,-0.104)); #46773=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,0.)); #46774=CARTESIAN_POINT('',(27.6055167903136,48.1546488269821,-0.104)); #46775=CARTESIAN_POINT('Origin',(30.9508326074865,47.3112259891543,-0.104)); #46776=CARTESIAN_POINT('',(27.6055167903136,48.1546488269821,-0.104)); #46777=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302407,0.)); #46778=CARTESIAN_POINT('Origin',(95.5125189086573,31.0338986302408,-0.104)); #46779=CARTESIAN_POINT('Origin',(61.9880833006503,21.0454067089523,-0.104)); #46780=CARTESIAN_POINT('',(61.9880833006503,21.0454067089523,0.)); #46781=CARTESIAN_POINT('',(44.4249835422467,15.3388096705435,0.)); #46782=CARTESIAN_POINT('',(61.9880833006503,21.0454067089523,-0.104)); #46783=CARTESIAN_POINT('',(61.9880833006503,21.0454067089523,0.104)); #46784=CARTESIAN_POINT('',(61.9880833006503,21.0454067089523,-0.104)); #46785=CARTESIAN_POINT('Origin',(61.9386405815503,21.1975757515596,-0.104)); #46786=CARTESIAN_POINT('',(64.4195793588636,25.1499544372811,-0.104)); #46787=CARTESIAN_POINT('Origin',(60.8725319509567,24.4787207327778,-0.104)); #46788=CARTESIAN_POINT('Origin',(95.5125189086572,31.0338986302408,-0.104000000000001)); #46789=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #46790=CARTESIAN_POINT('',(64.4195793588636,25.1499544372811,0.)); #46791=CARTESIAN_POINT('Origin',(60.8725319509568,24.4787207327778,0.)); #46792=CARTESIAN_POINT('',(64.4195793588636,25.1499544372811,0.104)); #46793=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #46794=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #46795=CARTESIAN_POINT('Origin',(24.5163725729401,32.5343483886105,-0.837854218998736)); #46796=CARTESIAN_POINT('',(24.3903725729401,32.5343483886105,-0.799999999999999)); #46797=CARTESIAN_POINT('Origin',(24.5163725729401,32.5343483886105,-0.8)); #46798=CARTESIAN_POINT('',(24.5163725729401,32.5343483886105,-0.875708437997473)); #46799=CARTESIAN_POINT('',(24.4533725729401,32.5343483886105,-0.837854218998736)); #46800=CARTESIAN_POINT('Origin',(24.5163725729401,32.5343483886105,-0.4)); #46801=CARTESIAN_POINT('',(24.3903725729401,32.5343483886105,0.)); #46802=CARTESIAN_POINT('Origin',(24.5163725729401,32.5343483886105,0.)); #46803=CARTESIAN_POINT('',(24.3903725729401,32.5343483886105,-0.4)); #46804=CARTESIAN_POINT('Origin',(24.5639264870216,34.0341281862214,-0.837854218998736)); #46805=CARTESIAN_POINT('',(24.4379264870216,34.0341281862214,-0.799999999999999)); #46806=CARTESIAN_POINT('Origin',(24.5639264870216,34.0341281862214,-0.8)); #46807=CARTESIAN_POINT('',(24.5639264870216,34.0341281862214,-0.875708437997473)); #46808=CARTESIAN_POINT('',(24.5009264870216,34.0341281862214,-0.837854218998736)); #46809=CARTESIAN_POINT('Origin',(24.5639264870216,34.0341281862214,-0.4)); #46810=CARTESIAN_POINT('',(24.4379264870216,34.0341281862214,0.)); #46811=CARTESIAN_POINT('Origin',(24.5639264870216,34.0341281862214,0.)); #46812=CARTESIAN_POINT('',(24.4379264870216,34.0341281862214,-0.4)); #46813=CARTESIAN_POINT('Origin',(24.6431594177643,35.5325683614544,-0.837854218998736)); #46814=CARTESIAN_POINT('',(24.5171594177643,35.5325683614544,-0.799999999999999)); #46815=CARTESIAN_POINT('Origin',(24.6431594177643,35.5325683614544,-0.8)); #46816=CARTESIAN_POINT('',(24.6431594177643,35.5325683614544,-0.875708437997473)); #46817=CARTESIAN_POINT('',(24.5801594177643,35.5325683614544,-0.837854218998736)); #46818=CARTESIAN_POINT('Origin',(24.6431594177643,35.5325683614544,-0.4)); #46819=CARTESIAN_POINT('',(24.5171594177643,35.5325683614544,0.)); #46820=CARTESIAN_POINT('Origin',(24.6431594177643,35.5325683614544,0.)); #46821=CARTESIAN_POINT('',(24.5171594177643,35.5325683614544,-0.4)); #46822=CARTESIAN_POINT('Origin',(24.7540359871396,37.0289998508416,-0.837854218998736)); #46823=CARTESIAN_POINT('',(24.6280359871396,37.0289998508416,-0.799999999999999)); #46824=CARTESIAN_POINT('Origin',(24.7540359871396,37.0289998508416,-0.8)); #46825=CARTESIAN_POINT('',(24.7540359871396,37.0289998508416,-0.875708437997473)); #46826=CARTESIAN_POINT('',(24.6910359871396,37.0289998508416,-0.837854218998736)); #46827=CARTESIAN_POINT('Origin',(24.7540359871396,37.0289998508416,-0.4)); #46828=CARTESIAN_POINT('',(24.6280359871396,37.0289998508416,0.)); #46829=CARTESIAN_POINT('Origin',(24.7540359871396,37.0289998508416,0.)); #46830=CARTESIAN_POINT('',(24.6280359871396,37.0289998508416,-0.4)); #46831=CARTESIAN_POINT('Origin',(24.8965066880245,38.522754487807,-0.837854218998736)); #46832=CARTESIAN_POINT('',(24.7705066880245,38.522754487807,-0.799999999999999)); #46833=CARTESIAN_POINT('Origin',(24.8965066880245,38.522754487807,-0.8)); #46834=CARTESIAN_POINT('',(24.8965066880245,38.522754487807,-0.875708437997473)); #46835=CARTESIAN_POINT('',(24.8335066880245,38.522754487807,-0.837854218998736)); #46836=CARTESIAN_POINT('Origin',(24.8965066880245,38.522754487807,-0.4)); #46837=CARTESIAN_POINT('',(24.7705066880245,38.522754487807,0.)); #46838=CARTESIAN_POINT('Origin',(24.8965066880245,38.522754487807,0.)); #46839=CARTESIAN_POINT('',(24.7705066880245,38.522754487807,-0.4)); #46840=CARTESIAN_POINT('Origin',(25.070507906307,40.0131653010067,-0.837854218998736)); #46841=CARTESIAN_POINT('',(24.944507906307,40.0131653010067,-0.799999999999999)); #46842=CARTESIAN_POINT('Origin',(25.070507906307,40.0131653010067,-0.8)); #46843=CARTESIAN_POINT('',(25.070507906307,40.0131653010067,-0.875708437997473)); #46844=CARTESIAN_POINT('',(25.007507906307,40.0131653010067,-0.837854218998736)); #46845=CARTESIAN_POINT('Origin',(25.070507906307,40.0131653010067,-0.4)); #46846=CARTESIAN_POINT('',(24.944507906307,40.0131653010067,0.)); #46847=CARTESIAN_POINT('Origin',(25.070507906307,40.0131653010067,0.)); #46848=CARTESIAN_POINT('',(24.944507906307,40.0131653010067,-0.4)); #46849=CARTESIAN_POINT('Origin',(25.2759619492901,41.4995668121363,-0.837854218998736)); #46850=CARTESIAN_POINT('',(25.1499619492901,41.4995668121363,-0.799999999999999)); #46851=CARTESIAN_POINT('Origin',(25.2759619492901,41.4995668121363,-0.8)); #46852=CARTESIAN_POINT('',(25.2759619492901,41.4995668121363,-0.875708437997473)); #46853=CARTESIAN_POINT('',(25.2129619492901,41.4995668121363,-0.837854218998736)); #46854=CARTESIAN_POINT('Origin',(25.2759619492901,41.4995668121363,-0.4)); #46855=CARTESIAN_POINT('',(25.1499619492901,41.4995668121363,0.)); #46856=CARTESIAN_POINT('Origin',(25.2759619492901,41.4995668121363,0.)); #46857=CARTESIAN_POINT('',(25.1499619492901,41.4995668121363,-0.4)); #46858=CARTESIAN_POINT('Origin',(25.5127770803822,42.9812953330712,-0.837854218998736)); #46859=CARTESIAN_POINT('',(25.3867770803822,42.9812953330712,-0.799999999999999)); #46860=CARTESIAN_POINT('Origin',(25.5127770803822,42.9812953330712,-0.8)); #46861=CARTESIAN_POINT('',(25.5127770803822,42.9812953330712,-0.875708437997473)); #46862=CARTESIAN_POINT('',(25.4497770803822,42.9812953330712,-0.837854218998736)); #46863=CARTESIAN_POINT('Origin',(25.5127770803822,42.9812953330712,-0.4)); #46864=CARTESIAN_POINT('',(25.3867770803822,42.9812953330712,0.)); #46865=CARTESIAN_POINT('Origin',(25.5127770803822,42.9812953330712,0.)); #46866=CARTESIAN_POINT('',(25.3867770803822,42.9812953330712,-0.4)); #46867=CARTESIAN_POINT('Origin',(25.780847560058,44.4576892622082,-0.837854218998736)); #46868=CARTESIAN_POINT('',(25.654847560058,44.4576892622082,-0.799999999999999)); #46869=CARTESIAN_POINT('Origin',(25.780847560058,44.4576892622082,-0.8)); #46870=CARTESIAN_POINT('',(25.780847560058,44.4576892622082,-0.875708437997473)); #46871=CARTESIAN_POINT('',(25.717847560058,44.4576892622082,-0.837854218998736)); #46872=CARTESIAN_POINT('Origin',(25.780847560058,44.4576892622082,-0.4)); #46873=CARTESIAN_POINT('',(25.654847560058,44.4576892622082,0.)); #46874=CARTESIAN_POINT('Origin',(25.780847560058,44.4576892622082,0.)); #46875=CARTESIAN_POINT('',(25.654847560058,44.4576892622082,-0.4)); #46876=CARTESIAN_POINT('Origin',(26.0800536930718,45.9280893798746,-0.837854218998736)); #46877=CARTESIAN_POINT('',(25.9540536930718,45.9280893798746,-0.799999999999999)); #46878=CARTESIAN_POINT('Origin',(26.0800536930718,45.9280893798746,-0.8)); #46879=CARTESIAN_POINT('',(26.0800536930718,45.9280893798746,-0.875708437997473)); #46880=CARTESIAN_POINT('',(26.0170536930718,45.9280893798746,-0.837854218998736)); #46881=CARTESIAN_POINT('Origin',(26.0800536930718,45.9280893798746,-0.4)); #46882=CARTESIAN_POINT('',(25.9540536930718,45.9280893798746,0.)); #46883=CARTESIAN_POINT('Origin',(26.0800536930718,45.9280893798746,0.)); #46884=CARTESIAN_POINT('',(25.9540536930718,45.9280893798746,-0.4)); #46885=CARTESIAN_POINT('Origin',(26.4102618819027,47.391839142674,-0.837854218998736)); #46886=CARTESIAN_POINT('',(26.2842618819027,47.391839142674,-0.799999999999999)); #46887=CARTESIAN_POINT('Origin',(26.4102618819027,47.391839142674,-0.8)); #46888=CARTESIAN_POINT('',(26.4102618819027,47.391839142674,-0.875708437997473)); #46889=CARTESIAN_POINT('',(26.3472618819027,47.391839142674,-0.837854218998736)); #46890=CARTESIAN_POINT('Origin',(26.4102618819027,47.391839142674,-0.4)); #46891=CARTESIAN_POINT('',(26.2842618819027,47.391839142674,0.)); #46892=CARTESIAN_POINT('Origin',(26.4102618819027,47.391839142674,0.)); #46893=CARTESIAN_POINT('',(26.2842618819027,47.391839142674,-0.4)); #46894=CARTESIAN_POINT('Origin',(26.8087103342637,48.8369110945303,-0.837854218998736)); #46895=CARTESIAN_POINT('',(26.6827103342637,48.8369110945303,-0.799999999999999)); #46896=CARTESIAN_POINT('Origin',(26.8087103342637,48.8369110945303,-0.8)); #46897=CARTESIAN_POINT('',(26.8087103342637,48.8369110945303,-0.875708437997473)); #46898=CARTESIAN_POINT('',(26.7457103342637,48.8369110945303,-0.837854218998736)); #46899=CARTESIAN_POINT('Origin',(26.8087103342637,48.8369110945303,-0.4)); #46900=CARTESIAN_POINT('',(26.6827103342637,48.8369110945303,0.)); #46901=CARTESIAN_POINT('Origin',(26.8087103342637,48.8369110945303,0.)); #46902=CARTESIAN_POINT('',(26.6827103342637,48.8369110945303,-0.4)); #46903=CARTESIAN_POINT('Origin',(27.6124785638105,50.0942811804692,-0.837854218998736)); #46904=CARTESIAN_POINT('',(27.4864785638105,50.0942811804692,-0.799999999999999)); #46905=CARTESIAN_POINT('Origin',(27.6124785638105,50.0942811804692,-0.8)); #46906=CARTESIAN_POINT('',(27.6124785638105,50.0942811804692,-0.875708437997473)); #46907=CARTESIAN_POINT('',(27.5494785638105,50.0942811804692,-0.837854218998736)); #46908=CARTESIAN_POINT('Origin',(27.6124785638105,50.0942811804692,-0.4)); #46909=CARTESIAN_POINT('',(27.4864785638105,50.0942811804692,0.)); #46910=CARTESIAN_POINT('Origin',(27.6124785638105,50.0942811804692,0.)); #46911=CARTESIAN_POINT('',(27.4864785638105,50.0942811804692,-0.4)); #46912=CARTESIAN_POINT('Origin',(28.810632745462,50.9839156317098,-0.837854218998736)); #46913=CARTESIAN_POINT('',(28.684632745462,50.9839156317098,-0.799999999999999)); #46914=CARTESIAN_POINT('Origin',(28.810632745462,50.9839156317098,-0.8)); #46915=CARTESIAN_POINT('',(28.810632745462,50.9839156317098,-0.875708437997473)); #46916=CARTESIAN_POINT('',(28.747632745462,50.9839156317098,-0.837854218998736)); #46917=CARTESIAN_POINT('Origin',(28.810632745462,50.9839156317098,-0.4)); #46918=CARTESIAN_POINT('',(28.684632745462,50.9839156317098,0.)); #46919=CARTESIAN_POINT('Origin',(28.810632745462,50.9839156317098,0.)); #46920=CARTESIAN_POINT('',(28.684632745462,50.9839156317098,-0.4)); #46921=CARTESIAN_POINT('Origin',(30.2467366686475,51.3896599128204,-0.837854218998736)); #46922=CARTESIAN_POINT('',(30.1207366686475,51.3896599128204,-0.799999999999999)); #46923=CARTESIAN_POINT('Origin',(30.2467366686475,51.3896599128204,-0.8)); #46924=CARTESIAN_POINT('',(30.2467366686475,51.3896599128204,-0.875708437997473)); #46925=CARTESIAN_POINT('',(30.1837366686475,51.3896599128204,-0.837854218998736)); #46926=CARTESIAN_POINT('Origin',(30.2467366686475,51.3896599128204,-0.4)); #46927=CARTESIAN_POINT('',(30.1207366686475,51.3896599128204,0.)); #46928=CARTESIAN_POINT('Origin',(30.2467366686475,51.3896599128204,0.)); #46929=CARTESIAN_POINT('',(30.1207366686475,51.3896599128204,-0.4)); #46930=CARTESIAN_POINT('Origin',(64.1198802510606,39.8508187685549,-0.837854218998736)); #46931=CARTESIAN_POINT('',(63.9938802510606,39.8508187685549,-0.799999999999999)); #46932=CARTESIAN_POINT('Origin',(64.1198802510606,39.8508187685549,-0.8)); #46933=CARTESIAN_POINT('',(64.1198802510606,39.8508187685549,-0.875708437997473)); #46934=CARTESIAN_POINT('',(64.0568802510606,39.8508187685549,-0.837854218998736)); #46935=CARTESIAN_POINT('Origin',(64.1198802510606,39.8508187685549,-0.4)); #46936=CARTESIAN_POINT('',(63.9938802510606,39.8508187685549,0.)); #46937=CARTESIAN_POINT('Origin',(64.1198802510606,39.8508187685549,0.)); #46938=CARTESIAN_POINT('',(63.9938802510606,39.8508187685549,-0.4)); #46939=CARTESIAN_POINT('Origin',(64.7333643125895,38.4904290427436,-0.837854218998736)); #46940=CARTESIAN_POINT('',(64.6073643125895,38.4904290427436,-0.799999999999999)); #46941=CARTESIAN_POINT('Origin',(64.7333643125895,38.4904290427436,-0.8)); #46942=CARTESIAN_POINT('',(64.7333643125895,38.4904290427436,-0.875708437997473)); #46943=CARTESIAN_POINT('',(64.6703643125895,38.4904290427436,-0.837854218998736)); #46944=CARTESIAN_POINT('Origin',(64.7333643125895,38.4904290427436,-0.4)); #46945=CARTESIAN_POINT('',(64.6073643125895,38.4904290427436,0.)); #46946=CARTESIAN_POINT('Origin',(64.7333643125895,38.4904290427436,0.)); #46947=CARTESIAN_POINT('',(64.6073643125895,38.4904290427436,-0.4)); #46948=CARTESIAN_POINT('Origin',(64.7333643125897,23.5773682177371,-0.837854218998736)); #46949=CARTESIAN_POINT('',(64.6073643125897,23.5773682177371,-0.799999999999999)); #46950=CARTESIAN_POINT('Origin',(64.7333643125897,23.5773682177371,-0.8)); #46951=CARTESIAN_POINT('',(64.7333643125897,23.5773682177371,-0.875708437997473)); #46952=CARTESIAN_POINT('',(64.6703643125897,23.5773682177371,-0.837854218998736)); #46953=CARTESIAN_POINT('Origin',(64.7333643125897,23.5773682177371,-0.4)); #46954=CARTESIAN_POINT('',(64.6073643125897,23.5773682177371,0.)); #46955=CARTESIAN_POINT('Origin',(64.7333643125897,23.5773682177371,0.)); #46956=CARTESIAN_POINT('',(64.6073643125897,23.5773682177371,-0.4)); #46957=CARTESIAN_POINT('Origin',(64.1198802510607,22.2169784919258,-0.837854218998736)); #46958=CARTESIAN_POINT('',(63.9938802510607,22.2169784919258,-0.799999999999999)); #46959=CARTESIAN_POINT('Origin',(64.1198802510607,22.2169784919258,-0.8)); #46960=CARTESIAN_POINT('',(64.1198802510607,22.2169784919258,-0.875708437997473)); #46961=CARTESIAN_POINT('',(64.0568802510607,22.2169784919258,-0.837854218998736)); #46962=CARTESIAN_POINT('Origin',(64.1198802510607,22.2169784919258,-0.4)); #46963=CARTESIAN_POINT('',(63.9938802510607,22.2169784919258,0.)); #46964=CARTESIAN_POINT('Origin',(64.1198802510607,22.2169784919258,0.)); #46965=CARTESIAN_POINT('',(63.9938802510607,22.2169784919258,-0.4)); #46966=CARTESIAN_POINT('Origin',(30.2467366686481,10.678137347659,-0.837854218998736)); #46967=CARTESIAN_POINT('',(30.1207366686481,10.678137347659,-0.799999999999999)); #46968=CARTESIAN_POINT('Origin',(30.2467366686481,10.678137347659,-0.8)); #46969=CARTESIAN_POINT('',(30.2467366686481,10.678137347659,-0.875708437997473)); #46970=CARTESIAN_POINT('',(30.1837366686481,10.678137347659,-0.837854218998736)); #46971=CARTESIAN_POINT('Origin',(30.2467366686481,10.678137347659,-0.4)); #46972=CARTESIAN_POINT('',(30.1207366686481,10.678137347659,0.)); #46973=CARTESIAN_POINT('Origin',(30.2467366686481,10.678137347659,0.)); #46974=CARTESIAN_POINT('',(30.1207366686481,10.678137347659,-0.4)); #46975=CARTESIAN_POINT('Origin',(28.8106327454626,11.0838816287696,-0.837854218998736)); #46976=CARTESIAN_POINT('',(28.6846327454626,11.0838816287696,-0.799999999999999)); #46977=CARTESIAN_POINT('Origin',(28.8106327454626,11.0838816287696,-0.8)); #46978=CARTESIAN_POINT('',(28.8106327454626,11.0838816287696,-0.875708437997473)); #46979=CARTESIAN_POINT('',(28.7476327454626,11.0838816287696,-0.837854218998736)); #46980=CARTESIAN_POINT('Origin',(28.8106327454626,11.0838816287696,-0.4)); #46981=CARTESIAN_POINT('',(28.6846327454626,11.0838816287696,0.)); #46982=CARTESIAN_POINT('Origin',(28.8106327454626,11.0838816287696,0.)); #46983=CARTESIAN_POINT('',(28.6846327454626,11.0838816287696,-0.4)); #46984=CARTESIAN_POINT('Origin',(27.6124785638111,11.9735160800102,-0.837854218998736)); #46985=CARTESIAN_POINT('',(27.4864785638111,11.9735160800102,-0.799999999999999)); #46986=CARTESIAN_POINT('Origin',(27.6124785638111,11.9735160800102,-0.8)); #46987=CARTESIAN_POINT('',(27.6124785638111,11.9735160800102,-0.875708437997473)); #46988=CARTESIAN_POINT('',(27.5494785638111,11.9735160800102,-0.837854218998736)); #46989=CARTESIAN_POINT('Origin',(27.6124785638111,11.9735160800102,-0.4)); #46990=CARTESIAN_POINT('',(27.4864785638111,11.9735160800102,0.)); #46991=CARTESIAN_POINT('Origin',(27.6124785638111,11.9735160800102,0.)); #46992=CARTESIAN_POINT('',(27.4864785638111,11.9735160800102,-0.4)); #46993=CARTESIAN_POINT('Origin',(26.8087103342643,13.2308861659491,-0.837854218998736)); #46994=CARTESIAN_POINT('',(26.6827103342643,13.2308861659491,-0.799999999999999)); #46995=CARTESIAN_POINT('Origin',(26.8087103342643,13.2308861659491,-0.8)); #46996=CARTESIAN_POINT('',(26.8087103342643,13.2308861659491,-0.875708437997473)); #46997=CARTESIAN_POINT('',(26.7457103342643,13.2308861659491,-0.837854218998736)); #46998=CARTESIAN_POINT('Origin',(26.8087103342643,13.2308861659491,-0.4)); #46999=CARTESIAN_POINT('',(26.6827103342643,13.2308861659491,0.)); #47000=CARTESIAN_POINT('Origin',(26.8087103342643,13.2308861659491,0.)); #47001=CARTESIAN_POINT('',(26.6827103342643,13.2308861659491,-0.4)); #47002=CARTESIAN_POINT('Origin',(26.4102618819032,14.6759581178054,-0.837854218998736)); #47003=CARTESIAN_POINT('',(26.2842618819032,14.6759581178054,-0.799999999999999)); #47004=CARTESIAN_POINT('Origin',(26.4102618819032,14.6759581178054,-0.8)); #47005=CARTESIAN_POINT('',(26.4102618819032,14.6759581178054,-0.875708437997473)); #47006=CARTESIAN_POINT('',(26.3472618819032,14.6759581178054,-0.837854218998736)); #47007=CARTESIAN_POINT('Origin',(26.4102618819032,14.6759581178054,-0.4)); #47008=CARTESIAN_POINT('',(26.2842618819032,14.6759581178054,0.)); #47009=CARTESIAN_POINT('Origin',(26.4102618819032,14.6759581178054,0.)); #47010=CARTESIAN_POINT('',(26.2842618819032,14.6759581178054,-0.4)); #47011=CARTESIAN_POINT('Origin',(26.0800536930723,16.1397078806048,-0.837854218998736)); #47012=CARTESIAN_POINT('',(25.9540536930723,16.1397078806048,-0.799999999999999)); #47013=CARTESIAN_POINT('Origin',(26.0800536930723,16.1397078806048,-0.8)); #47014=CARTESIAN_POINT('',(26.0800536930723,16.1397078806048,-0.875708437997473)); #47015=CARTESIAN_POINT('',(26.0170536930723,16.1397078806048,-0.837854218998736)); #47016=CARTESIAN_POINT('Origin',(26.0800536930723,16.1397078806048,-0.4)); #47017=CARTESIAN_POINT('',(25.9540536930723,16.1397078806048,0.)); #47018=CARTESIAN_POINT('Origin',(26.0800536930723,16.1397078806048,0.)); #47019=CARTESIAN_POINT('',(25.9540536930723,16.1397078806048,-0.4)); #47020=CARTESIAN_POINT('Origin',(25.7808475600584,17.6101079982711,-0.837854218998736)); #47021=CARTESIAN_POINT('',(25.6548475600584,17.6101079982711,-0.799999999999999)); #47022=CARTESIAN_POINT('Origin',(25.7808475600584,17.6101079982711,-0.8)); #47023=CARTESIAN_POINT('',(25.7808475600584,17.6101079982711,-0.875708437997473)); #47024=CARTESIAN_POINT('',(25.7178475600584,17.6101079982711,-0.837854218998736)); #47025=CARTESIAN_POINT('Origin',(25.7808475600584,17.6101079982711,-0.4)); #47026=CARTESIAN_POINT('',(25.6548475600584,17.6101079982711,0.)); #47027=CARTESIAN_POINT('Origin',(25.7808475600584,17.6101079982711,0.)); #47028=CARTESIAN_POINT('',(25.6548475600584,17.6101079982711,-0.4)); #47029=CARTESIAN_POINT('Origin',(25.5127770803826,19.0865019274081,-0.837854218998736)); #47030=CARTESIAN_POINT('',(25.3867770803826,19.0865019274081,-0.799999999999999)); #47031=CARTESIAN_POINT('Origin',(25.5127770803826,19.0865019274081,-0.8)); #47032=CARTESIAN_POINT('',(25.5127770803826,19.0865019274081,-0.875708437997473)); #47033=CARTESIAN_POINT('',(25.4497770803826,19.0865019274081,-0.837854218998736)); #47034=CARTESIAN_POINT('Origin',(25.5127770803826,19.0865019274081,-0.4)); #47035=CARTESIAN_POINT('',(25.3867770803826,19.0865019274081,0.)); #47036=CARTESIAN_POINT('Origin',(25.5127770803826,19.0865019274081,0.)); #47037=CARTESIAN_POINT('',(25.3867770803826,19.0865019274081,-0.4)); #47038=CARTESIAN_POINT('Origin',(25.2759619492905,20.5682304483431,-0.837854218998736)); #47039=CARTESIAN_POINT('',(25.1499619492905,20.5682304483431,-0.799999999999999)); #47040=CARTESIAN_POINT('Origin',(25.2759619492905,20.5682304483431,-0.8)); #47041=CARTESIAN_POINT('',(25.2759619492905,20.5682304483431,-0.875708437997473)); #47042=CARTESIAN_POINT('',(25.2129619492905,20.5682304483431,-0.837854218998736)); #47043=CARTESIAN_POINT('Origin',(25.2759619492905,20.5682304483431,-0.4)); #47044=CARTESIAN_POINT('',(25.1499619492905,20.5682304483431,0.)); #47045=CARTESIAN_POINT('Origin',(25.2759619492905,20.5682304483431,0.)); #47046=CARTESIAN_POINT('',(25.1499619492905,20.5682304483431,-0.4)); #47047=CARTESIAN_POINT('Origin',(25.0705079063073,22.0546319594726,-0.837854218998736)); #47048=CARTESIAN_POINT('',(24.9445079063073,22.0546319594726,-0.8)); #47049=CARTESIAN_POINT('Origin',(25.0705079063073,22.0546319594726,-0.8)); #47050=CARTESIAN_POINT('',(25.0705079063073,22.0546319594726,-0.875708437997473)); #47051=CARTESIAN_POINT('',(25.0075079063073,22.0546319594726,-0.837854218998736)); #47052=CARTESIAN_POINT('Origin',(25.0705079063073,22.0546319594726,-0.4)); #47053=CARTESIAN_POINT('',(24.9445079063073,22.0546319594726,0.)); #47054=CARTESIAN_POINT('Origin',(25.0705079063073,22.0546319594726,0.)); #47055=CARTESIAN_POINT('',(24.9445079063073,22.0546319594726,-0.4)); #47056=CARTESIAN_POINT('Origin',(24.8965066880247,23.5450427726723,-0.837854218998736)); #47057=CARTESIAN_POINT('',(24.7705066880247,23.5450427726723,-0.8)); #47058=CARTESIAN_POINT('Origin',(24.8965066880247,23.5450427726723,-0.8)); #47059=CARTESIAN_POINT('',(24.8965066880247,23.5450427726723,-0.875708437997473)); #47060=CARTESIAN_POINT('',(24.8335066880247,23.5450427726723,-0.837854218998736)); #47061=CARTESIAN_POINT('Origin',(24.8965066880247,23.5450427726723,-0.4)); #47062=CARTESIAN_POINT('',(24.7705066880247,23.5450427726723,0.)); #47063=CARTESIAN_POINT('Origin',(24.8965066880247,23.5450427726723,0.)); #47064=CARTESIAN_POINT('',(24.7705066880247,23.5450427726723,-0.4)); #47065=CARTESIAN_POINT('Origin',(24.7540359871398,25.0387974096377,-0.837854218998736)); #47066=CARTESIAN_POINT('',(24.6280359871398,25.0387974096377,-0.8)); #47067=CARTESIAN_POINT('Origin',(24.7540359871398,25.0387974096377,-0.8)); #47068=CARTESIAN_POINT('',(24.7540359871398,25.0387974096377,-0.875708437997473)); #47069=CARTESIAN_POINT('',(24.6910359871398,25.0387974096377,-0.837854218998736)); #47070=CARTESIAN_POINT('Origin',(24.7540359871398,25.0387974096377,-0.4)); #47071=CARTESIAN_POINT('',(24.6280359871398,25.0387974096377,0.)); #47072=CARTESIAN_POINT('Origin',(24.7540359871398,25.0387974096377,0.)); #47073=CARTESIAN_POINT('',(24.6280359871398,25.0387974096377,-0.4)); #47074=CARTESIAN_POINT('Origin',(24.6431594177644,26.535228899025,-0.837854218998736)); #47075=CARTESIAN_POINT('',(24.5171594177644,26.535228899025,-0.799999999999999)); #47076=CARTESIAN_POINT('Origin',(24.6431594177644,26.535228899025,-0.8)); #47077=CARTESIAN_POINT('',(24.6431594177644,26.535228899025,-0.875708437997473)); #47078=CARTESIAN_POINT('',(24.5801594177644,26.535228899025,-0.837854218998736)); #47079=CARTESIAN_POINT('Origin',(24.6431594177644,26.535228899025,-0.4)); #47080=CARTESIAN_POINT('',(24.5171594177644,26.535228899025,0.)); #47081=CARTESIAN_POINT('Origin',(24.6431594177644,26.535228899025,0.)); #47082=CARTESIAN_POINT('',(24.5171594177644,26.535228899025,-0.4)); #47083=CARTESIAN_POINT('Origin',(24.5639264870217,28.0336690742579,-0.837854218998736)); #47084=CARTESIAN_POINT('',(24.4379264870217,28.0336690742579,-0.799999999999999)); #47085=CARTESIAN_POINT('Origin',(24.5639264870217,28.0336690742579,-0.8)); #47086=CARTESIAN_POINT('',(24.5639264870217,28.0336690742579,-0.875708437997473)); #47087=CARTESIAN_POINT('',(24.5009264870217,28.0336690742579,-0.837854218998736)); #47088=CARTESIAN_POINT('Origin',(24.5639264870217,28.0336690742579,-0.4)); #47089=CARTESIAN_POINT('',(24.4379264870217,28.0336690742579,0.)); #47090=CARTESIAN_POINT('Origin',(24.5639264870217,28.0336690742579,0.)); #47091=CARTESIAN_POINT('',(24.4379264870217,28.0336690742579,-0.4)); #47092=CARTESIAN_POINT('Origin',(24.5163725729401,29.5334488718688,-0.837854218998736)); #47093=CARTESIAN_POINT('',(24.3903725729401,29.5334488718688,-0.799999999999999)); #47094=CARTESIAN_POINT('Origin',(24.5163725729401,29.5334488718688,-0.8)); #47095=CARTESIAN_POINT('',(24.5163725729401,29.5334488718688,-0.875708437997473)); #47096=CARTESIAN_POINT('',(24.4533725729401,29.5334488718688,-0.837854218998736)); #47097=CARTESIAN_POINT('Origin',(24.5163725729401,29.5334488718688,-0.4)); #47098=CARTESIAN_POINT('',(24.3903725729401,29.5334488718688,0.)); #47099=CARTESIAN_POINT('Origin',(24.5163725729401,29.5334488718688,0.)); #47100=CARTESIAN_POINT('',(24.3903725729401,29.5334488718688,-0.4)); #47101=CARTESIAN_POINT('Origin',(24.5005189086575,31.0338986302396,-0.837854218998736)); #47102=CARTESIAN_POINT('',(24.3745189086575,31.0338986302396,-0.799999999999999)); #47103=CARTESIAN_POINT('Origin',(24.5005189086575,31.0338986302396,-0.8)); #47104=CARTESIAN_POINT('',(24.5005189086575,31.0338986302396,-0.875708437997473)); #47105=CARTESIAN_POINT('',(24.4375189086575,31.0338986302396,-0.837854218998736)); #47106=CARTESIAN_POINT('Origin',(24.5005189086575,31.0338986302396,-0.4)); #47107=CARTESIAN_POINT('',(24.3745189086575,31.0338986302396,0.)); #47108=CARTESIAN_POINT('Origin',(24.5005189086575,31.0338986302396,0.)); #47109=CARTESIAN_POINT('',(24.3745189086575,31.0338986302396,-0.4)); #47110=CARTESIAN_POINT('Origin',(8.28911353341125,1.76190547195434,-10.4727136295812)); #47111=CARTESIAN_POINT('',(17.0515629581416,22.7873198568477,6.99353086378051E-16)); #47112=CARTESIAN_POINT('',(20.4806832306175,6.65457737393461,0.)); #47113=CARTESIAN_POINT('',(18.2233189107766,17.2746415211204,0.)); #47114=CARTESIAN_POINT('',(15.8157420626192,22.6574298466985,-1.)); #47115=CARTESIAN_POINT('',(5.37764850553986,21.5603420057501,-9.44628343386855)); #47116=CARTESIAN_POINT('',(19.298873638356,6.27058416021188,-1.)); #47117=CARTESIAN_POINT('',(17.0145036668847,17.0176999091844,-1.)); #47118=CARTESIAN_POINT('',(14.9769708707902,4.86631282582623,-4.65702122902524)); #47119=CARTESIAN_POINT('Origin',(19.9080544704664,31.0338986302407,-1.)); #47120=CARTESIAN_POINT('',(15.8157420626192,39.410367413783,-1.)); #47121=CARTESIAN_POINT('',(15.8157420626192,15.5169493151204,-1.)); #47122=CARTESIAN_POINT('',(19.298873638356,55.7972131002696,-1.)); #47123=CARTESIAN_POINT('',(10.7031917916753,15.357709472878,-1.)); #47124=CARTESIAN_POINT('',(66.2344594801321,40.5469168060462,-1.)); #47125=CARTESIAN_POINT('',(22.8253563910837,54.6513893954827,-1.)); #47126=CARTESIAN_POINT('',(66.2344594801321,21.5208804544353,-1.)); #47127=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,-1.)); #47128=CARTESIAN_POINT('',(21.8742998747886,7.1073908706238,-1.)); #47129=CARTESIAN_POINT('Origin',(6.74707321734794,0.,-8.33817406561806)); #47130=CARTESIAN_POINT('',(17.0515629581416,39.2804774036337,6.99353086378051E-16)); #47131=CARTESIAN_POINT('',(17.0515629581416,15.5169493151204,6.99353086378051E-16)); #47132=CARTESIAN_POINT('',(9.29379764894776,40.0958513938272,-6.27741878884042)); #47133=CARTESIAN_POINT('Origin',(3.29451175712971,-0.700270091476954,-4.16238455929422)); #47134=CARTESIAN_POINT('',(20.4806832306175,55.4132198865469,0.)); #47135=CARTESIAN_POINT('',(11.9120070355672,15.100767860942,0.)); #47136=CARTESIAN_POINT('',(20.2240097689019,55.4966181497585,-0.217186815368807)); #47137=CARTESIAN_POINT('Origin',(21.8742998747886,54.9604063898577,0.)); #47138=CARTESIAN_POINT('',(66.2344594801321,40.5469168060462,0.)); #47139=CARTESIAN_POINT('',(66.2344594801321,40.5469168060462,0.)); #47140=CARTESIAN_POINT('',(66.2344594801321,40.5469168060462,0.)); #47141=CARTESIAN_POINT('Origin',(19.9080544704664,31.0338986302407,0.)); #47142=CARTESIAN_POINT('',(66.2344594801321,21.5208804544353,0.)); #47143=CARTESIAN_POINT('',(21.8742998747886,7.1073908706238,0.)); #47144=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #47145=CARTESIAN_POINT('Origin',(95.5125189086576,31.0338986302408,0.)); #47146=CARTESIAN_POINT('',(66.2344594801321,21.5208804544353,0.)); #47147=CARTESIAN_POINT('Origin',(22.8253563910837,7.41640786499873,0.)); #47148=CARTESIAN_POINT('',(0.,0.,0.)); #47149=CARTESIAN_POINT('Origin',(19.4061615692411,-4.78578392461539,25.1500614376052)); #47150=CARTESIAN_POINT('',(20.4061615692411,-4.78578392461539,-1.)); #47151=CARTESIAN_POINT('Origin',(19.4061615692411,-4.78578392461539,-1.)); #47152=CARTESIAN_POINT('',(20.4061615692411,-4.78578392461539,0.)); #47153=CARTESIAN_POINT('',(20.4061615692411,-4.78578392461539,25.1500614376052)); #47154=CARTESIAN_POINT('Origin',(19.4061615692411,-4.78578392461539,0.)); #47155=CARTESIAN_POINT('Origin',(9.90616156924114,-4.78578392461539,25.1500614376052)); #47156=CARTESIAN_POINT('',(10.9061615692411,-4.78578392461539,-1.)); #47157=CARTESIAN_POINT('Origin',(9.90616156924114,-4.78578392461539,-1.)); #47158=CARTESIAN_POINT('',(10.9061615692411,-4.78578392461539,0.)); #47159=CARTESIAN_POINT('',(10.9061615692411,-4.78578392461539,25.1500614376052)); #47160=CARTESIAN_POINT('Origin',(9.90616156924114,-4.78578392461539,0.)); #47161=CARTESIAN_POINT('Origin',(14.6561615692411,-4.78578392461539,25.1500614376052)); #47162=CARTESIAN_POINT('',(15.6561615692411,-4.78578392461539,-1.)); #47163=CARTESIAN_POINT('Origin',(14.6561615692411,-4.78578392461539,-1.)); #47164=CARTESIAN_POINT('',(15.6561615692411,-4.78578392461539,0.)); #47165=CARTESIAN_POINT('',(15.6561615692411,-4.78578392461539,25.1500614376052)); #47166=CARTESIAN_POINT('Origin',(14.6561615692411,-4.78578392461539,0.)); #47167=CARTESIAN_POINT('Origin',(24.1561615692411,-4.78578392461539,25.1500614376052)); #47168=CARTESIAN_POINT('',(25.1561615692411,-4.78578392461539,-1.)); #47169=CARTESIAN_POINT('Origin',(24.1561615692411,-4.78578392461539,-1.)); #47170=CARTESIAN_POINT('',(25.1561615692411,-4.78578392461539,0.)); #47171=CARTESIAN_POINT('',(25.1561615692411,-4.78578392461539,25.1500614376052)); #47172=CARTESIAN_POINT('Origin',(24.1561615692411,-4.78578392461539,0.)); #47173=CARTESIAN_POINT('Origin',(27.4308478810402,-4.78578392461544,-0.5)); #47174=CARTESIAN_POINT('',(27.4709553273603,-4.78578392461544,-0.366499999999998)); #47175=CARTESIAN_POINT('Origin',(27.4709553273603,-4.78578392461544,-0.5)); #47176=CARTESIAN_POINT('',(27.3907404347201,-4.78578392461545,-0.5)); #47177=CARTESIAN_POINT('',(27.4308478810402,-4.78578392461544,-0.43325)); #47178=CARTESIAN_POINT('Origin',(27.8874553273603,-4.78578392461542,-0.5)); #47179=CARTESIAN_POINT('',(28.3039553273603,-4.78578392461539,-0.3665)); #47180=CARTESIAN_POINT('Origin',(28.3039553273603,-4.78578392461539,-0.5)); #47181=CARTESIAN_POINT('',(27.8874553273603,-4.78578392461542,-0.3665)); #47182=CARTESIAN_POINT('Origin',(27.4308478810403,-6.16078392461544,-0.5)); #47183=CARTESIAN_POINT('',(27.4709553273604,-6.16078392461544,-0.366499999999998)); #47184=CARTESIAN_POINT('Origin',(27.4709553273604,-6.16078392461544,-0.5)); #47185=CARTESIAN_POINT('',(27.3907404347202,-6.16078392461545,-0.5)); #47186=CARTESIAN_POINT('',(27.4308478810403,-6.16078392461544,-0.43325)); #47187=CARTESIAN_POINT('Origin',(27.8874553273604,-6.16078392461542,-0.5)); #47188=CARTESIAN_POINT('',(28.3039553273604,-6.16078392461539,-0.3665)); #47189=CARTESIAN_POINT('Origin',(28.3039553273604,-6.16078392461539,-0.5)); #47190=CARTESIAN_POINT('',(27.8874553273604,-6.16078392461542,-0.3665)); #47191=CARTESIAN_POINT('Origin',(27.4308478810401,-3.41078392461544,-0.500000001584556)); #47192=CARTESIAN_POINT('',(27.4709553273602,-3.41078392461544,-0.366500001584554)); #47193=CARTESIAN_POINT('Origin',(27.4709553273602,-3.41078392461544,-0.500000001584556)); #47194=CARTESIAN_POINT('',(27.3907404347201,-3.41078392461545,-0.500000001584556)); #47195=CARTESIAN_POINT('',(27.4308478810401,-3.41078392461544,-0.433250001584556)); #47196=CARTESIAN_POINT('Origin',(27.8874553273602,-3.41078392461542,-0.500000001584556)); #47197=CARTESIAN_POINT('',(28.3039553273602,-3.41078392461539,-0.366500001584556)); #47198=CARTESIAN_POINT('Origin',(28.3039553273602,-3.41078392461539,-0.500000001584556)); #47199=CARTESIAN_POINT('',(27.8874553273602,-3.41078392461542,-0.366500001584556)); #47200=CARTESIAN_POINT('Origin',(28.3039553273604,-6.79955462352608,-1.)); #47201=CARTESIAN_POINT('',(5.74382489524162,-6.79955462352746,0.)); #47202=CARTESIAN_POINT('',(28.3039553273604,-6.79955462352608,0.)); #47203=CARTESIAN_POINT('',(22.1767969790902,-6.79955462352646,0.)); #47204=CARTESIAN_POINT('',(5.74382489524162,-6.79955462352746,-1.)); #47205=CARTESIAN_POINT('',(5.74382489524162,-6.79955462352746,-0.5)); #47206=CARTESIAN_POINT('',(28.3039553273604,-6.79955462352608,-1.)); #47207=CARTESIAN_POINT('',(22.1767969790902,-6.79955462352646,-1.)); #47208=CARTESIAN_POINT('',(28.3039553273604,-6.79955462352608,-0.5)); #47209=CARTESIAN_POINT('',(21.5826615692411,-6.79955462352592,-0.500000000168143)); #47210=CARTESIAN_POINT('Origin',(21.7811615692411,-6.79955462352593,-0.500000000168143)); #47211=CARTESIAN_POINT('',(16.8326615692411,-6.79955462352581,-0.500000000168145)); #47212=CARTESIAN_POINT('Origin',(17.0311615692411,-6.79955462352581,-0.500000000168145)); #47213=CARTESIAN_POINT('',(7.33266156924108,-6.79955462352558,-0.500000000168146)); #47214=CARTESIAN_POINT('Origin',(7.53116156924108,-6.79955462352559,-0.500000000168146)); #47215=CARTESIAN_POINT('',(12.0826615692411,-6.7995546235257,-0.500000000168146)); #47216=CARTESIAN_POINT('Origin',(12.2811615692411,-6.79955462352571,-0.500000000168146)); #47217=CARTESIAN_POINT('',(26.3326615692411,-6.79955462352604,-0.500000000168143)); #47218=CARTESIAN_POINT('Origin',(26.5311615692411,-6.79955462352604,-0.500000000168143)); #47219=CARTESIAN_POINT('Origin',(16.0496386308199,-5.11361455678524,-1.)); #47220=CARTESIAN_POINT('',(2.48526784175516,-2.77201322570494,-1.)); #47221=CARTESIAN_POINT('',(5.7409477726981,-6.79599853107291,-1.)); #47222=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,-1.)); #47223=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,-1.)); #47224=CARTESIAN_POINT('',(28.3039553273605,-7.79955462352704,-1.)); #47225=CARTESIAN_POINT('Origin',(21.7811615692417,18.5230743482565,-0.500000000168143)); #47226=CARTESIAN_POINT('',(21.5826615692412,-2.7720132257054,-0.500000000168143)); #47227=CARTESIAN_POINT('',(21.5826615692417,18.5230743482565,-0.500000000168143)); #47228=CARTESIAN_POINT('Origin',(21.7811615692412,-2.7720132257054,-0.500000000168143)); #47229=CARTESIAN_POINT('Origin',(17.0311615692417,18.5230743482566,-0.500000000168145)); #47230=CARTESIAN_POINT('',(16.8326615692412,-2.77201322570528,-0.500000000168145)); #47231=CARTESIAN_POINT('',(16.8326615692417,18.5230743482566,-0.500000000168145)); #47232=CARTESIAN_POINT('Origin',(17.0311615692412,-2.77201322570529,-0.500000000168145)); #47233=CARTESIAN_POINT('Origin',(7.53116156924169,18.5230743482569,-0.500000000168146)); #47234=CARTESIAN_POINT('',(7.33266156924118,-2.77201322570505,-0.500000000168146)); #47235=CARTESIAN_POINT('',(7.33266156924169,18.5230743482569,-0.500000000168146)); #47236=CARTESIAN_POINT('Origin',(7.53116156924118,-2.77201322570506,-0.500000000168146)); #47237=CARTESIAN_POINT('Origin',(12.2811615692417,18.5230743482567,-0.500000000168146)); #47238=CARTESIAN_POINT('',(12.0826615692412,-2.77201322570517,-0.500000000168146)); #47239=CARTESIAN_POINT('',(12.0826615692417,18.5230743482568,-0.500000000168146)); #47240=CARTESIAN_POINT('Origin',(12.2811615692412,-2.77201322570517,-0.500000000168146)); #47241=CARTESIAN_POINT('Origin',(26.5311615692417,18.5230743482564,-0.500000000168143)); #47242=CARTESIAN_POINT('',(26.3326615692412,-2.77201322570551,-0.500000000168143)); #47243=CARTESIAN_POINT('',(26.3326615692417,18.5230743482564,-0.500000000168143)); #47244=CARTESIAN_POINT('Origin',(26.5311615692412,-2.77201322570551,-0.500000000168143)); #47245=CARTESIAN_POINT('Origin',(2.48526784175516,-2.77201322570494,0.)); #47246=CARTESIAN_POINT('',(2.48526784175516,-2.77201322570494,0.)); #47247=CARTESIAN_POINT('',(5.7409477726981,-6.79599853107291,0.)); #47248=CARTESIAN_POINT('',(2.48526784175516,-2.77201322570494,0.)); #47249=CARTESIAN_POINT('',(4.05772854178814,-4.71555770317717,-0.653500001576277)); #47250=CARTESIAN_POINT('Origin',(4.05772854178814,-4.71555770317717,-0.500000001576277)); #47251=CARTESIAN_POINT('',(5.00120496209171,-5.88168439001127,-0.65350000158676)); #47252=CARTESIAN_POINT('Origin',(5.00120496209171,-5.88168439001127,-0.50000000158676)); #47253=CARTESIAN_POINT('',(3.11425212148458,-3.5494310163431,-0.653500001565788)); #47254=CARTESIAN_POINT('Origin',(3.11425212148458,-3.5494310163431,-0.500000001565788)); #47255=CARTESIAN_POINT('Origin',(16.0496386308199,-5.11361455678524,0.)); #47256=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,0.)); #47257=CARTESIAN_POINT('',(28.3039553273605,-7.79955462352704,0.)); #47258=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,0.)); #47259=CARTESIAN_POINT('Origin',(4.91669970329896,-4.02430411561638,-0.500000001576277)); #47260=CARTESIAN_POINT('',(4.88077244197747,-4.0532164346703,-0.653500001576277)); #47261=CARTESIAN_POINT('Origin',(4.88077244197747,-4.0532164346703,-0.500000001576277)); #47262=CARTESIAN_POINT('',(4.95262696462046,-3.99539179656246,-0.500000001576277)); #47263=CARTESIAN_POINT('',(4.91669970329896,-4.02430411561638,-0.576750001576277)); #47264=CARTESIAN_POINT('Origin',(4.46670102101005,-4.38643874535496,-0.500000001576277)); #47265=CARTESIAN_POINT('',(4.46670102101005,-4.38643874535496,-0.653500001576277)); #47266=CARTESIAN_POINT('Origin',(5.85711675855432,-5.19289281416869,-0.50000000158676)); #47267=CARTESIAN_POINT('',(5.82118949723282,-5.22180513322261,-0.653500001586761)); #47268=CARTESIAN_POINT('Origin',(5.82118949723282,-5.22180513322261,-0.50000000158676)); #47269=CARTESIAN_POINT('',(5.89304401987581,-5.16398049511477,-0.50000000158676)); #47270=CARTESIAN_POINT('',(5.85711675855432,-5.19289281416869,-0.57675000158676)); #47271=CARTESIAN_POINT('Origin',(5.40711807626541,-5.55502744390727,-0.50000000158676)); #47272=CARTESIAN_POINT('',(5.40711807626541,-5.55502744390727,-0.65350000158676)); #47273=CARTESIAN_POINT('Origin',(3.52628396575472,-3.21785004680267,-0.500000001565788)); #47274=CARTESIAN_POINT('',(3.94035538672213,-2.88462773611802,-0.653500001565788)); #47275=CARTESIAN_POINT('',(3.52628396575472,-3.21785004680267,-0.653500001565788)); #47276=CARTESIAN_POINT('',(3.84972931238743,-2.77201322570493,-0.551644330345436)); #47277=CARTESIAN_POINT('Origin',(3.94035538672213,-2.88462773611802,-0.500000001565788)); #47278=CARTESIAN_POINT('',(3.84972931238743,-2.77201322570493,-0.44835567278614)); #47279=CARTESIAN_POINT('Origin',(4.0802933466159,-2.77201322570492,-0.500000001565788)); #47280=CARTESIAN_POINT('Origin',(3.94035538672213,-2.88462773611802,-0.500000001565788)); #47281=CARTESIAN_POINT('Origin',(3.97628264804363,-2.85571541706409,-0.500000001565788)); #47282=CARTESIAN_POINT('Ctrl Pts',(3.84972931238743,-2.77201322570493,-0.551644330345436)); #47283=CARTESIAN_POINT('Ctrl Pts',(3.87433424292351,-2.77201322570493,-0.500000001565779)); #47284=CARTESIAN_POINT('Ctrl Pts',(3.84972931238743,-2.77201322570493,-0.44835567278614)); #47285=CARTESIAN_POINT('',(4.01220990936512,-2.82680309801017,-0.500000001565788)); #47286=CARTESIAN_POINT('',(3.97628264804363,-2.85571541706409,-0.576750001565788)); #47287=CARTESIAN_POINT('Origin',(28.3039553273602,-2.7720132257047,0.)); #47288=CARTESIAN_POINT('',(28.3039553273602,-2.7720132257047,0.)); #47289=CARTESIAN_POINT('Origin',(2.48526784175516,-2.77201322570494,0.)); #47290=CARTESIAN_POINT('',(0.,0.,0.)); #47291=CARTESIAN_POINT('Origin',(-22.5227937657957,-2.,0.5)); #47292=CARTESIAN_POINT('',(-22.5227937657957,0.,0.)); #47293=CARTESIAN_POINT('',(-22.5227937657957,-4.,0.)); #47294=CARTESIAN_POINT('',(-22.5227937657957,0.,0.)); #47295=CARTESIAN_POINT('',(-22.5227937657957,-4.,1.)); #47296=CARTESIAN_POINT('',(-22.5227937657957,-4.,0.)); #47297=CARTESIAN_POINT('',(-22.5227937657957,0.,1.)); #47298=CARTESIAN_POINT('',(-22.5227937657957,-4.,1.)); #47299=CARTESIAN_POINT('',(-22.5227937657957,0.,1.)); #47300=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.625)); #47301=CARTESIAN_POINT('',(-16.3352937657957,-0.499999997124622,0.625)); #47302=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.625)); #47303=CARTESIAN_POINT('',(-16.2212937657957,-0.499999997124622,0.625)); #47304=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.625)); #47305=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.8125)); #47306=CARTESIAN_POINT('',(-16.3352937657957,-0.499999997124622,1.)); #47307=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,1.)); #47308=CARTESIAN_POINT('',(-16.3352937657957,-0.499999997124622,0.8125)); #47309=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.5)); #47310=CARTESIAN_POINT('',(-16.2212937657957,-0.499999997124622,0.)); #47311=CARTESIAN_POINT('',(-16.2212937657957,-0.499999997124622,0.5)); #47312=CARTESIAN_POINT('Origin',(-16.0227937657957,-0.499999997124622,0.)); #47313=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.625)); #47314=CARTESIAN_POINT('',(-6.83529376579572,-0.499999997124568,0.625)); #47315=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.625)); #47316=CARTESIAN_POINT('',(-6.72129376579572,-0.499999997124568,0.625)); #47317=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.625)); #47318=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.8125)); #47319=CARTESIAN_POINT('',(-6.83529376579572,-0.499999997124568,1.)); #47320=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,1.)); #47321=CARTESIAN_POINT('',(-6.83529376579572,-0.499999997124568,0.8125)); #47322=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.5)); #47323=CARTESIAN_POINT('',(-6.72129376579572,-0.499999997124568,0.)); #47324=CARTESIAN_POINT('',(-6.72129376579572,-0.499999997124568,0.5)); #47325=CARTESIAN_POINT('Origin',(-6.52279376579572,-0.499999997124568,0.)); #47326=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.625)); #47327=CARTESIAN_POINT('',(-2.08529376579572,-3.50000000287546,0.625)); #47328=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.625)); #47329=CARTESIAN_POINT('',(-1.97129376579572,-3.50000000287546,0.625)); #47330=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.625)); #47331=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.8125)); #47332=CARTESIAN_POINT('',(-2.08529376579572,-3.50000000287546,1.)); #47333=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,1.)); #47334=CARTESIAN_POINT('',(-2.08529376579572,-3.50000000287546,0.8125)); #47335=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.5)); #47336=CARTESIAN_POINT('',(-1.97129376579572,-3.50000000287546,0.)); #47337=CARTESIAN_POINT('',(-1.97129376579572,-3.50000000287546,0.5)); #47338=CARTESIAN_POINT('Origin',(-1.77279376579572,-3.50000000287546,0.)); #47339=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.625)); #47340=CARTESIAN_POINT('',(-11.5852937657957,-3.50000000287541,0.625)); #47341=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.625)); #47342=CARTESIAN_POINT('',(-11.4712937657957,-3.50000000287541,0.625)); #47343=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.625)); #47344=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.8125)); #47345=CARTESIAN_POINT('',(-11.5852937657957,-3.50000000287541,1.)); #47346=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,1.)); #47347=CARTESIAN_POINT('',(-11.5852937657957,-3.50000000287541,0.8125)); #47348=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.5)); #47349=CARTESIAN_POINT('',(-11.4712937657957,-3.50000000287541,0.)); #47350=CARTESIAN_POINT('',(-11.4712937657957,-3.50000000287541,0.5)); #47351=CARTESIAN_POINT('Origin',(-11.2727937657957,-3.50000000287541,0.)); #47352=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.625)); #47353=CARTESIAN_POINT('',(-21.0852937657957,-3.50000000287536,0.625)); #47354=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.625)); #47355=CARTESIAN_POINT('',(-20.9712937657957,-3.50000000287536,0.625)); #47356=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.625)); #47357=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.8125)); #47358=CARTESIAN_POINT('',(-21.0852937657957,-3.50000000287536,1.)); #47359=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,1.)); #47360=CARTESIAN_POINT('',(-21.0852937657957,-3.50000000287536,0.8125)); #47361=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.5)); #47362=CARTESIAN_POINT('',(-20.9712937657957,-3.50000000287536,0.)); #47363=CARTESIAN_POINT('',(-20.9712937657957,-3.50000000287536,0.5)); #47364=CARTESIAN_POINT('Origin',(-20.7727937657957,-3.50000000287536,0.)); #47365=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.625)); #47366=CARTESIAN_POINT('',(-16.3352937657957,-3.50000000287539,0.625)); #47367=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.625)); #47368=CARTESIAN_POINT('',(-16.2212937657957,-3.50000000287539,0.625)); #47369=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.625)); #47370=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.8125)); #47371=CARTESIAN_POINT('',(-16.3352937657957,-3.50000000287539,1.)); #47372=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,1.)); #47373=CARTESIAN_POINT('',(-16.3352937657957,-3.50000000287539,0.8125)); #47374=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.5)); #47375=CARTESIAN_POINT('',(-16.2212937657957,-3.50000000287539,0.)); #47376=CARTESIAN_POINT('',(-16.2212937657957,-3.50000000287539,0.5)); #47377=CARTESIAN_POINT('Origin',(-16.0227937657957,-3.50000000287539,0.)); #47378=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.625)); #47379=CARTESIAN_POINT('',(-6.83529376579572,-3.50000000287543,0.625)); #47380=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.625)); #47381=CARTESIAN_POINT('',(-6.72129376579572,-3.50000000287543,0.625)); #47382=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.625)); #47383=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.8125)); #47384=CARTESIAN_POINT('',(-6.83529376579572,-3.50000000287543,1.)); #47385=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,1.)); #47386=CARTESIAN_POINT('',(-6.83529376579572,-3.50000000287543,0.8125)); #47387=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.5)); #47388=CARTESIAN_POINT('',(-6.72129376579572,-3.50000000287543,0.)); #47389=CARTESIAN_POINT('',(-6.72129376579572,-3.50000000287543,0.5)); #47390=CARTESIAN_POINT('Origin',(-6.52279376579572,-3.50000000287543,0.)); #47391=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.625)); #47392=CARTESIAN_POINT('',(-2.08529376579572,-0.499999997124542,0.625)); #47393=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.625)); #47394=CARTESIAN_POINT('',(-1.97129376579572,-0.499999997124542,0.625)); #47395=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.625)); #47396=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.8125)); #47397=CARTESIAN_POINT('',(-2.08529376579572,-0.499999997124542,1.)); #47398=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,1.)); #47399=CARTESIAN_POINT('',(-2.08529376579572,-0.499999997124542,0.8125)); #47400=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.5)); #47401=CARTESIAN_POINT('',(-1.97129376579572,-0.499999997124542,0.)); #47402=CARTESIAN_POINT('',(-1.97129376579572,-0.499999997124542,0.5)); #47403=CARTESIAN_POINT('Origin',(-1.77279376579572,-0.499999997124542,0.)); #47404=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.625)); #47405=CARTESIAN_POINT('',(-11.5852937657957,-0.499999997124595,0.625)); #47406=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.625)); #47407=CARTESIAN_POINT('',(-11.4712937657957,-0.499999997124595,0.625)); #47408=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.625)); #47409=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.8125)); #47410=CARTESIAN_POINT('',(-11.5852937657957,-0.499999997124595,1.)); #47411=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,1.)); #47412=CARTESIAN_POINT('',(-11.5852937657957,-0.499999997124595,0.8125)); #47413=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.5)); #47414=CARTESIAN_POINT('',(-11.4712937657957,-0.499999997124595,0.)); #47415=CARTESIAN_POINT('',(-11.4712937657957,-0.499999997124595,0.5)); #47416=CARTESIAN_POINT('Origin',(-11.2727937657957,-0.499999997124595,0.)); #47417=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.625)); #47418=CARTESIAN_POINT('',(-21.0852937657957,-0.499999997124648,0.625)); #47419=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.625)); #47420=CARTESIAN_POINT('',(-20.9712937657957,-0.499999997124648,0.625)); #47421=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.625)); #47422=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.8125)); #47423=CARTESIAN_POINT('',(-21.0852937657957,-0.499999997124648,1.)); #47424=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,1.)); #47425=CARTESIAN_POINT('',(-21.0852937657957,-0.499999997124648,0.8125)); #47426=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.5)); #47427=CARTESIAN_POINT('',(-20.9712937657957,-0.499999997124648,0.)); #47428=CARTESIAN_POINT('',(-20.9712937657957,-0.499999997124648,0.5)); #47429=CARTESIAN_POINT('Origin',(-20.7727937657957,-0.499999997124648,0.)); #47430=CARTESIAN_POINT('Origin',(0.,-4.,0.)); #47431=CARTESIAN_POINT('',(0.,-4.,0.)); #47432=CARTESIAN_POINT('',(0.,3.5652279837771E-72,0.)); #47433=CARTESIAN_POINT('',(0.,-4.,0.)); #47434=CARTESIAN_POINT('',(0.,3.5652279837771E-72,1.)); #47435=CARTESIAN_POINT('',(0.,3.5652279837771E-72,0.)); #47436=CARTESIAN_POINT('',(0.,-4.,1.)); #47437=CARTESIAN_POINT('',(0.,-4.,1.)); #47438=CARTESIAN_POINT('',(0.,-4.,0.)); #47439=CARTESIAN_POINT('Origin',(-21.7727937657957,-4.,0.)); #47440=CARTESIAN_POINT('',(-21.7727937657957,-4.,0.)); #47441=CARTESIAN_POINT('',(-21.7727937657957,-4.,1.)); #47442=CARTESIAN_POINT('Origin',(0.,3.5652279837771E-72,0.)); #47443=CARTESIAN_POINT('',(0.,3.5652279837771E-72,0.)); #47444=CARTESIAN_POINT('',(0.,3.5652279837771E-72,1.)); #47445=CARTESIAN_POINT('Origin',(-10.8863968828979,-2.,1.)); #47446=CARTESIAN_POINT('Origin',(-10.8863968828979,-2.,0.)); #47447=CARTESIAN_POINT('',(0.,0.,0.)); #47448=CARTESIAN_POINT('Origin',(-14.021375175278,-44.6416475354807,-0.5)); #47449=CARTESIAN_POINT('',(-14.0614826215981,-44.6416475354807,-0.3665)); #47450=CARTESIAN_POINT('Origin',(-14.0614826215981,-44.6416475354807,-0.5)); #47451=CARTESIAN_POINT('',(-13.9812677289579,-44.6416475354807,-0.5)); #47452=CARTESIAN_POINT('',(-14.021375175278,-44.6416475354807,-0.43325)); #47453=CARTESIAN_POINT('Origin',(-14.4779826215981,-44.6416475354807,-0.5)); #47454=CARTESIAN_POINT('',(-14.8944826215981,-44.6416475354807,-0.3665)); #47455=CARTESIAN_POINT('Origin',(-14.8944826215981,-44.6416475354807,-0.5)); #47456=CARTESIAN_POINT('',(-14.4779826215981,-44.6416475354807,-0.3665)); #47457=CARTESIAN_POINT('Origin',(-13.6561650734739,-46.9882436690161,-0.442591285193723)); #47458=CARTESIAN_POINT('',(-13.6941821818205,-47.0188377840583,-0.312017333549198)); #47459=CARTESIAN_POINT('Origin',(-13.6873436411478,-47.0133344959557,-0.445228437371396)); #47460=CARTESIAN_POINT('',(-13.6249865058,-46.9631528420764,-0.439954133016051)); #47461=CARTESIAN_POINT('',(-13.6595843438102,-46.9909953130674,-0.375985733282625)); #47462=CARTESIAN_POINT('Origin',(-14.0111207605522,-47.2738928306088,-0.472614221877214)); #47463=CARTESIAN_POINT('',(-14.3417364206291,-47.5399544533646,-0.36678890256083)); #47464=CARTESIAN_POINT('Origin',(-14.3348978799564,-47.5344511652619,-0.500000006383026)); #47465=CARTESIAN_POINT('',(-14.0179593012248,-47.2793961187115,-0.339403118055018)); #47466=CARTESIAN_POINT('Origin',(-10.2079567765122,-51.2730843359213,-0.442591324391162)); #47467=CARTESIAN_POINT('',(-10.2459738848588,-51.3036784509635,-0.312017372746632)); #47468=CARTESIAN_POINT('Origin',(-10.2391353441862,-51.2981751628609,-0.445228476568835)); #47469=CARTESIAN_POINT('',(-10.1767782088383,-51.2479935089816,-0.439954172213489)); #47470=CARTESIAN_POINT('',(-10.2113760468486,-51.2758359799726,-0.375985772480064)); #47471=CARTESIAN_POINT('Origin',(-10.5629124635905,-51.558733497514,-0.472614261074653)); #47472=CARTESIAN_POINT('',(-10.8935281236674,-51.8247951202698,-0.366788941758268)); #47473=CARTESIAN_POINT('Origin',(-10.8866895829948,-51.8192918321671,-0.500000045580464)); #47474=CARTESIAN_POINT('',(-10.5697510042631,-51.5642367856167,-0.339403157252457)); #47475=CARTESIAN_POINT('Origin',(-6.75974847955059,-55.5579250028265,-0.442591363588601)); #47476=CARTESIAN_POINT('',(-6.79776558789714,-55.5885191178687,-0.312017411944071)); #47477=CARTESIAN_POINT('Origin',(-6.79092704722449,-55.5830158297661,-0.445228515766273)); #47478=CARTESIAN_POINT('',(-6.72856991187668,-55.5328341758869,-0.439954211410928)); #47479=CARTESIAN_POINT('',(-6.76316774988691,-55.5606766468778,-0.375985811677503)); #47480=CARTESIAN_POINT('Origin',(-7.11470416662884,-55.8435741644193,-0.472614300272092)); #47481=CARTESIAN_POINT('',(-7.44531982670574,-56.109635787175,-0.366788980955707)); #47482=CARTESIAN_POINT('Origin',(-7.4384812860331,-56.1041324990723,-0.500000084777903)); #47483=CARTESIAN_POINT('',(-7.12154270730148,-55.8490774525219,-0.339403196449895)); #47484=CARTESIAN_POINT('Origin',(-5.03564433106975,-57.7003453362791,-0.44259138318732)); #47485=CARTESIAN_POINT('',(-5.07366143941631,-57.7309394513213,-0.31201743154279)); #47486=CARTESIAN_POINT('Origin',(-5.06682289874366,-57.7254361632187,-0.445228535364993)); #47487=CARTESIAN_POINT('',(-5.00446576339584,-57.6752545093395,-0.439954231009648)); #47488=CARTESIAN_POINT('',(-5.03906360140608,-57.7030969803304,-0.375985831276222)); #47489=CARTESIAN_POINT('Origin',(-5.390600018148,-57.9859944978719,-0.472614319870811)); #47490=CARTESIAN_POINT('',(-5.72121567822491,-58.2520561206276,-0.366789000554426)); #47491=CARTESIAN_POINT('Origin',(-5.71437713755226,-58.246552832525,-0.500000104376623)); #47492=CARTESIAN_POINT('',(-5.39743855882065,-57.9914977859745,-0.339403216048615)); #47493=CARTESIAN_POINT('Origin',(-8.48385262803142,-53.4155046693739,-0.442591343989881)); #47494=CARTESIAN_POINT('',(-8.52186973637797,-53.4460987844161,-0.312017392345352)); #47495=CARTESIAN_POINT('Origin',(-8.51503119570532,-53.4405954963135,-0.445228496167554)); #47496=CARTESIAN_POINT('',(-8.45267406035751,-53.3904138424342,-0.439954191812209)); #47497=CARTESIAN_POINT('',(-8.48727189836774,-53.4182563134252,-0.375985792078783)); #47498=CARTESIAN_POINT('Origin',(-8.83880831510967,-53.7011538309667,-0.472614280673373)); #47499=CARTESIAN_POINT('',(-9.16942397518658,-53.9672154537224,-0.366788961356988)); #47500=CARTESIAN_POINT('Origin',(-9.16258543451393,-53.9617121656197,-0.500000065179184)); #47501=CARTESIAN_POINT('',(-8.84564685578231,-53.7066571190693,-0.339403176851176)); #47502=CARTESIAN_POINT('Origin',(-11.9320609249931,-49.1306640024687,-0.442591304792443)); #47503=CARTESIAN_POINT('',(-11.9700780333396,-49.1612581175109,-0.312017353147918)); #47504=CARTESIAN_POINT('Origin',(-11.963239492667,-49.1557548294083,-0.445228456970115)); #47505=CARTESIAN_POINT('',(-11.9008823573192,-49.105573175529,-0.43995415261477)); #47506=CARTESIAN_POINT('',(-11.9354801953294,-49.13341564652,-0.375985752881345)); #47507=CARTESIAN_POINT('Origin',(-12.2870166120713,-49.4163131640614,-0.472614241475934)); #47508=CARTESIAN_POINT('',(-12.6176322721482,-49.6823747868172,-0.366788922159549)); #47509=CARTESIAN_POINT('Origin',(-12.6107937314756,-49.6768714987145,-0.500000025981745)); #47510=CARTESIAN_POINT('',(-12.293855152744,-49.4218164521641,-0.339403137653737)); #47511=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.1598863950408,-0.408735531156106)); #47512=CARTESIAN_POINT('',(-3.59097474758395,-58.1997741285734,-0.412927900885535)); #47513=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.1997741285734,-0.412927900885535)); #47514=CARTESIAN_POINT('',(-3.45747474758395,-58.1199986615082,-0.404543161426677)); #47515=CARTESIAN_POINT('',(-3.52422474758395,-58.1598863950408,-0.408735531156106)); #47516=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.6139924979943,-0.456464005836512)); #47517=CARTESIAN_POINT('',(-3.59097474758395,-59.0282108438821,-0.500000108314062)); #47518=CARTESIAN_POINT('Origin',(-3.45747474758395,-59.0282108438592,-0.50000010831166)); #47519=CARTESIAN_POINT('',(-3.59097474758395,-58.6139924979943,-0.456464005836512)); #47520=CARTESIAN_POINT('Origin',(2.54252525241605,-58.1598863950409,-0.408735531156028)); #47521=CARTESIAN_POINT('',(2.40902525241605,-58.1997741285735,-0.412927900885457)); #47522=CARTESIAN_POINT('Origin',(2.54252525241605,-58.1997741285735,-0.412927900885457)); #47523=CARTESIAN_POINT('',(2.54252525241605,-58.1199986615082,-0.404543161426599)); #47524=CARTESIAN_POINT('',(2.47577525241605,-58.1598863950409,-0.408735531156028)); #47525=CARTESIAN_POINT('Origin',(2.54252525241605,-58.6139924979944,-0.456464005836433)); #47526=CARTESIAN_POINT('',(2.40902525241605,-59.0282108428811,-0.50000010820877)); #47527=CARTESIAN_POINT('Origin',(2.54252525241605,-59.0282108428583,-0.500000108206367)); #47528=CARTESIAN_POINT('',(2.40902525241605,-58.6139924979944,-0.456464005836433)); #47529=CARTESIAN_POINT('Origin',(8.54252525241605,-58.1598863950409,-0.40873553115595)); #47530=CARTESIAN_POINT('',(8.40902525241605,-58.1997741285735,-0.412927900885379)); #47531=CARTESIAN_POINT('Origin',(8.54252525241605,-58.1997741285735,-0.412927900885378)); #47532=CARTESIAN_POINT('',(8.54252525241605,-58.1199986615083,-0.404543161426521)); #47533=CARTESIAN_POINT('',(8.47577525241605,-58.1598863950409,-0.40873553115595)); #47534=CARTESIAN_POINT('Origin',(8.54252525241605,-58.6139924979944,-0.456464005836355)); #47535=CARTESIAN_POINT('',(8.40902525241605,-59.0282108418801,-0.500000108103477)); #47536=CARTESIAN_POINT('Origin',(8.54252525241605,-59.0282108418573,-0.500000108101074)); #47537=CARTESIAN_POINT('',(8.40902525241605,-58.6139924979944,-0.456464005836355)); #47538=CARTESIAN_POINT('Origin',(14.5425252524161,-58.1598863950409,-0.408735531155872)); #47539=CARTESIAN_POINT('',(14.409025252416,-58.1997741285736,-0.4129279008853)); #47540=CARTESIAN_POINT('Origin',(14.5425252524161,-58.1997741285736,-0.4129279008853)); #47541=CARTESIAN_POINT('',(14.5425252524161,-58.1199986615083,-0.404543161426443)); #47542=CARTESIAN_POINT('',(14.475775252416,-58.1598863950409,-0.408735531155872)); #47543=CARTESIAN_POINT('Origin',(14.542525252416,-58.6139924979945,-0.456464005836277)); #47544=CARTESIAN_POINT('',(14.409025252416,-59.0282108408791,-0.500000107998184)); #47545=CARTESIAN_POINT('Origin',(14.542525252416,-59.0282108408563,-0.500000107995781)); #47546=CARTESIAN_POINT('',(14.409025252416,-58.6139924979945,-0.456464005836277)); #47547=CARTESIAN_POINT('Origin',(20.542525252416,-58.159886395041,-0.408735531155844)); #47548=CARTESIAN_POINT('',(20.409025252416,-58.1997741285736,-0.412927900885272)); #47549=CARTESIAN_POINT('Origin',(20.542525252416,-58.1997741285736,-0.412927900885272)); #47550=CARTESIAN_POINT('',(20.542525252416,-58.1199986615084,-0.404543161426415)); #47551=CARTESIAN_POINT('',(20.475775252416,-58.159886395041,-0.408735531155844)); #47552=CARTESIAN_POINT('Origin',(20.542525252416,-58.6139924979945,-0.456464005836249)); #47553=CARTESIAN_POINT('',(20.409025252416,-59.0282108398781,-0.500000107892942)); #47554=CARTESIAN_POINT('Origin',(20.542525252416,-59.0282108398553,-0.500000107890539)); #47555=CARTESIAN_POINT('',(20.409025252416,-58.6139924979945,-0.456464005836249)); #47556=CARTESIAN_POINT('Origin',(17.542525252416,-58.159886395041,-0.408735531155832)); #47557=CARTESIAN_POINT('',(17.409025252416,-58.1997741285736,-0.412927900885261)); #47558=CARTESIAN_POINT('Origin',(17.542525252416,-58.1997741285736,-0.412927900885261)); #47559=CARTESIAN_POINT('',(17.542525252416,-58.1199986615083,-0.404543161426404)); #47560=CARTESIAN_POINT('',(17.475775252416,-58.159886395041,-0.408735531155832)); #47561=CARTESIAN_POINT('Origin',(17.542525252416,-58.6139924979945,-0.456464005836238)); #47562=CARTESIAN_POINT('',(17.409025252416,-59.0282108403786,-0.500000107945538)); #47563=CARTESIAN_POINT('Origin',(17.542525252416,-59.0282108403558,-0.500000107943135)); #47564=CARTESIAN_POINT('',(17.409025252416,-58.6139924979945,-0.456464005836238)); #47565=CARTESIAN_POINT('Origin',(11.5425252524161,-58.1598863950409,-0.408735531155911)); #47566=CARTESIAN_POINT('',(11.4090252524161,-58.1997741285736,-0.41292790088534)); #47567=CARTESIAN_POINT('Origin',(11.5425252524161,-58.1997741285736,-0.412927900885339)); #47568=CARTESIAN_POINT('',(11.5425252524161,-58.1199986615083,-0.404543161426482)); #47569=CARTESIAN_POINT('',(11.4757752524161,-58.1598863950409,-0.408735531155911)); #47570=CARTESIAN_POINT('Origin',(11.542525252416,-58.6139924979944,-0.456464005836316)); #47571=CARTESIAN_POINT('',(11.409025252416,-59.0282108413796,-0.500000108050832)); #47572=CARTESIAN_POINT('Origin',(11.542525252416,-59.0282108413568,-0.500000108048428)); #47573=CARTESIAN_POINT('',(11.409025252416,-58.6139924979944,-0.456464005836316)); #47574=CARTESIAN_POINT('Origin',(5.54252525241605,-58.1598863950409,-0.408735531155989)); #47575=CARTESIAN_POINT('',(5.40902525241605,-58.1997741285735,-0.412927900885418)); #47576=CARTESIAN_POINT('Origin',(5.54252525241605,-58.1997741285735,-0.412927900885418)); #47577=CARTESIAN_POINT('',(5.54252525241605,-58.1199986615082,-0.40454316142656)); #47578=CARTESIAN_POINT('',(5.47577525241605,-58.1598863950409,-0.408735531155989)); #47579=CARTESIAN_POINT('Origin',(5.54252525241605,-58.6139924979944,-0.456464005836394)); #47580=CARTESIAN_POINT('',(5.40902525241605,-59.0282108423806,-0.500000108156123)); #47581=CARTESIAN_POINT('Origin',(5.54252525241605,-59.0282108423578,-0.500000108153721)); #47582=CARTESIAN_POINT('',(5.40902525241605,-58.6139924979944,-0.456464005836394)); #47583=CARTESIAN_POINT('Origin',(-0.457474747583948,-58.1598863950408,-0.408735531156067)); #47584=CARTESIAN_POINT('',(-0.59097474758395,-58.1997741285735,-0.412927900885496)); #47585=CARTESIAN_POINT('Origin',(-0.457474747583948,-58.1997741285735,-0.412927900885496)); #47586=CARTESIAN_POINT('',(-0.457474747583948,-58.1199986615082,-0.404543161426638)); #47587=CARTESIAN_POINT('',(-0.524224747583948,-58.1598863950408,-0.408735531156067)); #47588=CARTESIAN_POINT('Origin',(-0.457474747583951,-58.6139924979943,-0.456464005836473)); #47589=CARTESIAN_POINT('',(-0.590974747583954,-59.0282108433816,-0.500000108261417)); #47590=CARTESIAN_POINT('Origin',(-0.457474747583954,-59.0282108433588,-0.500000108259014)); #47591=CARTESIAN_POINT('',(-0.590974747583951,-58.6139924979943,-0.456464005836473)); #47592=CARTESIAN_POINT('Origin',(20.669417806096,-57.8307629966923,-0.500000061615787)); #47593=CARTESIAN_POINT('',(20.709525252416,-57.8307629966923,-0.633500061615787)); #47594=CARTESIAN_POINT('Origin',(20.709525252416,-57.8307629966923,-0.500000061615787)); #47595=CARTESIAN_POINT('',(20.6293103597759,-57.8307629966923,-0.500000061615787)); #47596=CARTESIAN_POINT('',(20.669417806096,-57.8307629966923,-0.566750061615787)); #47597=CARTESIAN_POINT('Origin',(21.126025252416,-57.8307629966923,-0.500000061615787)); #47598=CARTESIAN_POINT('',(21.542525252416,-57.8307629966923,-0.633500061615787)); #47599=CARTESIAN_POINT('Origin',(21.542525252416,-57.8307629966923,-0.500000061615787)); #47600=CARTESIAN_POINT('',(21.126025252416,-57.8307629966923,-0.633500061615787)); #47601=CARTESIAN_POINT('Origin',(20.669417806096,-55.8307629966923,-0.500000061615787)); #47602=CARTESIAN_POINT('',(20.7095252524161,-55.8307629966923,-0.633500061615787)); #47603=CARTESIAN_POINT('Origin',(20.7095252524161,-55.8307629966923,-0.500000061615787)); #47604=CARTESIAN_POINT('',(20.6293103597759,-55.8307629966923,-0.500000061615787)); #47605=CARTESIAN_POINT('',(20.669417806096,-55.8307629966923,-0.566750061615787)); #47606=CARTESIAN_POINT('Origin',(21.1260252524161,-55.8307629966923,-0.500000061615787)); #47607=CARTESIAN_POINT('',(21.5425252524161,-55.8307629966923,-0.633500061615787)); #47608=CARTESIAN_POINT('Origin',(21.5425252524161,-55.8307629966923,-0.500000061615787)); #47609=CARTESIAN_POINT('',(21.1260252524161,-55.8307629966923,-0.633500061615787)); #47610=CARTESIAN_POINT('Origin',(20.669417806096,-55.8307629966923,0.500000061615787)); #47611=CARTESIAN_POINT('',(20.7095252524161,-55.8307629966923,0.633500061615787)); #47612=CARTESIAN_POINT('Origin',(20.7095252524161,-55.8307629966923,0.500000061615787)); #47613=CARTESIAN_POINT('',(20.6293103597759,-55.8307629966923,0.500000061615787)); #47614=CARTESIAN_POINT('',(20.669417806096,-55.8307629966923,0.566750061615787)); #47615=CARTESIAN_POINT('Origin',(21.1260252524161,-55.8307629966923,0.500000061615787)); #47616=CARTESIAN_POINT('',(21.5425252524161,-55.8307629966923,0.633500061615787)); #47617=CARTESIAN_POINT('Origin',(21.5425252524161,-55.8307629966923,0.500000061615787)); #47618=CARTESIAN_POINT('',(21.1260252524161,-55.8307629966923,0.633500061615787)); #47619=CARTESIAN_POINT('Origin',(20.669417806096,-57.8307629966923,0.500000061615787)); #47620=CARTESIAN_POINT('',(20.709525252416,-57.8307629966923,0.633500061615787)); #47621=CARTESIAN_POINT('Origin',(20.709525252416,-57.8307629966923,0.500000061615787)); #47622=CARTESIAN_POINT('',(20.6293103597759,-57.8307629966923,0.500000061615787)); #47623=CARTESIAN_POINT('',(20.669417806096,-57.8307629966923,0.566750061615787)); #47624=CARTESIAN_POINT('Origin',(21.126025252416,-57.8307629966923,0.500000061615787)); #47625=CARTESIAN_POINT('',(21.542525252416,-57.8307629966923,0.633500061615787)); #47626=CARTESIAN_POINT('Origin',(21.542525252416,-57.8307629966923,0.500000061615787)); #47627=CARTESIAN_POINT('',(21.126025252416,-57.8307629966923,0.633500061615787)); #47628=CARTESIAN_POINT('Origin',(-14.021375175278,-44.6416475354807,0.5)); #47629=CARTESIAN_POINT('',(-14.0614826215981,-44.6416475354807,0.3665)); #47630=CARTESIAN_POINT('Origin',(-14.0614826215981,-44.6416475354807,0.5)); #47631=CARTESIAN_POINT('',(-13.9812677289579,-44.6416475354807,0.5)); #47632=CARTESIAN_POINT('',(-14.021375175278,-44.6416475354807,0.43325)); #47633=CARTESIAN_POINT('Origin',(-14.4779826215981,-44.6416475354807,0.5)); #47634=CARTESIAN_POINT('',(-14.8944826215981,-44.6416475354807,0.3665)); #47635=CARTESIAN_POINT('Origin',(-14.8944826215981,-44.6416475354807,0.5)); #47636=CARTESIAN_POINT('',(-14.4779826215981,-44.6416475354807,0.3665)); #47637=CARTESIAN_POINT('Origin',(-0.457474747583948,-58.1598863950408,0.408735531156067)); #47638=CARTESIAN_POINT('',(-0.59097474758395,-58.1997741285735,0.412927900885496)); #47639=CARTESIAN_POINT('Origin',(-0.457474747583948,-58.1997741285735,0.412927900885496)); #47640=CARTESIAN_POINT('',(-0.457474747583948,-58.1199986615082,0.404543161426638)); #47641=CARTESIAN_POINT('',(-0.524224747583948,-58.1598863950408,0.408735531156067)); #47642=CARTESIAN_POINT('Origin',(-0.457474747583951,-58.6139924979943,0.456464005836473)); #47643=CARTESIAN_POINT('',(-0.590974747583954,-59.0282108674152,0.50000011078745)); #47644=CARTESIAN_POINT('Origin',(-0.457474747583954,-59.0282108674152,0.50000011078745)); #47645=CARTESIAN_POINT('',(-0.590974747583951,-58.6139924979943,0.456464005836473)); #47646=CARTESIAN_POINT('Origin',(5.54252525241605,-58.1598863950409,0.408735531155989)); #47647=CARTESIAN_POINT('',(5.40902525241605,-58.1997741285735,0.412927900885418)); #47648=CARTESIAN_POINT('Origin',(5.54252525241605,-58.1997741285735,0.412927900885418)); #47649=CARTESIAN_POINT('',(5.54252525241605,-58.1199986615082,0.40454316142656)); #47650=CARTESIAN_POINT('',(5.47577525241605,-58.1598863950409,0.408735531155989)); #47651=CARTESIAN_POINT('Origin',(5.54252525241605,-58.6139924979944,0.456464005836394)); #47652=CARTESIAN_POINT('',(5.40902525241605,-59.0282108674153,0.500000110787372)); #47653=CARTESIAN_POINT('Origin',(5.54252525241605,-59.0282108674153,0.500000110787371)); #47654=CARTESIAN_POINT('',(5.40902525241605,-58.6139924979944,0.456464005836394)); #47655=CARTESIAN_POINT('Origin',(11.5425252524161,-58.1598863950409,0.408735531155911)); #47656=CARTESIAN_POINT('',(11.4090252524161,-58.1997741285736,0.41292790088534)); #47657=CARTESIAN_POINT('Origin',(11.5425252524161,-58.1997741285736,0.412927900885339)); #47658=CARTESIAN_POINT('',(11.5425252524161,-58.1199986615083,0.404543161426482)); #47659=CARTESIAN_POINT('',(11.4757752524161,-58.1598863950409,0.408735531155911)); #47660=CARTESIAN_POINT('Origin',(11.542525252416,-58.6139924979944,0.456464005836316)); #47661=CARTESIAN_POINT('',(11.409025252416,-59.0282108674153,0.500000110787294)); #47662=CARTESIAN_POINT('Origin',(11.542525252416,-59.0282108674153,0.500000110787293)); #47663=CARTESIAN_POINT('',(11.409025252416,-58.6139924979944,0.456464005836316)); #47664=CARTESIAN_POINT('Origin',(17.542525252416,-58.159886395041,0.408735531155832)); #47665=CARTESIAN_POINT('',(17.409025252416,-58.1997741285736,0.412927900885261)); #47666=CARTESIAN_POINT('Origin',(17.542525252416,-58.1997741285736,0.412927900885261)); #47667=CARTESIAN_POINT('',(17.542525252416,-58.1199986615083,0.404543161426404)); #47668=CARTESIAN_POINT('',(17.475775252416,-58.159886395041,0.408735531155832)); #47669=CARTESIAN_POINT('Origin',(17.542525252416,-58.6139924979945,0.456464005836238)); #47670=CARTESIAN_POINT('',(17.409025252416,-59.0282108674154,0.500000110787216)); #47671=CARTESIAN_POINT('Origin',(17.542525252416,-59.0282108674154,0.500000110787215)); #47672=CARTESIAN_POINT('',(17.409025252416,-58.6139924979945,0.456464005836238)); #47673=CARTESIAN_POINT('Origin',(20.542525252416,-58.159886395041,0.408735531155844)); #47674=CARTESIAN_POINT('',(20.409025252416,-58.1997741285736,0.412927900885272)); #47675=CARTESIAN_POINT('Origin',(20.542525252416,-58.1997741285736,0.412927900885272)); #47676=CARTESIAN_POINT('',(20.542525252416,-58.1199986615084,0.404543161426415)); #47677=CARTESIAN_POINT('',(20.475775252416,-58.159886395041,0.408735531155844)); #47678=CARTESIAN_POINT('Origin',(20.542525252416,-58.6139924979945,0.456464005836249)); #47679=CARTESIAN_POINT('',(20.409025252416,-59.0282108674154,0.500000110787226)); #47680=CARTESIAN_POINT('Origin',(20.542525252416,-59.0282108674154,0.500000110787226)); #47681=CARTESIAN_POINT('',(20.409025252416,-58.6139924979945,0.456464005836249)); #47682=CARTESIAN_POINT('Origin',(14.5425252524161,-58.1598863950409,0.408735531155872)); #47683=CARTESIAN_POINT('',(14.409025252416,-58.1997741285736,0.4129279008853)); #47684=CARTESIAN_POINT('Origin',(14.5425252524161,-58.1997741285736,0.4129279008853)); #47685=CARTESIAN_POINT('',(14.5425252524161,-58.1199986615083,0.404543161426443)); #47686=CARTESIAN_POINT('',(14.475775252416,-58.1598863950409,0.408735531155872)); #47687=CARTESIAN_POINT('Origin',(14.542525252416,-58.6139924979945,0.456464005836277)); #47688=CARTESIAN_POINT('',(14.409025252416,-59.0282108674153,0.500000110787253)); #47689=CARTESIAN_POINT('Origin',(14.542525252416,-59.0282108674153,0.500000110787253)); #47690=CARTESIAN_POINT('',(14.409025252416,-58.6139924979945,0.456464005836277)); #47691=CARTESIAN_POINT('Origin',(8.54252525241605,-58.1598863950409,0.40873553115595)); #47692=CARTESIAN_POINT('',(8.40902525241605,-58.1997741285735,0.412927900885379)); #47693=CARTESIAN_POINT('Origin',(8.54252525241605,-58.1997741285735,0.412927900885378)); #47694=CARTESIAN_POINT('',(8.54252525241605,-58.1199986615083,0.404543161426521)); #47695=CARTESIAN_POINT('',(8.47577525241605,-58.1598863950409,0.40873553115595)); #47696=CARTESIAN_POINT('Origin',(8.54252525241605,-58.6139924979944,0.456464005836355)); #47697=CARTESIAN_POINT('',(8.40902525241605,-59.0282108674153,0.500000110787333)); #47698=CARTESIAN_POINT('Origin',(8.54252525241605,-59.0282108674153,0.500000110787332)); #47699=CARTESIAN_POINT('',(8.40902525241605,-58.6139924979944,0.456464005836355)); #47700=CARTESIAN_POINT('Origin',(2.54252525241605,-58.1598863950409,0.408735531156028)); #47701=CARTESIAN_POINT('',(2.40902525241605,-58.1997741285735,0.412927900885457)); #47702=CARTESIAN_POINT('Origin',(2.54252525241605,-58.1997741285735,0.412927900885457)); #47703=CARTESIAN_POINT('',(2.54252525241605,-58.1199986615082,0.404543161426599)); #47704=CARTESIAN_POINT('',(2.47577525241605,-58.1598863950409,0.408735531156028)); #47705=CARTESIAN_POINT('Origin',(2.54252525241605,-58.6139924979944,0.456464005836433)); #47706=CARTESIAN_POINT('',(2.40902525241605,-59.0282108674152,0.50000011078741)); #47707=CARTESIAN_POINT('Origin',(2.54252525241605,-59.0282108674152,0.50000011078741)); #47708=CARTESIAN_POINT('',(2.40902525241605,-58.6139924979944,0.456464005836433)); #47709=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.1598863950408,0.408735531156106)); #47710=CARTESIAN_POINT('',(-3.59097474758395,-58.1997741285734,0.412927900885535)); #47711=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.1997741285734,0.412927900885535)); #47712=CARTESIAN_POINT('',(-3.45747474758395,-58.1199986615082,0.404543161426677)); #47713=CARTESIAN_POINT('',(-3.52422474758395,-58.1598863950408,0.408735531156106)); #47714=CARTESIAN_POINT('Origin',(-3.45747474758395,-58.6139924979943,0.456464005836512)); #47715=CARTESIAN_POINT('',(-3.59097474758395,-59.0282108674152,0.500000110787489)); #47716=CARTESIAN_POINT('Origin',(-3.45747474758395,-59.0282108674152,0.500000110787489)); #47717=CARTESIAN_POINT('',(-3.59097474758395,-58.6139924979943,0.456464005836512)); #47718=CARTESIAN_POINT('Origin',(-11.9320609249931,-49.1306640024687,0.442591304792443)); #47719=CARTESIAN_POINT('',(-11.9700780333396,-49.1612581175109,0.312017353147918)); #47720=CARTESIAN_POINT('Origin',(-11.963239492667,-49.1557548294083,0.445228456970115)); #47721=CARTESIAN_POINT('',(-11.9008823573192,-49.105573175529,0.43995415261477)); #47722=CARTESIAN_POINT('',(-11.9354801953294,-49.13341564652,0.375985752881345)); #47723=CARTESIAN_POINT('Origin',(-12.2870166120713,-49.4163131640614,0.472614241475934)); #47724=CARTESIAN_POINT('',(-12.6176322721483,-49.6823747868172,0.366788922159556)); #47725=CARTESIAN_POINT('Origin',(-12.6107937314757,-49.6768714987146,0.500000025981752)); #47726=CARTESIAN_POINT('',(-12.293855152744,-49.4218164521641,0.339403137653737)); #47727=CARTESIAN_POINT('Origin',(-8.48385262803142,-53.4155046693739,0.442591343989881)); #47728=CARTESIAN_POINT('',(-8.52186973637797,-53.4460987844161,0.312017392345352)); #47729=CARTESIAN_POINT('Origin',(-8.51503119570532,-53.4405954963135,0.445228496167554)); #47730=CARTESIAN_POINT('',(-8.45267406035751,-53.3904138424342,0.439954191812209)); #47731=CARTESIAN_POINT('',(-8.48727189836774,-53.4182563134252,0.375985792078783)); #47732=CARTESIAN_POINT('Origin',(-8.83880831510967,-53.7011538309667,0.472614280673373)); #47733=CARTESIAN_POINT('',(-9.16942397518666,-53.9672154537224,0.366788961356995)); #47734=CARTESIAN_POINT('Origin',(-9.16258543451401,-53.9617121656198,0.500000065179191)); #47735=CARTESIAN_POINT('',(-8.84564685578231,-53.7066571190693,0.339403176851176)); #47736=CARTESIAN_POINT('Origin',(-5.03564433106975,-57.7003453362791,0.44259138318732)); #47737=CARTESIAN_POINT('',(-5.07366143941631,-57.7309394513213,0.31201743154279)); #47738=CARTESIAN_POINT('Origin',(-5.06682289874366,-57.7254361632187,0.445228535364993)); #47739=CARTESIAN_POINT('',(-5.00446576339584,-57.6752545093395,0.439954231009648)); #47740=CARTESIAN_POINT('',(-5.03906360140608,-57.7030969803304,0.375985831276222)); #47741=CARTESIAN_POINT('Origin',(-5.390600018148,-57.9859944978719,0.472614319870811)); #47742=CARTESIAN_POINT('',(-5.72121567822499,-58.2520561206277,0.366789000554433)); #47743=CARTESIAN_POINT('Origin',(-5.71437713755234,-58.246552832525,0.500000104376629)); #47744=CARTESIAN_POINT('',(-5.39743855882065,-57.9914977859745,0.339403216048615)); #47745=CARTESIAN_POINT('Origin',(-6.75974847955059,-55.5579250028265,0.442591363588601)); #47746=CARTESIAN_POINT('',(-6.79776558789714,-55.5885191178687,0.312017411944071)); #47747=CARTESIAN_POINT('Origin',(-6.79092704722449,-55.5830158297661,0.445228515766273)); #47748=CARTESIAN_POINT('',(-6.72856991187668,-55.5328341758869,0.439954211410928)); #47749=CARTESIAN_POINT('',(-6.76316774988691,-55.5606766468778,0.375985811677503)); #47750=CARTESIAN_POINT('Origin',(-7.11470416662884,-55.8435741644193,0.472614300272092)); #47751=CARTESIAN_POINT('',(-7.44531982670583,-56.109635787175,0.366788980955714)); #47752=CARTESIAN_POINT('Origin',(-7.43848128603318,-56.1041324990724,0.50000008477791)); #47753=CARTESIAN_POINT('',(-7.12154270730148,-55.8490774525219,0.339403196449895)); #47754=CARTESIAN_POINT('Origin',(-10.2079567765122,-51.2730843359213,0.442591324391162)); #47755=CARTESIAN_POINT('',(-10.2459738848588,-51.3036784509635,0.312017372746632)); #47756=CARTESIAN_POINT('Origin',(-10.2391353441862,-51.2981751628609,0.445228476568835)); #47757=CARTESIAN_POINT('',(-10.1767782088383,-51.2479935089816,0.439954172213489)); #47758=CARTESIAN_POINT('',(-10.2113760468486,-51.2758359799726,0.375985772480064)); #47759=CARTESIAN_POINT('Origin',(-10.5629124635905,-51.558733497514,0.472614261074653)); #47760=CARTESIAN_POINT('',(-10.8935281236675,-51.8247951202698,0.366788941758275)); #47761=CARTESIAN_POINT('Origin',(-10.8866895829948,-51.8192918321672,0.500000045580471)); #47762=CARTESIAN_POINT('',(-10.5697510042631,-51.5642367856167,0.339403157252457)); #47763=CARTESIAN_POINT('Origin',(-13.6561650734739,-46.9882436690161,0.442591285193723)); #47764=CARTESIAN_POINT('',(-13.6941821818205,-47.0188377840583,0.312017333549198)); #47765=CARTESIAN_POINT('Origin',(-13.6873436411478,-47.0133344959557,0.445228437371396)); #47766=CARTESIAN_POINT('',(-13.6249865058,-46.9631528420764,0.439954133016051)); #47767=CARTESIAN_POINT('',(-13.6595843438102,-46.9909953130674,0.375985733282625)); #47768=CARTESIAN_POINT('Origin',(-14.0111207605522,-47.2738928306088,0.472614221877214)); #47769=CARTESIAN_POINT('',(-14.3417364206292,-47.5399544533646,0.366788902560837)); #47770=CARTESIAN_POINT('Origin',(-14.3348978799565,-47.534451165262,0.500000006383033)); #47771=CARTESIAN_POINT('',(-14.0179593012248,-47.2793961187115,0.339403118055018)); #47772=CARTESIAN_POINT('Origin',(-31.8052295853301,-25.5951305924918,-2.69015662744893)); #47773=CARTESIAN_POINT('',(-5.085340977151,-59.0807629966921,2.24022717816377E-7)); #47774=CARTESIAN_POINT('',(-14.8944826215981,-46.8916475354807,4.39298144676036E-11)); #47775=CARTESIAN_POINT('',(-23.4189071997483,-36.2989576560067,4.55486916775309E-11)); #47776=CARTESIAN_POINT('',(-14.8944826215981,-46.7865433002195,-1.)); #47777=CARTESIAN_POINT('',(-14.8944826215981,-46.3722968403727,-4.94129179285367)); #47778=CARTESIAN_POINT('',(-5.08534099609581,-58.9756587378893,-1.)); #47779=CARTESIAN_POINT('',(-24.1417123797579,-35.2956753625171,-1.)); #47780=CARTESIAN_POINT('',(-5.08534099605999,-58.4352347625276,-6.14179066181529)); #47781=CARTESIAN_POINT('Origin',(-14.8944826215981,1.28190830299342,0.)); #47782=CARTESIAN_POINT('',(-14.8944826215981,-46.7865433002196,1.)); #47783=CARTESIAN_POINT('',(-14.8944826215981,-46.3722968403729,4.94129179285377)); #47784=CARTESIAN_POINT('',(-14.8944826215981,-43.8916475354807,1.)); #47785=CARTESIAN_POINT('',(-14.8944826215981,1.28190830299342,1.)); #47786=CARTESIAN_POINT('',(-14.8944826215981,-43.8916475354807,-1.)); #47787=CARTESIAN_POINT('',(-14.8944826215981,-43.8916475354807,0.5)); #47788=CARTESIAN_POINT('',(-14.8944826215981,1.28190830299342,-1.)); #47789=CARTESIAN_POINT('Origin',(-31.8052295853302,-25.5951305924918,2.69015662744898)); #47790=CARTESIAN_POINT('',(-5.08534097715444,-58.9756587614266,1.)); #47791=CARTESIAN_POINT('',(-5.08534097715443,-58.4352347857147,6.14179066472269)); #47792=CARTESIAN_POINT('',(-24.141712379758,-35.2956753625172,1.)); #47793=CARTESIAN_POINT('Origin',(1.19913770671985,-30.7704575924595,1.)); #47794=CARTESIAN_POINT('',(21.542525252416,-58.9756587614268,1.)); #47795=CARTESIAN_POINT('',(0.59956885335962,-58.9756587614266,1.)); #47796=CARTESIAN_POINT('',(21.542525252416,-55.0807629966923,1.)); #47797=CARTESIAN_POINT('',(21.542525252416,-56.0807629966923,1.)); #47798=CARTESIAN_POINT('',(17.3876325838994,-55.0807629966923,1.)); #47799=CARTESIAN_POINT('',(21.542525252416,-55.0807629966923,1.)); #47800=CARTESIAN_POINT('',(17.2163863313156,-55.0505232770226,1.)); #47801=CARTESIAN_POINT('Origin',(17.3876325838994,-54.5807629966923,1.)); #47802=CARTESIAN_POINT('',(-13.3944826215981,-43.8916475354807,1.)); #47803=CARTESIAN_POINT('',(20.0425252524161,-56.0807629966923,1.)); #47804=CARTESIAN_POINT('',(1.6523275425609,-43.8916475354807,1.)); #47805=CARTESIAN_POINT('Origin',(-4.11533043492993E-13,-58.4352347857148, 6.14179066472268)); #47806=CARTESIAN_POINT('',(21.542525252416,-59.0807629966924,2.66759347670868E-7)); #47807=CARTESIAN_POINT('',(-2.54267048857581,-59.0807629966921,2.28103695512124E-7)); #47808=CARTESIAN_POINT('',(21.542525252416,-58.7579988912037,3.07089533236134)); #47809=CARTESIAN_POINT('Origin',(21.542525252416,-59.0807629966924,0.)); #47810=CARTESIAN_POINT('',(21.542525252416,-58.9756587333978,-1.)); #47811=CARTESIAN_POINT('',(21.542525252416,-58.757998863525,-3.07089532919933)); #47812=CARTESIAN_POINT('',(21.542525252416,-55.0807629966923,-1.)); #47813=CARTESIAN_POINT('',(21.542525252416,-59.0807629966924,-1.)); #47814=CARTESIAN_POINT('',(21.542525252416,-55.0807629966923,1.)); #47815=CARTESIAN_POINT('Origin',(9.85670755870407E-9,-58.4352347616828, -6.14179066169109)); #47816=CARTESIAN_POINT('',(0.599568860712651,-58.9756587369304,-1.)); #47817=CARTESIAN_POINT('Origin',(17.3876325838994,-54.5807629966923,1.)); #47818=CARTESIAN_POINT('',(17.3876325838994,-55.0807629966923,-1.)); #47819=CARTESIAN_POINT('',(17.3876325838994,-55.0807629966923,1.)); #47820=CARTESIAN_POINT('',(17.2163863313156,-55.0505232770226,-1.)); #47821=CARTESIAN_POINT('Origin',(17.3876325838994,-54.5807629966923,-1.)); #47822=CARTESIAN_POINT('',(17.2163863313156,-55.0505232770226,1.)); #47823=CARTESIAN_POINT('Origin',(17.2993394994861,-55.0807629966923,1.)); #47824=CARTESIAN_POINT('',(18.3601359377186,-55.0807629966923,-1.)); #47825=CARTESIAN_POINT('Origin',(-13.3944826215981,-43.8916475354807,1.)); #47826=CARTESIAN_POINT('',(-13.3944826215981,-43.8916475354807,-1.)); #47827=CARTESIAN_POINT('',(-4.91456362166571,-46.9829143193195,-1.)); #47828=CARTESIAN_POINT('',(-13.3944826215981,-43.8916475354807,0.5)); #47829=CARTESIAN_POINT('Origin',(2.10551737840195,-43.8916475354807,1.)); #47830=CARTESIAN_POINT('',(1.6523275425609,-43.8916475354807,-1.)); #47831=CARTESIAN_POINT('Origin',(1.19913770671985,-30.7704575924595,-1.)); #47832=CARTESIAN_POINT('',(0.,0.,0.)); #47833=CARTESIAN_POINT('Origin',(-1.29350057978781,-17.1870143056702,0.234997045446363)); #47834=CARTESIAN_POINT('',(-1.39150057978781,-17.2162493652941,0.23848311524927)); #47835=CARTESIAN_POINT('Origin',(-1.29350057978781,-17.2162493652941,0.23848311524927)); #47836=CARTESIAN_POINT('',(-1.29350057978781,-17.1577792460464,0.231510975643457)); #47837=CARTESIAN_POINT('',(-1.34250057978781,-17.1870143056702,0.234997045446363)); #47838=CARTESIAN_POINT('Origin',(-1.29350057978781,-17.588611430834,0.282884603364207)); #47839=CARTESIAN_POINT('',(-1.39150057978781,-17.960973496374,0.327286091479146)); #47840=CARTESIAN_POINT('Origin',(-1.29350057978781,-17.960973496374,0.327286091479146)); #47841=CARTESIAN_POINT('',(-1.39150057978781,-17.588611430834,0.282884603364207)); #47842=CARTESIAN_POINT('Origin',(1.52301318346318,-17.1875434544977,0.230559470068815)); #47843=CARTESIAN_POINT('',(1.42501318346318,-17.2167785141215,0.234045539871721)); #47844=CARTESIAN_POINT('Origin',(1.52301318346318,-17.2167785141215,0.234045539871721)); #47845=CARTESIAN_POINT('',(1.52301318346318,-17.1583083948739,0.227073400265909)); #47846=CARTESIAN_POINT('',(1.47401318346318,-17.1875434544977,0.230559470068815)); #47847=CARTESIAN_POINT('Origin',(1.52301318346318,-17.5891405796615,0.278447027986659)); #47848=CARTESIAN_POINT('',(1.42501318346318,-17.9615026452014,0.322848516101597)); #47849=CARTESIAN_POINT('Origin',(1.52301318346318,-17.9615026452014,0.322848516101597)); #47850=CARTESIAN_POINT('',(1.42501318346318,-17.5891405796615,0.278447027986659)); #47851=CARTESIAN_POINT('Origin',(-0.544109652202796,-17.090131880788,0.25387842496399)); #47852=CARTESIAN_POINT('',(-0.677609652202796,-17.1299571916021,0.258627305766929)); #47853=CARTESIAN_POINT('Origin',(-0.544109652202796,-17.1299571916021,0.258627305766929)); #47854=CARTESIAN_POINT('',(-0.544109652202796,-17.050306569974,0.249129544161052)); #47855=CARTESIAN_POINT('',(-0.610859652202796,-17.090131880788,0.25387842496399)); #47856=CARTESIAN_POINT('Origin',(-0.544109652202796,-17.5436762705547,0.307960319161833)); #47857=CARTESIAN_POINT('',(-0.677609652202795,-17.9573953495073,0.357293332556736)); #47858=CARTESIAN_POINT('Origin',(-0.544109652202796,-17.9573953495073,0.357293332556736)); #47859=CARTESIAN_POINT('',(-0.677609652202796,-17.5436762705547,0.307960319161833)); #47860=CARTESIAN_POINT('Origin',(-2.04284283917723,-17.0985634014039,0.183169568900084)); #47861=CARTESIAN_POINT('',(-2.17634283917723,-17.1383887122179,0.187918449703023)); #47862=CARTESIAN_POINT('Origin',(-2.04284283917723,-17.1383887122179,0.187918449703023)); #47863=CARTESIAN_POINT('',(-2.04284283917723,-17.0587380905898,0.178420688097145)); #47864=CARTESIAN_POINT('',(-2.10959283917723,-17.0985634014039,0.183169568900084)); #47865=CARTESIAN_POINT('Origin',(-2.04284283917723,-17.5521077911705,0.237251463097926)); #47866=CARTESIAN_POINT('',(-2.17634283917723,-17.9658268701231,0.28658447649283)); #47867=CARTESIAN_POINT('Origin',(-2.04284283917723,-17.9658268701231,0.28658447649283)); #47868=CARTESIAN_POINT('',(-2.17634283917723,-17.5521077911705,0.237251463097926)); #47869=CARTESIAN_POINT('Origin',(2.27176015688997,-17.0993702774213,0.176402903339283)); #47870=CARTESIAN_POINT('',(2.13826015688997,-17.1391955882353,0.181151784142222)); #47871=CARTESIAN_POINT('Origin',(2.27176015688997,-17.1391955882353,0.181151784142222)); #47872=CARTESIAN_POINT('',(2.27176015688997,-17.0595449666072,0.171654022536344)); #47873=CARTESIAN_POINT('',(2.20501015688997,-17.0993702774213,0.176402903339283)); #47874=CARTESIAN_POINT('Origin',(2.27176015688997,-17.5529146671879,0.230484797537125)); #47875=CARTESIAN_POINT('',(2.13826015688997,-17.9666337461405,0.279817810932029)); #47876=CARTESIAN_POINT('Origin',(2.27176015688997,-17.9666337461405,0.279817810932029)); #47877=CARTESIAN_POINT('',(2.13826015688997,-17.5529146671879,0.230484797537125)); #47878=CARTESIAN_POINT('Origin',(3.12451028928129,4.1176623627745,28.8078667183528)); #47879=CARTESIAN_POINT('',(3.29051028928129,4.1176623627745,-0.250000000000002)); #47880=CARTESIAN_POINT('Origin',(3.12451028928129,4.1176623627745,-0.250000000000002)); #47881=CARTESIAN_POINT('',(3.29051028928129,4.1176623627745,1.)); #47882=CARTESIAN_POINT('',(3.29051028928129,4.1176623627745,28.8078667183528)); #47883=CARTESIAN_POINT('Origin',(3.12451028928129,4.1176623627745,1.)); #47884=CARTESIAN_POINT('Origin',(3.04984751939662,-1.8818730751401,28.8078667183528)); #47885=CARTESIAN_POINT('',(3.21584751939662,-1.8818730751401,-0.250000000000002)); #47886=CARTESIAN_POINT('Origin',(3.04984751939662,-1.8818730751401,-0.250000000000002)); #47887=CARTESIAN_POINT('',(3.21584751939662,-1.8818730751401,1.)); #47888=CARTESIAN_POINT('',(3.21584751939662,-1.8818730751401,28.8078667183528)); #47889=CARTESIAN_POINT('Origin',(3.04984751939662,-1.8818730751401,1.)); #47890=CARTESIAN_POINT('Origin',(2.97518474951195,-7.8814085130547,28.8078667183528)); #47891=CARTESIAN_POINT('',(3.14118474951196,-7.8814085130547,-0.250000000000002)); #47892=CARTESIAN_POINT('Origin',(2.97518474951196,-7.8814085130547,-0.250000000000002)); #47893=CARTESIAN_POINT('',(3.14118474951196,-7.8814085130547,1.)); #47894=CARTESIAN_POINT('',(3.14118474951195,-7.8814085130547,28.8078667183528)); #47895=CARTESIAN_POINT('Origin',(2.97518474951196,-7.8814085130547,1.)); #47896=CARTESIAN_POINT('Origin',(2.90052197962729,-13.8809439509693,28.8078667183528)); #47897=CARTESIAN_POINT('',(3.06652197962729,-13.8809439509693,-0.250000000000002)); #47898=CARTESIAN_POINT('Origin',(2.90052197962729,-13.8809439509693,-0.250000000000002)); #47899=CARTESIAN_POINT('',(3.06652197962729,-13.8809439509693,1.)); #47900=CARTESIAN_POINT('',(3.06652197962729,-13.8809439509693,28.8078667183528)); #47901=CARTESIAN_POINT('Origin',(2.90052197962729,-13.8809439509693,1.)); #47902=CARTESIAN_POINT('Origin',(2.86319059468495,-16.8807116699266,28.8078667183528)); #47903=CARTESIAN_POINT('',(3.02919059468496,-16.8807116699266,-0.250000000000002)); #47904=CARTESIAN_POINT('Origin',(2.86319059468496,-16.8807116699266,-0.250000000000002)); #47905=CARTESIAN_POINT('',(3.02919059468496,-16.8807116699266,1.)); #47906=CARTESIAN_POINT('',(3.02919059468495,-16.8807116699266,28.8078667183528)); #47907=CARTESIAN_POINT('Origin',(2.86319059468496,-16.8807116699266,1.)); #47908=CARTESIAN_POINT('Origin',(2.93785336456962,-10.881176232012,28.8078667183528)); #47909=CARTESIAN_POINT('',(3.10385336456962,-10.881176232012,-0.250000000000002)); #47910=CARTESIAN_POINT('Origin',(2.93785336456962,-10.881176232012,-0.250000000000002)); #47911=CARTESIAN_POINT('',(3.10385336456962,-10.881176232012,1.)); #47912=CARTESIAN_POINT('',(3.10385336456962,-10.881176232012,28.8078667183528)); #47913=CARTESIAN_POINT('Origin',(2.93785336456962,-10.881176232012,1.)); #47914=CARTESIAN_POINT('Origin',(3.01251613445429,-4.8816407940974,28.8078667183528)); #47915=CARTESIAN_POINT('',(3.17851613445429,-4.8816407940974,-0.250000000000002)); #47916=CARTESIAN_POINT('Origin',(3.01251613445429,-4.8816407940974,-0.250000000000002)); #47917=CARTESIAN_POINT('',(3.17851613445429,-4.8816407940974,1.)); #47918=CARTESIAN_POINT('',(3.17851613445429,-4.8816407940974,28.8078667183528)); #47919=CARTESIAN_POINT('Origin',(3.01251613445429,-4.8816407940974,1.)); #47920=CARTESIAN_POINT('Origin',(3.08717890433895,1.1178946438172,28.8078667183528)); #47921=CARTESIAN_POINT('',(3.25317890433896,1.1178946438172,-0.250000000000002)); #47922=CARTESIAN_POINT('Origin',(3.08717890433896,1.1178946438172,-0.250000000000002)); #47923=CARTESIAN_POINT('',(3.25317890433896,1.1178946438172,1.)); #47924=CARTESIAN_POINT('',(3.25317890433895,1.1178946438172,28.8078667183528)); #47925=CARTESIAN_POINT('Origin',(3.08717890433896,1.1178946438172,1.)); #47926=CARTESIAN_POINT('Origin',(3.16184167422362,7.1174300817318,28.8078667183528)); #47927=CARTESIAN_POINT('',(3.32784167422363,7.1174300817318,-0.250000000000002)); #47928=CARTESIAN_POINT('Origin',(3.16184167422363,7.1174300817318,-0.250000000000002)); #47929=CARTESIAN_POINT('',(3.32784167422363,7.1174300817318,1.)); #47930=CARTESIAN_POINT('',(3.32784167422362,7.1174300817318,28.8078667183528)); #47931=CARTESIAN_POINT('Origin',(3.16184167422363,7.1174300817318,1.)); #47932=CARTESIAN_POINT('Origin',(-3.10022953139539,4.04248881544396,28.8078667183528)); #47933=CARTESIAN_POINT('',(-2.93422953139538,4.04248881544396,-0.250000000000002)); #47934=CARTESIAN_POINT('Origin',(-3.10022953139538,4.04248881544396,-0.250000000000002)); #47935=CARTESIAN_POINT('',(-2.93422953139538,4.04248881544396,0.369999999999985)); #47936=CARTESIAN_POINT('',(-2.93422953139539,4.04248881544396,28.8078667183528)); #47937=CARTESIAN_POINT('Origin',(-3.10022953139538,4.04248881544396,0.369999999999985)); #47938=CARTESIAN_POINT('Origin',(-3.01312296652993,-2.95696919545641,28.8078667183528)); #47939=CARTESIAN_POINT('',(-2.84712296652993,-2.95696919545641,-0.250000000000002)); #47940=CARTESIAN_POINT('Origin',(-3.01312296652993,-2.95696919545641,-0.250000000000002)); #47941=CARTESIAN_POINT('',(-2.84712296652993,-2.95696919545641,0.369999999999985)); #47942=CARTESIAN_POINT('',(-2.84712296652993,-2.95696919545641,28.8078667183528)); #47943=CARTESIAN_POINT('Origin',(-3.01312296652993,-2.95696919545641,0.369999999999985)); #47944=CARTESIAN_POINT('Origin',(-2.92601640166448,-9.95642720635678,28.8078667183528)); #47945=CARTESIAN_POINT('',(-2.76001640166447,-9.95642720635678,-0.250000000000002)); #47946=CARTESIAN_POINT('Origin',(-2.92601640166447,-9.95642720635678,-0.250000000000002)); #47947=CARTESIAN_POINT('',(-2.76001640166447,-9.95642720635678,0.369999999999985)); #47948=CARTESIAN_POINT('',(-2.76001640166448,-9.95642720635678,28.8078667183528)); #47949=CARTESIAN_POINT('Origin',(-2.92601640166447,-9.95642720635678,0.369999999999985)); #47950=CARTESIAN_POINT('Origin',(-2.83890983679902,-16.9558852172571,28.8078667183528)); #47951=CARTESIAN_POINT('',(-2.67290983679902,-16.9558852172571,-0.250000000000002)); #47952=CARTESIAN_POINT('Origin',(-2.83890983679902,-16.9558852172571,-0.250000000000002)); #47953=CARTESIAN_POINT('',(-2.67290983679902,-16.9558852172571,0.369999999999985)); #47954=CARTESIAN_POINT('',(-2.67290983679902,-16.9558852172571,28.8078667183528)); #47955=CARTESIAN_POINT('Origin',(-2.83890983679902,-16.9558852172571,0.369999999999985)); #47956=CARTESIAN_POINT('Origin',(-2.88246311923175,-13.456156211807,28.8078667183528)); #47957=CARTESIAN_POINT('',(-2.71646311923175,-13.456156211807,-0.250000000000002)); #47958=CARTESIAN_POINT('Origin',(-2.88246311923175,-13.456156211807,-0.250000000000002)); #47959=CARTESIAN_POINT('',(-2.71646311923175,-13.456156211807,0.369999999999985)); #47960=CARTESIAN_POINT('',(-2.71646311923175,-13.456156211807,28.8078667183528)); #47961=CARTESIAN_POINT('Origin',(-2.88246311923175,-13.456156211807,0.369999999999985)); #47962=CARTESIAN_POINT('Origin',(-2.9695696840972,-6.45669820090659,28.8078667183528)); #47963=CARTESIAN_POINT('',(-2.8035696840972,-6.45669820090659,-0.250000000000002)); #47964=CARTESIAN_POINT('Origin',(-2.9695696840972,-6.45669820090659,-0.250000000000002)); #47965=CARTESIAN_POINT('',(-2.8035696840972,-6.45669820090659,0.369999999999985)); #47966=CARTESIAN_POINT('',(-2.8035696840972,-6.45669820090659,28.8078667183528)); #47967=CARTESIAN_POINT('Origin',(-2.9695696840972,-6.45669820090659,0.369999999999985)); #47968=CARTESIAN_POINT('Origin',(-3.05667624896266,0.542759809993778,28.8078667183528)); #47969=CARTESIAN_POINT('',(-2.89067624896266,0.542759809993778,-0.250000000000002)); #47970=CARTESIAN_POINT('Origin',(-3.05667624896266,0.542759809993778,-0.250000000000002)); #47971=CARTESIAN_POINT('',(-2.89067624896266,0.542759809993778,0.369999999999985)); #47972=CARTESIAN_POINT('',(-2.89067624896266,0.542759809993778,28.8078667183528)); #47973=CARTESIAN_POINT('Origin',(-3.05667624896266,0.542759809993778,0.369999999999985)); #47974=CARTESIAN_POINT('Origin',(-3.14378281382812,7.54221782089415,28.8078667183528)); #47975=CARTESIAN_POINT('',(-2.97778281382811,7.54221782089415,-0.250000000000002)); #47976=CARTESIAN_POINT('Origin',(-3.14378281382811,7.54221782089415,-0.250000000000002)); #47977=CARTESIAN_POINT('',(-2.97778281382811,7.54221782089415,0.369999999999985)); #47978=CARTESIAN_POINT('',(-2.97778281382812,7.54221782089415,28.8078667183528)); #47979=CARTESIAN_POINT('Origin',(-3.14378281382811,7.54221782089415,0.369999999999985)); #47980=CARTESIAN_POINT('Origin',(3.39523140139584,-4.04452052658276,-0.25)); #47981=CARTESIAN_POINT('',(3.54933231893837,8.33827637794485,-0.250000000000011)); #47982=CARTESIAN_POINT('',(3.22118784211809,-18.0298106951701,-0.25)); #47983=CARTESIAN_POINT('',(3.42013117263785,-2.04369655242328,-0.25)); #47984=CARTESIAN_POINT('',(-3.2992436455457,-18.0298106951701,-0.25)); #47985=CARTESIAN_POINT('',(1.95227810757903,-18.0298106951701,-0.25)); #47986=CARTESIAN_POINT('',(-3.63915126769119,9.28350526978749,-0.250000000000005)); #47987=CARTESIAN_POINT('',(-3.47222362822407,-4.12998434621945,-0.25)); #47988=CARTESIAN_POINT('Origin',(-250.730634762592,-65.9852263702314,-0.250000000000036)); #47989=CARTESIAN_POINT('Origin',(8.27452777702884E-15,-17.7476490052069, 2.11627901792331)); #47990=CARTESIAN_POINT('',(3.35349887710321,-17.8807572193194,1.)); #47991=CARTESIAN_POINT('',(3.43372369959128,-17.7903808675458,1.75791885477559)); #47992=CARTESIAN_POINT('',(-2.35342144409382,-17.8807572193194,1.)); #47993=CARTESIAN_POINT('',(8.33658713005648E-15,-17.8807572193194,1.)); #47994=CARTESIAN_POINT('',(-2.3524865570974,-17.9558801711482,0.369999999999988)); #47995=CARTESIAN_POINT('',(-2.35504552938353,-17.7502536595815,2.09443573013886)); #47996=CARTESIAN_POINT('',(-3.30016369306598,-17.9558801711482,0.369999999999988)); #47997=CARTESIAN_POINT('',(-1.26234661909819,-17.9558801711482,0.369999999999988)); #47998=CARTESIAN_POINT('',(-3.30099167899132,-17.8893472671478,0.927961694793526)); #47999=CARTESIAN_POINT('Origin',(3.43372369959127,-0.0427318623388696,-0.358360163147725)); #48000=CARTESIAN_POINT('',(3.69115171844502,9.25137557553641,0.999999999999996)); #48001=CARTESIAN_POINT('Origin',(8.41383590706701,-59.4631974340553,54.4453777742621)); #48002=CARTESIAN_POINT('',(3.57546684347766,-0.044495821539417,1.)); #48003=CARTESIAN_POINT('Origin',(-15.9163949871324,-60.0755295031354,49.3102067761912)); #48004=CARTESIAN_POINT('',(-3.64470949110802,9.73013694997561,0.369999999999978)); #48005=CARTESIAN_POINT('Origin',(-2.78010916407045,-59.7449214989187,52.0827687906782)); #48006=CARTESIAN_POINT('',(-2.69554758243329,9.61082786886965,0.369999999999982)); #48007=CARTESIAN_POINT('Origin',(-247.79310003199,-65.9112958462097,0.369999999999963)); #48008=CARTESIAN_POINT('',(-2.70112519177163,10.0590173070042,1.)); #48009=CARTESIAN_POINT('Origin',(-1.832728751402,-59.7210782641044,52.2827241647325)); #48010=CARTESIAN_POINT('Origin',(-244.80818570896,-65.836172894381,1.)); #48011=CARTESIAN_POINT('Origin',(-2.5246932381964,-4.11819255930504,0.369999999999988)); #48012=CARTESIAN_POINT('',(-3.47222362822407,-4.12998434621945,0.369999999999988)); #48013=CARTESIAN_POINT('',(-2.5246932381964,-4.11819255930504,0.369999999999988)); #48014=CARTESIAN_POINT('Origin',(-3.47222362822407,-4.12998434621945,-8.74191357972564E-17)); #48015=CARTESIAN_POINT('Origin',(0.,0.,1.)); #48016=CARTESIAN_POINT('',(-2.69728801943559,9.75068088381732,1.)); #48017=CARTESIAN_POINT('Origin',(-2.81903176837902,19.5334137941933,1.)); #48018=CARTESIAN_POINT('',(0.,0.,0.)); #48019=CARTESIAN_POINT('Origin',(-2.24905919335553,-17.1936907741377,0.179006498854576)); #48020=CARTESIAN_POINT('',(-2.34705919335553,-17.2229258337615,0.182492568657483)); #48021=CARTESIAN_POINT('Origin',(-2.24905919335553,-17.2229258337615,0.182492568657483)); #48022=CARTESIAN_POINT('',(-2.24905919335553,-17.1644557145138,0.17552042905167)); #48023=CARTESIAN_POINT('',(-2.29805919335553,-17.1936907741377,0.179006498854576)); #48024=CARTESIAN_POINT('Origin',(-2.24905919335553,-17.5952878993014,0.226894056772421)); #48025=CARTESIAN_POINT('',(-2.34705919335553,-17.9676499648414,0.271295544887359)); #48026=CARTESIAN_POINT('Origin',(-2.24905919335553,-17.9676499648414,0.271295544887359)); #48027=CARTESIAN_POINT('',(-2.34705919335553,-17.5952878993014,0.226894056772421)); #48028=CARTESIAN_POINT('Origin',(2.24905919335712,-17.1936907741377,0.179006498854453)); #48029=CARTESIAN_POINT('',(2.15105919335712,-17.2229258337615,0.182492568657359)); #48030=CARTESIAN_POINT('Origin',(2.24905919335712,-17.2229258337615,0.182492568657359)); #48031=CARTESIAN_POINT('',(2.24905919335712,-17.1644557145138,0.175520429051547)); #48032=CARTESIAN_POINT('',(2.20005919335712,-17.1936907741377,0.179006498854453)); #48033=CARTESIAN_POINT('Origin',(2.24905919335712,-17.5952878993014,0.226894056772297)); #48034=CARTESIAN_POINT('',(2.15105919335712,-17.9676499648414,0.271295544887236)); #48035=CARTESIAN_POINT('Origin',(2.24905919335712,-17.9676499648414,0.271295544887235)); #48036=CARTESIAN_POINT('',(2.15105919335712,-17.5952878993014,0.226894056772297)); #48037=CARTESIAN_POINT('Origin',(1.4999850240249,-17.094914423893,0.213770813604754)); #48038=CARTESIAN_POINT('',(1.3664850240249,-17.1347397347071,0.218519694407692)); #48039=CARTESIAN_POINT('Origin',(1.4999850240249,-17.1347397347071,0.218519694407692)); #48040=CARTESIAN_POINT('',(1.4999850240249,-17.0550891130789,0.209021932801815)); #48041=CARTESIAN_POINT('',(1.4332350240249,-17.094914423893,0.213770813604754)); #48042=CARTESIAN_POINT('Origin',(1.4999850240249,-17.5484588136596,0.267852707802596)); #48043=CARTESIAN_POINT('',(1.3664850240249,-17.9621778926122,0.3171857211975)); #48044=CARTESIAN_POINT('Origin',(1.4999850240249,-17.9621778926122,0.3171857211975)); #48045=CARTESIAN_POINT('',(1.3664850240249,-17.5484588136596,0.267852707802596)); #48046=CARTESIAN_POINT('Origin',(-1.49998502402413,-17.094914423893,0.213770813604798)); #48047=CARTESIAN_POINT('',(-1.63348502402413,-17.1347397347071,0.218519694407737)); #48048=CARTESIAN_POINT('Origin',(-1.49998502402413,-17.1347397347071,0.218519694407737)); #48049=CARTESIAN_POINT('',(-1.49998502402413,-17.0550891130789,0.209021932801859)); #48050=CARTESIAN_POINT('',(-1.56673502402413,-17.094914423893,0.213770813604798)); #48051=CARTESIAN_POINT('Origin',(-1.49998502402413,-17.5484588136596,0.26785270780264)); #48052=CARTESIAN_POINT('',(-1.63348502402413,-17.9621778926122,0.317185721197544)); #48053=CARTESIAN_POINT('Origin',(-1.49998502402413,-17.9621778926122,0.317185721197544)); #48054=CARTESIAN_POINT('',(-1.63348502402413,-17.5484588136596,0.26785270780264)); #48055=CARTESIAN_POINT('Origin',(-2.99651321547215,-17.1076973873027,0.106569661998342)); #48056=CARTESIAN_POINT('',(-3.13001321547215,-17.1475226981168,0.111318542801281)); #48057=CARTESIAN_POINT('Origin',(-2.99651321547215,-17.1475226981168,0.111318542801281)); #48058=CARTESIAN_POINT('',(-2.99651321547215,-17.0678720764886,0.101820781195404)); #48059=CARTESIAN_POINT('',(-3.06326321547215,-17.1076973873027,0.106569661998342)); #48060=CARTESIAN_POINT('Origin',(-2.99651321547215,-17.5612417770694,0.160651556196185)); #48061=CARTESIAN_POINT('',(-3.13001321547215,-17.9749608560219,0.209984569591088)); #48062=CARTESIAN_POINT('Origin',(-2.99651321547215,-17.9749608560219,0.209984569591088)); #48063=CARTESIAN_POINT('',(-3.13001321547215,-17.5612417770694,0.160651556196185)); #48064=CARTESIAN_POINT('Origin',(3.74448908029458E-13,-17.0906501603136, 0.249532002290552)); #48065=CARTESIAN_POINT('',(-0.133499999999626,-17.1304754711277,0.25428088309349)); #48066=CARTESIAN_POINT('Origin',(3.74467475876978E-13,-17.1304754711277, 0.25428088309349)); #48067=CARTESIAN_POINT('',(3.74430340181939E-13,-17.0508248494996,0.244783121487613)); #48068=CARTESIAN_POINT('',(-0.0667499999996255,-17.0906501603136,0.249532002290552)); #48069=CARTESIAN_POINT('Origin',(3.74660365087807E-13,-17.5441945500803, 0.303613896488394)); #48070=CARTESIAN_POINT('',(-0.133499999999625,-17.9579136290329,0.352946909883298)); #48071=CARTESIAN_POINT('Origin',(3.74853254298636E-13,-17.9579136290329, 0.352946909883297)); #48072=CARTESIAN_POINT('',(-0.133499999999625,-17.5441945500803,0.303613896488394)); #48073=CARTESIAN_POINT('Origin',(2.99651321547292,-17.1076973873027,0.106569661998253)); #48074=CARTESIAN_POINT('',(2.86301321547292,-17.1475226981168,0.111318542801191)); #48075=CARTESIAN_POINT('Origin',(2.99651321547292,-17.1475226981168,0.111318542801191)); #48076=CARTESIAN_POINT('',(2.99651321547292,-17.0678720764886,0.101820781195314)); #48077=CARTESIAN_POINT('',(2.92976321547292,-17.1076973873027,0.106569661998253)); #48078=CARTESIAN_POINT('Origin',(2.99651321547292,-17.5612417770694,0.160651556196095)); #48079=CARTESIAN_POINT('',(2.86301321547292,-17.974960856022,0.209984569590998)); #48080=CARTESIAN_POINT('Origin',(2.99651321547292,-17.974960856022,0.209984569590998)); #48081=CARTESIAN_POINT('',(2.86301321547292,-17.5612417770694,0.160651556196095)); #48082=CARTESIAN_POINT('Origin',(-2.00328960538227,4.10600087881187,-28.2171755699631)); #48083=CARTESIAN_POINT('',(4.27158376029757,4.0279115948989,0.863760362005793)); #48084=CARTESIAN_POINT('Origin',(4.14097254153959,4.02953701997913,0.891334217881674)); #48085=CARTESIAN_POINT('',(4.14147002115023,4.02953082896549,0.247345085616457)); #48086=CARTESIAN_POINT('',(-1.87267838662429,4.10437545373165,-28.244749425839)); #48087=CARTESIAN_POINT('Origin',(4.01085880239225,4.03115625404572,0.274918941492343)); #48088=CARTESIAN_POINT('Origin',(-1.95973632294954,7.60572988426206,-28.2171755699631)); #48089=CARTESIAN_POINT('',(4.3151370427303,7.52764060034908,0.863760362005793)); #48090=CARTESIAN_POINT('Origin',(4.18452582397232,7.52926602542931,0.891334217881674)); #48091=CARTESIAN_POINT('',(4.18502330358296,7.52925983441567,0.247345085616457)); #48092=CARTESIAN_POINT('',(-1.82912510419156,7.60410445918183,-28.244749425839)); #48093=CARTESIAN_POINT('Origin',(4.05441208482498,7.5308852594959,0.274918941492343)); #48094=CARTESIAN_POINT('Origin',(-2.046842887815,0.606271873361689,-28.2171755699631)); #48095=CARTESIAN_POINT('',(4.22803047786484,0.528182589448716,0.863760362005793)); #48096=CARTESIAN_POINT('Origin',(4.09741925910686,0.529808014528941,0.891334217881674)); #48097=CARTESIAN_POINT('',(4.0979167387175,0.529801823515308,0.247345085616457)); #48098=CARTESIAN_POINT('',(-1.91623166905702,0.604646448281464,-28.244749425839)); #48099=CARTESIAN_POINT('Origin',(3.96730551995952,0.531427248595533,0.274918941492343)); #48100=CARTESIAN_POINT('Origin',(-2.13394945268046,-6.39318613753868,-28.2171755699631)); #48101=CARTESIAN_POINT('',(4.14092391299938,-6.47127542145165,0.863760362005793)); #48102=CARTESIAN_POINT('Origin',(4.0103126942414,-6.46964999637143,0.891334217881674)); #48103=CARTESIAN_POINT('',(4.01081017385204,-6.46965618738506,0.247345085616457)); #48104=CARTESIAN_POINT('',(-2.00333823392248,-6.39481156261891,-28.244749425839)); #48105=CARTESIAN_POINT('Origin',(3.88019895509406,-6.46803076230484,0.274918941492343)); #48106=CARTESIAN_POINT('Origin',(-2.22105601754592,-13.392644148439,-28.2171755699631)); #48107=CARTESIAN_POINT('',(4.05381734813392,-13.470733432352,0.863760362005799)); #48108=CARTESIAN_POINT('Origin',(3.92320612937594,-13.4691080072718,0.89133421788168)); #48109=CARTESIAN_POINT('',(3.92370360898658,-13.4691141982854,0.247345085616457)); #48110=CARTESIAN_POINT('',(-2.09044479878794,-13.3942695735193,-28.244749425839)); #48111=CARTESIAN_POINT('Origin',(3.7930923902286,-13.4674887732052,0.274918941492343)); #48112=CARTESIAN_POINT('Origin',(-2.26460929997865,-16.8923731538892,-28.2171755699631)); #48113=CARTESIAN_POINT('',(4.01026406570119,-16.9704624378022,0.863760362005799)); #48114=CARTESIAN_POINT('Origin',(3.87965284694321,-16.968837012722,0.89133421788168)); #48115=CARTESIAN_POINT('',(3.88015032655385,-16.9688432037356,0.247345085616457)); #48116=CARTESIAN_POINT('',(-2.13399808122067,-16.8939985789695,-28.244749425839)); #48117=CARTESIAN_POINT('Origin',(3.74953910779587,-16.9672177786554,0.274918941492343)); #48118=CARTESIAN_POINT('Origin',(-2.17750273511319,-9.89291514298887,-28.2171755699631)); #48119=CARTESIAN_POINT('',(4.09737063056665,-9.97100442690184,0.863760362005793)); #48120=CARTESIAN_POINT('Origin',(3.96675941180867,-9.96937900182161,0.891334217881674)); #48121=CARTESIAN_POINT('',(3.96725689141931,-9.96938519283525,0.247345085616457)); #48122=CARTESIAN_POINT('',(-2.04689151635521,-9.89454056806909,-28.244749425839)); #48123=CARTESIAN_POINT('Origin',(3.83664567266133,-9.96775976775502,0.274918941492343)); #48124=CARTESIAN_POINT('Origin',(-2.09039617024773,-2.8934571320885,-28.2171755699631)); #48125=CARTESIAN_POINT('',(4.18447719543211,-2.97154641600147,0.863760362005793)); #48126=CARTESIAN_POINT('Origin',(4.05386597667413,-2.96992099092124,0.891334217881674)); #48127=CARTESIAN_POINT('',(4.05436345628477,-2.96992718193488,0.247345085616457)); #48128=CARTESIAN_POINT('',(-1.95978495148975,-2.89508255716872,-28.244749425839)); #48129=CARTESIAN_POINT('Origin',(3.92375223752679,-2.96830175685465,0.274918941492343)); #48130=CARTESIAN_POINT('Origin',(2.00328960538227,4.10600087881187,-28.2171755699631)); #48131=CARTESIAN_POINT('',(-4.27158376029757,4.0279115948989,0.863760362005793)); #48132=CARTESIAN_POINT('Origin',(-4.14097254153959,4.02953701997913,0.891334217881674)); #48133=CARTESIAN_POINT('',(-4.14147002115023,4.02953082896549,0.247345085616457)); #48134=CARTESIAN_POINT('',(1.87267838662429,4.10437545373165,-28.244749425839)); #48135=CARTESIAN_POINT('Origin',(-4.01085880239225,4.03115625404572,0.274918941492343)); #48136=CARTESIAN_POINT('Origin',(2.09039617024773,-2.8934571320885,-28.2171755699631)); #48137=CARTESIAN_POINT('',(-4.18447719543211,-2.97154641600147,0.863760362005793)); #48138=CARTESIAN_POINT('Origin',(-4.05386597667413,-2.96992099092124,0.891334217881674)); #48139=CARTESIAN_POINT('',(-4.05436345628477,-2.96992718193488,0.247345085616457)); #48140=CARTESIAN_POINT('',(1.95978495148975,-2.89508255716872,-28.244749425839)); #48141=CARTESIAN_POINT('Origin',(-3.92375223752679,-2.96830175685465,0.274918941492343)); #48142=CARTESIAN_POINT('Origin',(2.17750273511319,-9.89291514298887,-28.2171755699631)); #48143=CARTESIAN_POINT('',(-4.09737063056665,-9.97100442690184,0.863760362005793)); #48144=CARTESIAN_POINT('Origin',(-3.96675941180867,-9.96937900182161,0.891334217881674)); #48145=CARTESIAN_POINT('',(-3.96725689141931,-9.96938519283525,0.247345085616457)); #48146=CARTESIAN_POINT('',(2.04689151635521,-9.89454056806909,-28.244749425839)); #48147=CARTESIAN_POINT('Origin',(-3.83664567266133,-9.96775976775502,0.274918941492343)); #48148=CARTESIAN_POINT('Origin',(2.26460929997865,-16.8923731538892,-28.2171755699631)); #48149=CARTESIAN_POINT('',(-4.01026406570119,-16.9704624378022,0.863760362005799)); #48150=CARTESIAN_POINT('Origin',(-3.87965284694321,-16.968837012722,0.89133421788168)); #48151=CARTESIAN_POINT('',(-3.88015032655385,-16.9688432037356,0.247345085616457)); #48152=CARTESIAN_POINT('',(2.13399808122067,-16.8939985789695,-28.244749425839)); #48153=CARTESIAN_POINT('Origin',(-3.74953910779587,-16.9672177786554,0.274918941492343)); #48154=CARTESIAN_POINT('Origin',(2.22105601754592,-13.392644148439,-28.2171755699631)); #48155=CARTESIAN_POINT('',(-4.05381734813392,-13.470733432352,0.863760362005799)); #48156=CARTESIAN_POINT('Origin',(-3.92320612937594,-13.4691080072718,0.89133421788168)); #48157=CARTESIAN_POINT('',(-3.92370360898658,-13.4691141982854,0.247345085616457)); #48158=CARTESIAN_POINT('',(2.09044479878794,-13.3942695735193,-28.244749425839)); #48159=CARTESIAN_POINT('Origin',(-3.7930923902286,-13.4674887732052,0.274918941492343)); #48160=CARTESIAN_POINT('Origin',(2.13394945268046,-6.39318613753868,-28.2171755699631)); #48161=CARTESIAN_POINT('',(-4.14092391299938,-6.47127542145165,0.863760362005793)); #48162=CARTESIAN_POINT('Origin',(-4.0103126942414,-6.46964999637143,0.891334217881674)); #48163=CARTESIAN_POINT('',(-4.01081017385204,-6.46965618738506,0.247345085616457)); #48164=CARTESIAN_POINT('',(2.00333823392248,-6.39481156261891,-28.244749425839)); #48165=CARTESIAN_POINT('Origin',(-3.88019895509406,-6.46803076230484,0.274918941492343)); #48166=CARTESIAN_POINT('Origin',(2.046842887815,0.606271873361689,-28.2171755699631)); #48167=CARTESIAN_POINT('',(-4.22803047786484,0.528182589448716,0.863760362005793)); #48168=CARTESIAN_POINT('Origin',(-4.09741925910686,0.529808014528941,0.891334217881674)); #48169=CARTESIAN_POINT('',(-4.0979167387175,0.529801823515308,0.247345085616457)); #48170=CARTESIAN_POINT('',(1.91623166905702,0.604646448281464,-28.244749425839)); #48171=CARTESIAN_POINT('Origin',(-3.96730551995952,0.531427248595533,0.274918941492343)); #48172=CARTESIAN_POINT('Origin',(1.95973632294954,7.60572988426206,-28.2171755699631)); #48173=CARTESIAN_POINT('',(-4.3151370427303,7.52764060034908,0.863760362005793)); #48174=CARTESIAN_POINT('Origin',(-4.18452582397232,7.52926602542931,0.891334217881674)); #48175=CARTESIAN_POINT('',(-4.18502330358296,7.52925983441567,0.247345085616457)); #48176=CARTESIAN_POINT('',(1.82912510419156,7.60410445918183,-28.244749425839)); #48177=CARTESIAN_POINT('Origin',(-4.05441208482498,7.5308852594959,0.274918941492343)); #48178=CARTESIAN_POINT('Origin',(-3.36778716824836,-4.0574863224902,0.)); #48179=CARTESIAN_POINT('',(-3.27353222955035,-17.9555464229739,0.372798896271996)); #48180=CARTESIAN_POINT('',(-3.14112646700938,-18.0298106951701,-0.25)); #48181=CARTESIAN_POINT('',(-3.51201453072673,-17.8217855187,1.49455153832262)); #48182=CARTESIAN_POINT('',(-3.61807835192086,9.73049675960323,0.37279889627204)); #48183=CARTESIAN_POINT('',(-3.4464780446784,-4.05846561144677,0.372798896272016)); #48184=CARTESIAN_POINT('',(-3.4809094937183,9.2734933891927,-0.249999999999996)); #48185=CARTESIAN_POINT('Origin',(-13.4338799074332,-59.8774538105716,50.97131943587)); #48186=CARTESIAN_POINT('',(-3.2245693394613,-11.3247491083853,-0.249999999999999)); #48187=CARTESIAN_POINT('Origin',(0.,-18.2265066108073,-0.25)); #48188=CARTESIAN_POINT('',(3.14112646700938,-18.0298106951701,-0.25)); #48189=CARTESIAN_POINT('',(5.33039150054998E-15,-18.0298106951701,-0.25)); #48190=CARTESIAN_POINT('',(3.4809094937183,9.2734933891927,-0.249999999999996)); #48191=CARTESIAN_POINT('',(0.,9.2734933891927,-0.249999999999996)); #48192=CARTESIAN_POINT('',(3.2245693394613,-11.3247491083853,-0.249999999999999)); #48193=CARTESIAN_POINT('Origin',(-4.37374917014569,-4.07000527670551,0.177038986712644)); #48194=CARTESIAN_POINT('',(-4.54371695894583,9.58777588766212,0.177038986712658)); #48195=CARTESIAN_POINT('Origin',(236.959225730749,-59.8774538105716,50.97131943587)); #48196=CARTESIAN_POINT('',(-4.20065646591485,-17.9788893789355,0.177038986712624)); #48197=CARTESIAN_POINT('',(-3.88410776007484,-17.9709193764156,0.243877435753032)); #48198=CARTESIAN_POINT('',(-4.37374917014569,-4.07000527670551,0.177038986712644)); #48199=CARTESIAN_POINT('Origin',(0.,-59.8774538105716,50.97131943587)); #48200=CARTESIAN_POINT('',(-4.67940823128921,10.0343399733068,0.79345426310198)); #48201=CARTESIAN_POINT('Origin',(-14.4026222973562,-59.8774538105716,50.97131943587)); #48202=CARTESIAN_POINT('',(-3.70273464490277,10.1821229595907,0.999999999999997)); #48203=CARTESIAN_POINT('Origin',(233.908817660035,-59.8774538105716,50.97131943587)); #48204=CARTESIAN_POINT('',(3.7027346449025,10.1821229595907,0.999999999999997)); #48205=CARTESIAN_POINT('',(0.,10.1821229595907,0.999999999999997)); #48206=CARTESIAN_POINT('',(4.67940823128921,10.0343399733068,0.79345426310198)); #48207=CARTESIAN_POINT('Origin',(-233.908817660035,-59.8774538105716,50.97131943587)); #48208=CARTESIAN_POINT('',(4.54371695894583,9.58777588766212,0.177038986712658)); #48209=CARTESIAN_POINT('Origin',(14.4026222973543,-59.8774538105716,50.97131943587)); #48210=CARTESIAN_POINT('',(3.61807835192086,9.73049675960323,0.37279889627204)); #48211=CARTESIAN_POINT('Origin',(-236.959225730749,-59.8774538105716,50.97131943587)); #48212=CARTESIAN_POINT('Origin',(13.4338799074332,-59.8774538105716,50.97131943587)); #48213=CARTESIAN_POINT('Origin',(4.37374917014569,-4.07000527670551,0.177038986712644)); #48214=CARTESIAN_POINT('',(4.20065646591485,-17.9788893789355,0.177038986712624)); #48215=CARTESIAN_POINT('',(4.37374917014569,-4.07000527670551,0.177038986712644)); #48216=CARTESIAN_POINT('',(3.27353222955035,-17.9555464229739,0.372798896271996)); #48217=CARTESIAN_POINT('',(3.88410776007484,-17.9709193764156,0.243877435753032)); #48218=CARTESIAN_POINT('',(3.4464780446784,-4.05846561144677,0.372798896272016)); #48219=CARTESIAN_POINT('Origin',(3.36778716824836,-4.0574863224902,0.)); #48220=CARTESIAN_POINT('',(3.51201453072673,-17.8217855187,1.49455153832262)); #48221=CARTESIAN_POINT('Origin',(8.27452777702884E-15,-17.7476490052069, 2.11627901792331)); #48222=CARTESIAN_POINT('',(4.33170508401739,-17.9053863073249,0.793454263101977)); #48223=CARTESIAN_POINT('',(4.39092547767098,-17.8721705367311,1.0720100834564)); #48224=CARTESIAN_POINT('',(3.35349887710321,-17.8807572193194,1.)); #48225=CARTESIAN_POINT('',(1.78078727593918,-17.841159788677,1.33207402927379)); #48226=CARTESIAN_POINT('',(-3.35349887710345,-17.8807572193194,1.)); #48227=CARTESIAN_POINT('',(8.33658713005648E-15,-17.8807572193194,1.)); #48228=CARTESIAN_POINT('',(-4.33170508401739,-17.9053863073249,0.793454263101977)); #48229=CARTESIAN_POINT('',(-1.78078727593917,-17.841159788677,1.3320740292738)); #48230=CARTESIAN_POINT('',(-4.33143452599284,-17.9055380589888,0.792181635416926)); #48231=CARTESIAN_POINT('Origin',(4.28847423795471,-21.3792059012762,0.793454263101977)); #48232=CARTESIAN_POINT('',(4.28847423795471,-21.3792059012762,0.793454263101977)); #48233=CARTESIAN_POINT('Origin',(4.04425023746538,-1.68960295063812,0.896727131550988)); #48234=CARTESIAN_POINT('',(3.57546684347766,-0.044495821539417,1.)); #48235=CARTESIAN_POINT('Origin',(0.,0.,1.)); #48236=CARTESIAN_POINT('',(-3.57546684347792,-0.0444958215394236,1.)); #48237=CARTESIAN_POINT('Origin',(-4.04425023746538,-1.68960295063812,0.896727131550988)); #48238=CARTESIAN_POINT('',(-4.28847423795471,-21.3792059012762,0.793454263101977)); #48239=CARTESIAN_POINT('Origin',(-4.28847423795471,-21.3792059012762,0.793454263101977)); #48240=CARTESIAN_POINT('',(0.,0.,0.)); #48241=CARTESIAN_POINT('Origin',(1.29350057978943,-17.1870143056702,0.23499704544629)); #48242=CARTESIAN_POINT('',(1.19550057978943,-17.2162493652941,0.238483115249196)); #48243=CARTESIAN_POINT('Origin',(1.29350057978943,-17.2162493652941,0.238483115249196)); #48244=CARTESIAN_POINT('',(1.29350057978943,-17.1577792460464,0.231510975643384)); #48245=CARTESIAN_POINT('',(1.24450057978943,-17.1870143056702,0.23499704544629)); #48246=CARTESIAN_POINT('Origin',(1.29350057978943,-17.588611430834,0.282884603364134)); #48247=CARTESIAN_POINT('',(1.19550057978943,-17.960973496374,0.327286091479073)); #48248=CARTESIAN_POINT('Origin',(1.29350057978943,-17.960973496374,0.327286091479072)); #48249=CARTESIAN_POINT('',(1.19550057978943,-17.588611430834,0.282884603364134)); #48250=CARTESIAN_POINT('Origin',(-1.52301318346155,-17.1875434544977,0.230559470068898)); #48251=CARTESIAN_POINT('',(-1.62101318346155,-17.2167785141215,0.234045539871804)); #48252=CARTESIAN_POINT('Origin',(-1.52301318346155,-17.2167785141215,0.234045539871804)); #48253=CARTESIAN_POINT('',(-1.52301318346155,-17.1583083948739,0.227073400265992)); #48254=CARTESIAN_POINT('',(-1.57201318346155,-17.1875434544977,0.230559470068898)); #48255=CARTESIAN_POINT('Origin',(-1.52301318346155,-17.5891405796615,0.278447027986742)); #48256=CARTESIAN_POINT('',(-1.62101318346155,-17.9615026452014,0.322848516101681)); #48257=CARTESIAN_POINT('Origin',(-1.52301318346155,-17.9615026452014,0.322848516101681)); #48258=CARTESIAN_POINT('',(-1.62101318346155,-17.5891405796615,0.278447027986742)); #48259=CARTESIAN_POINT('Origin',(0.544109652203619,-17.090131880788,0.253878424964427)); #48260=CARTESIAN_POINT('',(0.410609652203618,-17.1299571916021,0.258627305767366)); #48261=CARTESIAN_POINT('Origin',(0.544109652203619,-17.1299571916021,0.258627305767366)); #48262=CARTESIAN_POINT('',(0.544109652203619,-17.0503065699739,0.249129544161488)); #48263=CARTESIAN_POINT('',(0.477359652203619,-17.090131880788,0.253878424964427)); #48264=CARTESIAN_POINT('Origin',(0.544109652203619,-17.5436762705547,0.307960319162269)); #48265=CARTESIAN_POINT('',(0.410609652203619,-17.9573953495073,0.357293332557173)); #48266=CARTESIAN_POINT('Origin',(0.544109652203619,-17.9573953495073,0.357293332557173)); #48267=CARTESIAN_POINT('',(0.410609652203619,-17.5436762705547,0.307960319162269)); #48268=CARTESIAN_POINT('Origin',(-2.27176015688834,-17.0993702774212,0.176402903339881)); #48269=CARTESIAN_POINT('',(-2.40526015688834,-17.1391955882353,0.18115178414282)); #48270=CARTESIAN_POINT('Origin',(-2.27176015688834,-17.1391955882353,0.18115178414282)); #48271=CARTESIAN_POINT('',(-2.27176015688834,-17.0595449666071,0.171654022536943)); #48272=CARTESIAN_POINT('',(-2.33851015688834,-17.0993702774212,0.176402903339881)); #48273=CARTESIAN_POINT('Origin',(-2.27176015688834,-17.5529146671879,0.230484797537724)); #48274=CARTESIAN_POINT('',(-2.40526015688834,-17.9666337461404,0.279817810932628)); #48275=CARTESIAN_POINT('Origin',(-2.27176015688834,-17.9666337461404,0.279817810932628)); #48276=CARTESIAN_POINT('',(-2.40526015688834,-17.5529146671879,0.230484797537724)); #48277=CARTESIAN_POINT('Origin',(2.04284283917803,-17.0985634014038,0.183169568900481)); #48278=CARTESIAN_POINT('',(1.90934283917803,-17.1383887122179,0.18791844970342)); #48279=CARTESIAN_POINT('Origin',(2.04284283917803,-17.1383887122179,0.18791844970342)); #48280=CARTESIAN_POINT('',(2.04284283917803,-17.0587380905897,0.178420688097543)); #48281=CARTESIAN_POINT('',(1.97609283917803,-17.0985634014038,0.183169568900481)); #48282=CARTESIAN_POINT('Origin',(2.04284283917803,-17.5521077911705,0.237251463098324)); #48283=CARTESIAN_POINT('',(1.90934283917803,-17.9658268701231,0.286584476493228)); #48284=CARTESIAN_POINT('Origin',(2.04284283917803,-17.9658268701231,0.286584476493228)); #48285=CARTESIAN_POINT('',(1.90934283917803,-17.5521077911705,0.237251463098324)); #48286=CARTESIAN_POINT('Origin',(-3.1262281252443,4.11761681338151,28.807866718931)); #48287=CARTESIAN_POINT('',(-2.9602281252443,4.11761681338151,-0.249999999999996)); #48288=CARTESIAN_POINT('Origin',(-3.1262281252443,4.11761681338151,-0.249999999999996)); #48289=CARTESIAN_POINT('',(-2.9602281252443,4.11761681338151,1.)); #48290=CARTESIAN_POINT('',(-2.9602281252443,4.11761681338151,28.807866718931)); #48291=CARTESIAN_POINT('Origin',(-3.1262281252443,4.11761681338151,1.)); #48292=CARTESIAN_POINT('Origin',(-3.05156535535963,-1.88191862453309,28.807866718931)); #48293=CARTESIAN_POINT('',(-2.88556535535963,-1.88191862453309,-0.249999999999996)); #48294=CARTESIAN_POINT('Origin',(-3.05156535535963,-1.88191862453309,-0.249999999999996)); #48295=CARTESIAN_POINT('',(-2.88556535535963,-1.88191862453309,1.)); #48296=CARTESIAN_POINT('',(-2.88556535535963,-1.88191862453309,28.807866718931)); #48297=CARTESIAN_POINT('Origin',(-3.05156535535963,-1.88191862453309,1.)); #48298=CARTESIAN_POINT('Origin',(-2.97690258547495,-7.88145406244769,28.807866718931)); #48299=CARTESIAN_POINT('',(-2.81090258547495,-7.88145406244769,-0.250000000000002)); #48300=CARTESIAN_POINT('Origin',(-2.97690258547495,-7.88145406244769,-0.250000000000002)); #48301=CARTESIAN_POINT('',(-2.81090258547495,-7.88145406244769,1.)); #48302=CARTESIAN_POINT('',(-2.81090258547495,-7.88145406244769,28.807866718931)); #48303=CARTESIAN_POINT('Origin',(-2.97690258547495,-7.88145406244769,1.)); #48304=CARTESIAN_POINT('Origin',(-2.90223981559027,-13.8809895003623,28.807866718931)); #48305=CARTESIAN_POINT('',(-2.73623981559027,-13.8809895003623,-0.250000000000002)); #48306=CARTESIAN_POINT('Origin',(-2.90223981559027,-13.8809895003623,-0.250000000000002)); #48307=CARTESIAN_POINT('',(-2.73623981559027,-13.8809895003623,1.)); #48308=CARTESIAN_POINT('',(-2.73623981559027,-13.8809895003623,28.807866718931)); #48309=CARTESIAN_POINT('Origin',(-2.90223981559027,-13.8809895003623,1.)); #48310=CARTESIAN_POINT('Origin',(-2.86490843064794,-16.8807572193196,28.807866718931)); #48311=CARTESIAN_POINT('',(-2.69890843064794,-16.8807572193196,-0.250000000000002)); #48312=CARTESIAN_POINT('Origin',(-2.86490843064794,-16.8807572193196,-0.250000000000002)); #48313=CARTESIAN_POINT('',(-2.69890843064794,-16.8807572193196,0.999999999999997)); #48314=CARTESIAN_POINT('',(-2.69890843064794,-16.8807572193196,28.807866718931)); #48315=CARTESIAN_POINT('Origin',(-2.86490843064794,-16.8807572193196,0.999999999999997)); #48316=CARTESIAN_POINT('Origin',(-2.93957120053261,-10.881221781405,28.807866718931)); #48317=CARTESIAN_POINT('',(-2.77357120053261,-10.881221781405,-0.250000000000002)); #48318=CARTESIAN_POINT('Origin',(-2.93957120053261,-10.881221781405,-0.250000000000002)); #48319=CARTESIAN_POINT('',(-2.77357120053261,-10.881221781405,1.)); #48320=CARTESIAN_POINT('',(-2.77357120053261,-10.881221781405,28.807866718931)); #48321=CARTESIAN_POINT('Origin',(-2.93957120053261,-10.881221781405,1.)); #48322=CARTESIAN_POINT('Origin',(-3.01423397041729,-4.88168634349039,28.807866718931)); #48323=CARTESIAN_POINT('',(-2.84823397041729,-4.88168634349039,-0.249999999999996)); #48324=CARTESIAN_POINT('Origin',(-3.01423397041729,-4.88168634349039,-0.249999999999996)); #48325=CARTESIAN_POINT('',(-2.84823397041729,-4.88168634349039,1.)); #48326=CARTESIAN_POINT('',(-2.84823397041729,-4.88168634349039,28.807866718931)); #48327=CARTESIAN_POINT('Origin',(-3.01423397041729,-4.88168634349039,1.)); #48328=CARTESIAN_POINT('Origin',(-3.08889674030197,1.11784909442421,28.807866718931)); #48329=CARTESIAN_POINT('',(-2.92289674030197,1.11784909442421,-0.249999999999996)); #48330=CARTESIAN_POINT('Origin',(-3.08889674030197,1.11784909442421,-0.249999999999996)); #48331=CARTESIAN_POINT('',(-2.92289674030197,1.11784909442421,1.)); #48332=CARTESIAN_POINT('',(-2.92289674030197,1.11784909442421,28.807866718931)); #48333=CARTESIAN_POINT('Origin',(-3.08889674030197,1.11784909442421,1.)); #48334=CARTESIAN_POINT('Origin',(-3.16355951018664,7.11738453233881,28.807866718931)); #48335=CARTESIAN_POINT('',(-2.99755951018664,7.11738453233881,-0.249999999999996)); #48336=CARTESIAN_POINT('Origin',(-3.16355951018664,7.11738453233881,-0.249999999999996)); #48337=CARTESIAN_POINT('',(-2.99755951018664,7.11738453233881,1.)); #48338=CARTESIAN_POINT('',(-2.99755951018664,7.11738453233881,28.807866718931)); #48339=CARTESIAN_POINT('Origin',(-3.16355951018664,7.11738453233881,1.)); #48340=CARTESIAN_POINT('Origin',(3.10022953139538,4.04248881544388,28.807866718931)); #48341=CARTESIAN_POINT('',(3.26622953139538,4.04248881544389,-0.249999999999996)); #48342=CARTESIAN_POINT('Origin',(3.10022953139538,4.04248881544389,-0.249999999999996)); #48343=CARTESIAN_POINT('',(3.26622953139538,4.04248881544389,0.370000000000002)); #48344=CARTESIAN_POINT('',(3.26622953139538,4.04248881544388,28.807866718931)); #48345=CARTESIAN_POINT('Origin',(3.10022953139538,4.04248881544389,0.370000000000002)); #48346=CARTESIAN_POINT('Origin',(3.01312296652993,-2.95696919545649,28.807866718931)); #48347=CARTESIAN_POINT('',(3.17912296652993,-2.95696919545648,-0.249999999999996)); #48348=CARTESIAN_POINT('Origin',(3.01312296652993,-2.95696919545648,-0.249999999999996)); #48349=CARTESIAN_POINT('',(3.17912296652993,-2.95696919545648,0.370000000000002)); #48350=CARTESIAN_POINT('',(3.17912296652993,-2.95696919545649,28.807866718931)); #48351=CARTESIAN_POINT('Origin',(3.01312296652993,-2.95696919545648,0.370000000000002)); #48352=CARTESIAN_POINT('Origin',(2.92601640166448,-9.95642720635686,28.807866718931)); #48353=CARTESIAN_POINT('',(3.09201640166448,-9.95642720635685,-0.250000000000002)); #48354=CARTESIAN_POINT('Origin',(2.92601640166448,-9.95642720635685,-0.250000000000002)); #48355=CARTESIAN_POINT('',(3.09201640166448,-9.95642720635685,0.370000000000002)); #48356=CARTESIAN_POINT('',(3.09201640166448,-9.95642720635686,28.807866718931)); #48357=CARTESIAN_POINT('Origin',(2.92601640166448,-9.95642720635685,0.370000000000002)); #48358=CARTESIAN_POINT('Origin',(2.83890983679903,-16.9558852172572,28.807866718931)); #48359=CARTESIAN_POINT('',(3.00490983679903,-16.9558852172572,-0.250000000000002)); #48360=CARTESIAN_POINT('Origin',(2.83890983679903,-16.9558852172572,-0.250000000000002)); #48361=CARTESIAN_POINT('',(3.00490983679903,-16.9558852172572,0.370000000000002)); #48362=CARTESIAN_POINT('',(3.00490983679903,-16.9558852172572,28.807866718931)); #48363=CARTESIAN_POINT('Origin',(2.83890983679903,-16.9558852172572,0.370000000000002)); #48364=CARTESIAN_POINT('Origin',(2.88246311923175,-13.456156211807,28.807866718931)); #48365=CARTESIAN_POINT('',(3.04846311923175,-13.456156211807,-0.250000000000002)); #48366=CARTESIAN_POINT('Origin',(2.88246311923175,-13.456156211807,-0.250000000000002)); #48367=CARTESIAN_POINT('',(3.04846311923175,-13.456156211807,0.370000000000002)); #48368=CARTESIAN_POINT('',(3.04846311923175,-13.456156211807,28.807866718931)); #48369=CARTESIAN_POINT('Origin',(2.88246311923175,-13.456156211807,0.370000000000002)); #48370=CARTESIAN_POINT('Origin',(2.9695696840972,-6.45669820090667,28.807866718931)); #48371=CARTESIAN_POINT('',(3.1355696840972,-6.45669820090667,-0.249999999999996)); #48372=CARTESIAN_POINT('Origin',(2.9695696840972,-6.45669820090667,-0.249999999999996)); #48373=CARTESIAN_POINT('',(3.1355696840972,-6.45669820090667,0.370000000000002)); #48374=CARTESIAN_POINT('',(3.1355696840972,-6.45669820090667,28.807866718931)); #48375=CARTESIAN_POINT('Origin',(2.9695696840972,-6.45669820090667,0.370000000000002)); #48376=CARTESIAN_POINT('Origin',(3.05667624896265,0.5427598099937,28.807866718931)); #48377=CARTESIAN_POINT('',(3.22267624896265,0.542759809993705,-0.249999999999996)); #48378=CARTESIAN_POINT('Origin',(3.05667624896265,0.542759809993705,-0.249999999999996)); #48379=CARTESIAN_POINT('',(3.22267624896265,0.542759809993705,0.370000000000002)); #48380=CARTESIAN_POINT('',(3.22267624896265,0.5427598099937,28.807866718931)); #48381=CARTESIAN_POINT('Origin',(3.05667624896265,0.542759809993705,0.370000000000002)); #48382=CARTESIAN_POINT('Origin',(3.1437828138281,7.54221782089406,28.807866718931)); #48383=CARTESIAN_POINT('',(3.3097828138281,7.54221782089407,-0.249999999999996)); #48384=CARTESIAN_POINT('Origin',(3.1437828138281,7.54221782089407,-0.249999999999996)); #48385=CARTESIAN_POINT('',(3.3097828138281,7.54221782089407,0.370000000000002)); #48386=CARTESIAN_POINT('',(3.3097828138281,7.54221782089406,28.807866718931)); #48387=CARTESIAN_POINT('Origin',(3.1437828138281,7.54221782089407,0.370000000000002)); #48388=CARTESIAN_POINT('Origin',(3.47222362822747,-4.12998434592517,0.37)); #48389=CARTESIAN_POINT('',(3.64470949111353,9.73013695044041,0.369999999999995)); #48390=CARTESIAN_POINT('',(3.63915126769661,9.2835052702451,-0.25)); #48391=CARTESIAN_POINT('Origin',(2.78010916406596,-59.7449214992645,52.0827687877782)); #48392=CARTESIAN_POINT('',(3.30016369306574,-17.9558801711482,0.37)); #48393=CARTESIAN_POINT('',(3.47222362822747,-4.12998434592517,0.37)); #48394=CARTESIAN_POINT('',(3.29924364554546,-18.0298106951701,-0.25)); #48395=CARTESIAN_POINT('',(3.30113995974862,-17.8774321498673,1.02788487012054)); #48396=CARTESIAN_POINT('',(3.47222362822747,-4.12998434592517,-0.25)); #48397=CARTESIAN_POINT('Origin',(3.46722401536254,-4.12992212695026,0.37)); #48398=CARTESIAN_POINT('',(2.69554758244033,9.61082786945738,0.370000000000002)); #48399=CARTESIAN_POINT('Origin',(247.793100274558,-65.9112958462097,0.369999999999957)); #48400=CARTESIAN_POINT('',(2.35248655709715,-17.9558801711482,0.37)); #48401=CARTESIAN_POINT('',(2.5246932381998,-4.11819255901075,0.37)); #48402=CARTESIAN_POINT('',(3.38221374554282,-17.9558801711482,0.370000000000001)); #48403=CARTESIAN_POINT('Origin',(-3.43372369959153,-0.042731862338876,-0.358360163147752)); #48404=CARTESIAN_POINT('',(-3.69115171846324,9.25137557697886,1.)); #48405=CARTESIAN_POINT('',(-3.54933231895663,8.33827637939249,-0.249999999999994)); #48406=CARTESIAN_POINT('Origin',(-8.41383590648553,-59.4631974347106,54.4453777687668)); #48407=CARTESIAN_POINT('',(-3.22118784211834,-18.0298106951701,-0.250000000000001)); #48408=CARTESIAN_POINT('',(-3.47627358826658,2.46763369494598,-0.249999999999999)); #48409=CARTESIAN_POINT('',(-3.35349887710346,-17.8807572193194,1.)); #48410=CARTESIAN_POINT('',(-3.43372369959153,-17.7903808675458,1.75791885477556)); #48411=CARTESIAN_POINT('',(-3.57546684347792,-0.0444958215394236,1.)); #48412=CARTESIAN_POINT('Origin',(0.,8.99618402187886,-0.249999999999998)); #48413=CARTESIAN_POINT('Origin',(250.730635008271,-65.985226370233,-0.25000000000868)); #48414=CARTESIAN_POINT('',(3.38471355197524,-18.0298106951701,-0.250000000000003)); #48415=CARTESIAN_POINT('Origin',(8.27452777702884E-15,-17.7476490052069, 2.11627901792331)); #48416=CARTESIAN_POINT('',(2.35342144409357,-17.8807572193194,1.)); #48417=CARTESIAN_POINT('',(2.35407647491847,-17.8281221422528,1.44141101680318)); #48418=CARTESIAN_POINT('',(8.33658713005648E-15,-17.8807572193194,1.)); #48419=CARTESIAN_POINT('Origin',(15.9163949712015,-60.0755295027345,49.310206779553)); #48420=CARTESIAN_POINT('',(2.70112519177871,10.059017307596,1.)); #48421=CARTESIAN_POINT('Origin',(244.808185948407,-65.8361728943809,1.00000000000001)); #48422=CARTESIAN_POINT('Origin',(1.83272875139716,-59.7210782644752,52.2827241616233)); #48423=CARTESIAN_POINT('Origin',(2.32907874793383,-19.8368173613465,1.)); #48424=CARTESIAN_POINT('',(2.45231150921286,-9.93443469395259,1.)); #48425=CARTESIAN_POINT('Origin',(0.,0.,1.)); #48426=CARTESIAN_POINT('',(0.,0.,0.)); #48427=CARTESIAN_POINT('Origin',(59.6941443454718,24.873782717142,-12.7033604477705)); #48428=CARTESIAN_POINT('',(59.8248746923453,-10.2099195220636,-12.4902652192771)); #48429=CARTESIAN_POINT('',(59.6941443454741,-10.2523963866574,-12.7033604477711)); #48430=CARTESIAN_POINT('',(56.4725867498466,-11.2991439020255,-17.9546167044648)); #48431=CARTESIAN_POINT('',(59.6941443454728,10.2523963866579,-12.7033604477708)); #48432=CARTESIAN_POINT('',(59.6941443454718,24.873782717142,-12.7033604477705)); #48433=CARTESIAN_POINT('',(59.8248746923439,10.2099195220641,-12.4902652192767)); #48434=CARTESIAN_POINT('',(54.3246039730223,11.9970658133848,-21.455906891351)); #48435=CARTESIAN_POINT('',(59.824874692343,24.873782717142,-12.4902652192764)); #48436=CARTESIAN_POINT('Origin',(14.6941443454749,-2.12883079493479E-12, -86.055)); #48437=CARTESIAN_POINT('',(14.694144345474,-24.873782717143,0.)); #48438=CARTESIAN_POINT('Origin',(14.6941443454758,-24.8737827171376,-86.055)); #48439=CARTESIAN_POINT('',(14.6941443454723,24.8737827171387,0.)); #48440=CARTESIAN_POINT('',(14.694144345474,-24.873782717143,0.)); #48441=CARTESIAN_POINT('Origin',(14.694144345474,24.8737827171382,-86.055)); #48442=CARTESIAN_POINT('Origin',(14.6941443454749,-2.12883079493479E-12, -86.055)); #48443=CARTESIAN_POINT('',(14.694144345474,-24.873782717143,0.25)); #48444=CARTESIAN_POINT('Origin',(14.6941443454758,-24.8737827171376,-86.055)); #48445=CARTESIAN_POINT('',(14.6941443454723,24.8737827171387,0.25)); #48446=CARTESIAN_POINT('Origin',(14.694144345474,24.8737827171382,-86.055)); #48447=CARTESIAN_POINT('',(14.694144345474,-24.873782717143,0.25)); #48448=CARTESIAN_POINT('Origin',(13.2795623375242,-24.8076771613436,0.)); #48449=CARTESIAN_POINT('',(13.4455623375242,-24.8076771613436,0.25)); #48450=CARTESIAN_POINT('Origin',(13.2795623375242,-24.8076771613436,0.25)); #48451=CARTESIAN_POINT('',(13.4455623375242,-24.8076771613436,0.)); #48452=CARTESIAN_POINT('',(13.4455623375242,-24.8076771613436,0.)); #48453=CARTESIAN_POINT('Origin',(13.2795623375242,-24.8076771613436,0.)); #48454=CARTESIAN_POINT('Origin',(13.2795623375225,24.8076771613392,0.)); #48455=CARTESIAN_POINT('',(13.4455623375225,24.8076771613392,0.25)); #48456=CARTESIAN_POINT('Origin',(13.2795623375225,24.8076771613392,0.25)); #48457=CARTESIAN_POINT('',(13.4455623375225,24.8076771613392,0.)); #48458=CARTESIAN_POINT('',(13.4455623375225,24.8076771613392,0.)); #48459=CARTESIAN_POINT('Origin',(13.2795623375225,24.8076771613392,0.)); #48460=CARTESIAN_POINT('Origin',(14.6941443454723,24.8737827171387,0.)); #48461=CARTESIAN_POINT('',(12.9585425765623,25.4377139166738,0.)); #48462=CARTESIAN_POINT('',(14.6941443454723,24.8737827171387,0.)); #48463=CARTESIAN_POINT('',(12.9585425765623,25.4377139166738,0.25)); #48464=CARTESIAN_POINT('',(12.9585425765623,25.4377139166738,0.)); #48465=CARTESIAN_POINT('',(14.6941443454723,24.8737827171387,0.25)); #48466=CARTESIAN_POINT('Origin',(12.9585425765641,-25.4377139166782,0.)); #48467=CARTESIAN_POINT('',(12.9585425765641,-25.4377139166782,0.)); #48468=CARTESIAN_POINT('',(12.9585425765641,-25.4377139166782,0.)); #48469=CARTESIAN_POINT('',(12.9585425765641,-25.4377139166782,0.25)); #48470=CARTESIAN_POINT('',(12.9585425765641,-25.4377139166782,0.25)); #48471=CARTESIAN_POINT('',(12.9585425765641,-25.4377139166782,0.)); #48472=CARTESIAN_POINT('Origin',(91.2477759212834,-1.78117031002617E-14, 0.)); #48473=CARTESIAN_POINT('Origin',(91.2477759212834,-1.78117031002617E-14, 0.)); #48474=CARTESIAN_POINT('Origin',(91.2477759212834,-1.78117031002617E-14, 0.25)); #48475=CARTESIAN_POINT('Origin',(11.8118744201041,-2.24352470110079E-12, 0.25)); #48476=CARTESIAN_POINT('Origin',(11.8118744201041,-2.24352470110079E-12, 0.)); #48477=CARTESIAN_POINT('',(0.,0.,0.)); #48478=CARTESIAN_POINT('Origin',(-16.9824432212938,-8.06673972627805,-0.12689255367991)); #48479=CARTESIAN_POINT('',(-16.8489432212938,-8.06673972627805,-0.167)); #48480=CARTESIAN_POINT('Origin',(-16.9824432212938,-8.06673972627805,-0.167)); #48481=CARTESIAN_POINT('',(-16.9824432212938,-8.06673972627805,-0.0867851073598208)); #48482=CARTESIAN_POINT('',(-16.9156932212938,-8.06673972627805,-0.12689255367991)); #48483=CARTESIAN_POINT('Origin',(-16.9824432212938,-8.06673972627805,-0.5835)); #48484=CARTESIAN_POINT('',(-16.8489432212938,-8.06673972627805,-1.)); #48485=CARTESIAN_POINT('Origin',(-16.9824432212938,-8.06673972627805,-1.)); #48486=CARTESIAN_POINT('',(-16.8489432212938,-8.06673972627805,-0.5835)); #48487=CARTESIAN_POINT('Origin',(-15.9819998983155,-11.8151650216252,-0.12689255367991)); #48488=CARTESIAN_POINT('',(-15.8484998983155,-11.8151650216252,-0.167)); #48489=CARTESIAN_POINT('Origin',(-15.9819998983155,-11.8151650216252,-0.167)); #48490=CARTESIAN_POINT('',(-15.9819998983155,-11.8151650216252,-0.0867851073598208)); #48491=CARTESIAN_POINT('',(-15.9152498983155,-11.8151650216252,-0.12689255367991)); #48492=CARTESIAN_POINT('Origin',(-15.9819998983155,-11.8151650216252,-0.5835)); #48493=CARTESIAN_POINT('',(-15.8484998983155,-11.8151650216252,-1.)); #48494=CARTESIAN_POINT('Origin',(-15.9819998983155,-11.8151650216252,-1.)); #48495=CARTESIAN_POINT('',(-15.8484998983155,-11.8151650216252,-0.5835)); #48496=CARTESIAN_POINT('Origin',(-15.1603700009634,-15.6068009942718,-0.12689255367991)); #48497=CARTESIAN_POINT('',(-15.0268700009634,-15.6068009942718,-0.167)); #48498=CARTESIAN_POINT('Origin',(-15.1603700009634,-15.6068009942718,-0.167)); #48499=CARTESIAN_POINT('',(-15.1603700009634,-15.6068009942718,-0.0867851073598208)); #48500=CARTESIAN_POINT('',(-15.0936200009634,-15.6068009942718,-0.12689255367991)); #48501=CARTESIAN_POINT('Origin',(-15.1603700009634,-15.6068009942718,-0.5835)); #48502=CARTESIAN_POINT('',(-15.0268700009634,-15.6068009942718,-1.)); #48503=CARTESIAN_POINT('Origin',(-15.1603700009634,-15.6068009942718,-1.)); #48504=CARTESIAN_POINT('',(-15.0268700009634,-15.6068009942718,-0.5835)); #48505=CARTESIAN_POINT('Origin',(-14.2671303713588,-21.35660474557,-0.12689255367991)); #48506=CARTESIAN_POINT('',(-14.1336303713588,-21.35660474557,-0.167)); #48507=CARTESIAN_POINT('Origin',(-14.2671303713588,-21.35660474557,-0.167)); #48508=CARTESIAN_POINT('',(-14.2671303713588,-21.35660474557,-0.0867851073598208)); #48509=CARTESIAN_POINT('',(-14.2003803713588,-21.35660474557,-0.12689255367991)); #48510=CARTESIAN_POINT('Origin',(-14.2671303713588,-21.35660474557,-0.5835)); #48511=CARTESIAN_POINT('',(-14.1336303713588,-21.35660474557,-1.)); #48512=CARTESIAN_POINT('Origin',(-14.2671303713588,-21.35660474557,-1.)); #48513=CARTESIAN_POINT('',(-14.1336303713588,-21.35660474557,-0.5835)); #48514=CARTESIAN_POINT('Origin',(-13.8997266171271,-25.2188053512697,-0.12689255367991)); #48515=CARTESIAN_POINT('',(-13.7662266171271,-25.2188053512697,-0.167)); #48516=CARTESIAN_POINT('Origin',(-13.8997266171271,-25.2188053512697,-0.167)); #48517=CARTESIAN_POINT('',(-13.8997266171271,-25.2188053512697,-0.0867851073598208)); #48518=CARTESIAN_POINT('',(-13.8329766171271,-25.2188053512697,-0.12689255367991)); #48519=CARTESIAN_POINT('Origin',(-13.8997266171271,-25.2188053512697,-0.5835)); #48520=CARTESIAN_POINT('',(-13.7662266171271,-25.2188053512697,-1.)); #48521=CARTESIAN_POINT('Origin',(-13.8997266171271,-25.2188053512697,-1.)); #48522=CARTESIAN_POINT('',(-13.7662266171271,-25.2188053512697,-0.5835)); #48523=CARTESIAN_POINT('Origin',(-13.7158179933973,-29.0940803938193,-0.12689255367991)); #48524=CARTESIAN_POINT('',(-13.5823179933973,-29.0940803938193,-0.167)); #48525=CARTESIAN_POINT('Origin',(-13.7158179933973,-29.0940803938193,-0.167)); #48526=CARTESIAN_POINT('',(-13.7158179933973,-29.0940803938193,-0.0867851073598208)); #48527=CARTESIAN_POINT('',(-13.6490679933973,-29.0940803938193,-0.12689255367991)); #48528=CARTESIAN_POINT('Origin',(-13.7158179933973,-29.0940803938193,-0.5835)); #48529=CARTESIAN_POINT('',(-13.5823179933973,-29.0940803938193,-1.)); #48530=CARTESIAN_POINT('Origin',(-13.7158179933973,-29.0940803938193,-1.)); #48531=CARTESIAN_POINT('',(-13.5823179933973,-29.0940803938193,-0.5835)); #48532=CARTESIAN_POINT('Origin',(-13.7158179933973,-32.9737168506656,-0.12689255367991)); #48533=CARTESIAN_POINT('',(-13.5823179933973,-32.9737168506656,-0.167)); #48534=CARTESIAN_POINT('Origin',(-13.7158179933973,-32.9737168506656,-0.167)); #48535=CARTESIAN_POINT('',(-13.7158179933973,-32.9737168506656,-0.0867851073598208)); #48536=CARTESIAN_POINT('',(-13.6490679933973,-32.9737168506656,-0.12689255367991)); #48537=CARTESIAN_POINT('Origin',(-13.7158179933973,-32.9737168506656,-0.5835)); #48538=CARTESIAN_POINT('',(-13.5823179933973,-32.9737168506656,-1.)); #48539=CARTESIAN_POINT('Origin',(-13.7158179933973,-32.9737168506656,-1.)); #48540=CARTESIAN_POINT('',(-13.5823179933973,-32.9737168506656,-0.5835)); #48541=CARTESIAN_POINT('Origin',(-13.8997266171271,-36.8489918932152,-0.12689255367991)); #48542=CARTESIAN_POINT('',(-13.7662266171271,-36.8489918932152,-0.167)); #48543=CARTESIAN_POINT('Origin',(-13.8997266171271,-36.8489918932152,-0.167)); #48544=CARTESIAN_POINT('',(-13.8997266171271,-36.8489918932152,-0.0867851073598208)); #48545=CARTESIAN_POINT('',(-13.8329766171271,-36.8489918932152,-0.12689255367991)); #48546=CARTESIAN_POINT('Origin',(-13.8997266171271,-36.8489918932152,-0.5835)); #48547=CARTESIAN_POINT('',(-13.7662266171271,-36.8489918932152,-1.)); #48548=CARTESIAN_POINT('Origin',(-13.8997266171271,-36.8489918932152,-1.)); #48549=CARTESIAN_POINT('',(-13.7662266171271,-36.8489918932152,-0.5835)); #48550=CARTESIAN_POINT('Origin',(-14.2671303713587,-40.7111924989149,-0.12689255367991)); #48551=CARTESIAN_POINT('',(-14.1336303713587,-40.7111924989149,-0.167)); #48552=CARTESIAN_POINT('Origin',(-14.2671303713587,-40.7111924989149,-0.167)); #48553=CARTESIAN_POINT('',(-14.2671303713587,-40.7111924989149,-0.0867851073598208)); #48554=CARTESIAN_POINT('',(-14.2003803713587,-40.7111924989149,-0.12689255367991)); #48555=CARTESIAN_POINT('Origin',(-14.2671303713587,-40.7111924989149,-0.5835)); #48556=CARTESIAN_POINT('',(-14.1336303713587,-40.7111924989149,-1.)); #48557=CARTESIAN_POINT('Origin',(-14.2671303713587,-40.7111924989149,-1.)); #48558=CARTESIAN_POINT('',(-14.1336303713587,-40.7111924989149,-0.5835)); #48559=CARTESIAN_POINT('Origin',(-14.817203199319,-44.5516350412829,-0.12689255367991)); #48560=CARTESIAN_POINT('',(-14.683703199319,-44.5516350412829,-0.167)); #48561=CARTESIAN_POINT('Origin',(-14.817203199319,-44.5516350412829,-0.167)); #48562=CARTESIAN_POINT('',(-14.817203199319,-44.5516350412829,-0.0867851073598208)); #48563=CARTESIAN_POINT('',(-14.750453199319,-44.5516350412829,-0.12689255367991)); #48564=CARTESIAN_POINT('Origin',(-14.817203199319,-44.5516350412829,-0.5835)); #48565=CARTESIAN_POINT('',(-14.683703199319,-44.5516350412829,-1.)); #48566=CARTESIAN_POINT('Origin',(-14.817203199319,-44.5516350412829,-1.)); #48567=CARTESIAN_POINT('',(-14.683703199319,-44.5516350412829,-0.5835)); #48568=CARTESIAN_POINT('Origin',(-15.5487083379645,-48.3616848138483,-0.12689255367991)); #48569=CARTESIAN_POINT('',(-15.4152083379645,-48.3616848138483,-0.167)); #48570=CARTESIAN_POINT('Origin',(-15.5487083379645,-48.3616848138483,-0.167)); #48571=CARTESIAN_POINT('',(-15.5487083379645,-48.3616848138483,-0.0867851073598208)); #48572=CARTESIAN_POINT('',(-15.4819583379645,-48.3616848138483,-0.12689255367991)); #48573=CARTESIAN_POINT('Origin',(-15.5487083379645,-48.3616848138483,-0.5835)); #48574=CARTESIAN_POINT('',(-15.4152083379645,-48.3616848138483,-1.)); #48575=CARTESIAN_POINT('Origin',(-15.5487083379645,-48.3616848138483,-1.)); #48576=CARTESIAN_POINT('',(-15.4152083379645,-48.3616848138483,-0.5835)); #48577=CARTESIAN_POINT('Origin',(-16.4600010986729,-52.1327754440998,-0.12689255367991)); #48578=CARTESIAN_POINT('',(-16.3265010986729,-52.1327754440998,-0.167)); #48579=CARTESIAN_POINT('Origin',(-16.4600010986729,-52.1327754440998,-0.167)); #48580=CARTESIAN_POINT('',(-16.4600010986729,-52.1327754440998,-0.0867851073598208)); #48581=CARTESIAN_POINT('',(-16.3932510986729,-52.1327754440998,-0.12689255367991)); #48582=CARTESIAN_POINT('Origin',(-16.4600010986729,-52.1327754440998,-0.5835)); #48583=CARTESIAN_POINT('',(-16.3265010986729,-52.1327754440998,-1.)); #48584=CARTESIAN_POINT('Origin',(-16.4600010986729,-52.1327754440998,-1.)); #48585=CARTESIAN_POINT('',(-16.3265010986729,-52.1327754440998,-0.5835)); #48586=CARTESIAN_POINT('Origin',(-16.9824432212937,-54.0010575182069,-0.12689255367991)); #48587=CARTESIAN_POINT('',(-16.8489432212937,-54.0010575182069,-0.167)); #48588=CARTESIAN_POINT('Origin',(-16.9824432212937,-54.0010575182069,-0.167)); #48589=CARTESIAN_POINT('',(-16.9824432212937,-54.0010575182069,-0.0867851073598208)); #48590=CARTESIAN_POINT('',(-16.9156932212937,-54.0010575182069,-0.12689255367991)); #48591=CARTESIAN_POINT('Origin',(-16.9824432212937,-54.0010575182069,-0.5835)); #48592=CARTESIAN_POINT('',(-16.8489432212937,-54.0010575182069,-1.)); #48593=CARTESIAN_POINT('Origin',(-16.9824432212937,-54.0010575182069,-1.)); #48594=CARTESIAN_POINT('',(-16.8489432212937,-54.0010575182069,-0.5835)); #48595=CARTESIAN_POINT('Origin',(-15.9819998983154,-50.2526322228597,-0.12689255367991)); #48596=CARTESIAN_POINT('',(-15.8484998983154,-50.2526322228597,-0.167)); #48597=CARTESIAN_POINT('Origin',(-15.9819998983154,-50.2526322228597,-0.167)); #48598=CARTESIAN_POINT('',(-15.9819998983154,-50.2526322228597,-0.0867851073598208)); #48599=CARTESIAN_POINT('',(-15.9152498983154,-50.2526322228597,-0.12689255367991)); #48600=CARTESIAN_POINT('Origin',(-15.9819998983154,-50.2526322228597,-0.5835)); #48601=CARTESIAN_POINT('',(-15.8484998983154,-50.2526322228597,-1.)); #48602=CARTESIAN_POINT('Origin',(-15.9819998983154,-50.2526322228597,-1.)); #48603=CARTESIAN_POINT('',(-15.8484998983154,-50.2526322228597,-0.5835)); #48604=CARTESIAN_POINT('Origin',(-15.1603700009633,-46.4609962502131,-0.12689255367991)); #48605=CARTESIAN_POINT('',(-15.0268700009633,-46.4609962502131,-0.167)); #48606=CARTESIAN_POINT('Origin',(-15.1603700009633,-46.4609962502131,-0.167)); #48607=CARTESIAN_POINT('',(-15.1603700009633,-46.4609962502131,-0.0867851073598208)); #48608=CARTESIAN_POINT('',(-15.0936200009633,-46.4609962502131,-0.12689255367991)); #48609=CARTESIAN_POINT('Origin',(-15.1603700009633,-46.4609962502131,-0.5835)); #48610=CARTESIAN_POINT('',(-15.0268700009633,-46.4609962502131,-1.)); #48611=CARTESIAN_POINT('Origin',(-15.1603700009633,-46.4609962502131,-1.)); #48612=CARTESIAN_POINT('',(-15.0268700009633,-46.4609962502131,-0.5835)); #48613=CARTESIAN_POINT('Origin',(-14.5194008509752,-42.6346745718837,-0.12689255367991)); #48614=CARTESIAN_POINT('',(-14.3859008509752,-42.6346745718837,-0.167)); #48615=CARTESIAN_POINT('Origin',(-14.5194008509752,-42.6346745718837,-0.167)); #48616=CARTESIAN_POINT('',(-14.5194008509752,-42.6346745718837,-0.0867851073598208)); #48617=CARTESIAN_POINT('',(-14.4526508509752,-42.6346745718837,-0.12689255367991)); #48618=CARTESIAN_POINT('Origin',(-14.5194008509752,-42.6346745718837,-0.5835)); #48619=CARTESIAN_POINT('',(-14.3859008509752,-42.6346745718837,-1.)); #48620=CARTESIAN_POINT('Origin',(-14.5194008509752,-42.6346745718837,-1.)); #48621=CARTESIAN_POINT('',(-14.3859008509752,-42.6346745718837,-0.5835)); #48622=CARTESIAN_POINT('Origin',(-14.0605335792641,-38.7822701455231,-0.12689255367991)); #48623=CARTESIAN_POINT('',(-13.9270335792641,-38.7822701455231,-0.167)); #48624=CARTESIAN_POINT('Origin',(-14.0605335792641,-38.7822701455231,-0.167)); #48625=CARTESIAN_POINT('',(-14.0605335792641,-38.7822701455231,-0.0867851073598208)); #48626=CARTESIAN_POINT('',(-13.9937835792641,-38.7822701455231,-0.12689255367991)); #48627=CARTESIAN_POINT('Origin',(-14.0605335792641,-38.7822701455231,-0.5835)); #48628=CARTESIAN_POINT('',(-13.9270335792641,-38.7822701455231,-1.)); #48629=CARTESIAN_POINT('Origin',(-14.0605335792641,-38.7822701455231,-1.)); #48630=CARTESIAN_POINT('',(-13.9270335792641,-38.7822701455231,-0.5835)); #48631=CARTESIAN_POINT('Origin',(-13.7847998857333,-34.9124445722521,-0.12689255367991)); #48632=CARTESIAN_POINT('',(-13.6512998857333,-34.9124445722521,-0.167)); #48633=CARTESIAN_POINT('Origin',(-13.7847998857333,-34.9124445722521,-0.167)); #48634=CARTESIAN_POINT('',(-13.7847998857333,-34.9124445722521,-0.0867851073598208)); #48635=CARTESIAN_POINT('',(-13.7180498857333,-34.9124445722521,-0.12689255367991)); #48636=CARTESIAN_POINT('Origin',(-13.7847998857333,-34.9124445722521,-0.5835)); #48637=CARTESIAN_POINT('',(-13.6512998857333,-34.9124445722521,-1.)); #48638=CARTESIAN_POINT('Origin',(-13.7847998857333,-34.9124445722521,-1.)); #48639=CARTESIAN_POINT('',(-13.6512998857333,-34.9124445722521,-0.5835)); #48640=CARTESIAN_POINT('Origin',(-13.6928197196419,-31.0338986222425,-0.12689255367991)); #48641=CARTESIAN_POINT('',(-13.5593197196419,-31.0338986222425,-0.167)); #48642=CARTESIAN_POINT('Origin',(-13.6928197196419,-31.0338986222425,-0.167)); #48643=CARTESIAN_POINT('',(-13.6928197196419,-31.0338986222425,-0.0867851073598208)); #48644=CARTESIAN_POINT('',(-13.6260697196419,-31.0338986222425,-0.12689255367991)); #48645=CARTESIAN_POINT('Origin',(-13.6928197196419,-31.0338986222425,-0.5835)); #48646=CARTESIAN_POINT('',(-13.5593197196419,-31.0338986222425,-1.)); #48647=CARTESIAN_POINT('Origin',(-13.6928197196419,-31.0338986222425,-1.)); #48648=CARTESIAN_POINT('',(-13.5593197196419,-31.0338986222425,-0.5835)); #48649=CARTESIAN_POINT('Origin',(-13.7847998857334,-27.1553526722328,-0.12689255367991)); #48650=CARTESIAN_POINT('',(-13.6512998857334,-27.1553526722328,-0.167)); #48651=CARTESIAN_POINT('Origin',(-13.7847998857334,-27.1553526722328,-0.167)); #48652=CARTESIAN_POINT('',(-13.7847998857334,-27.1553526722328,-0.0867851073598208)); #48653=CARTESIAN_POINT('',(-13.7180498857334,-27.1553526722328,-0.12689255367991)); #48654=CARTESIAN_POINT('Origin',(-13.7847998857334,-27.1553526722328,-0.5835)); #48655=CARTESIAN_POINT('',(-13.6512998857334,-27.1553526722328,-1.)); #48656=CARTESIAN_POINT('Origin',(-13.7847998857334,-27.1553526722328,-1.)); #48657=CARTESIAN_POINT('',(-13.6512998857334,-27.1553526722328,-0.5835)); #48658=CARTESIAN_POINT('Origin',(-14.0605335792641,-23.2855270989618,-0.12689255367991)); #48659=CARTESIAN_POINT('',(-13.9270335792641,-23.2855270989618,-0.167)); #48660=CARTESIAN_POINT('Origin',(-14.0605335792641,-23.2855270989618,-0.167)); #48661=CARTESIAN_POINT('',(-14.0605335792641,-23.2855270989618,-0.0867851073598208)); #48662=CARTESIAN_POINT('',(-13.9937835792641,-23.2855270989618,-0.12689255367991)); #48663=CARTESIAN_POINT('Origin',(-14.0605335792641,-23.2855270989618,-0.5835)); #48664=CARTESIAN_POINT('',(-13.9270335792641,-23.2855270989618,-1.)); #48665=CARTESIAN_POINT('Origin',(-14.0605335792641,-23.2855270989618,-1.)); #48666=CARTESIAN_POINT('',(-13.9270335792641,-23.2855270989618,-0.5835)); #48667=CARTESIAN_POINT('Origin',(-14.5194008509753,-19.4331226726012,-0.12689255367991)); #48668=CARTESIAN_POINT('',(-14.3859008509753,-19.4331226726012,-0.167)); #48669=CARTESIAN_POINT('Origin',(-14.5194008509753,-19.4331226726012,-0.167)); #48670=CARTESIAN_POINT('',(-14.5194008509753,-19.4331226726012,-0.0867851073598208)); #48671=CARTESIAN_POINT('',(-14.4526508509753,-19.4331226726012,-0.12689255367991)); #48672=CARTESIAN_POINT('Origin',(-14.5194008509753,-19.4331226726012,-0.5835)); #48673=CARTESIAN_POINT('',(-14.3859008509753,-19.4331226726012,-1.)); #48674=CARTESIAN_POINT('Origin',(-14.5194008509753,-19.4331226726012,-1.)); #48675=CARTESIAN_POINT('',(-14.3859008509753,-19.4331226726012,-0.5835)); #48676=CARTESIAN_POINT('Origin',(-15.5487083379646,-13.7061124306366,-0.12689255367991)); #48677=CARTESIAN_POINT('',(-15.4152083379646,-13.7061124306366,-0.167)); #48678=CARTESIAN_POINT('Origin',(-15.5487083379646,-13.7061124306366,-0.167)); #48679=CARTESIAN_POINT('',(-15.5487083379646,-13.7061124306366,-0.0867851073598208)); #48680=CARTESIAN_POINT('',(-15.4819583379646,-13.7061124306366,-0.12689255367991)); #48681=CARTESIAN_POINT('Origin',(-15.5487083379646,-13.7061124306366,-0.5835)); #48682=CARTESIAN_POINT('',(-15.4152083379646,-13.7061124306366,-1.)); #48683=CARTESIAN_POINT('Origin',(-15.5487083379646,-13.7061124306366,-1.)); #48684=CARTESIAN_POINT('',(-15.4152083379646,-13.7061124306366,-0.5835)); #48685=CARTESIAN_POINT('Origin',(-16.460001098673,-9.93502180038514,-0.12689255367991)); #48686=CARTESIAN_POINT('',(-16.326501098673,-9.93502180038514,-0.167)); #48687=CARTESIAN_POINT('Origin',(-16.460001098673,-9.93502180038514,-0.167)); #48688=CARTESIAN_POINT('',(-16.460001098673,-9.93502180038514,-0.0867851073598208)); #48689=CARTESIAN_POINT('',(-16.393251098673,-9.93502180038514,-0.12689255367991)); #48690=CARTESIAN_POINT('Origin',(-16.460001098673,-9.93502180038514,-0.5835)); #48691=CARTESIAN_POINT('',(-16.326501098673,-9.93502180038514,-1.)); #48692=CARTESIAN_POINT('Origin',(-16.460001098673,-9.93502180038514,-1.)); #48693=CARTESIAN_POINT('',(-16.326501098673,-9.93502180038514,-0.5835)); #48694=CARTESIAN_POINT('Origin',(-14.8172031993191,-17.516162203202,-0.12689255367991)); #48695=CARTESIAN_POINT('',(-14.6837031993191,-17.516162203202,-0.167)); #48696=CARTESIAN_POINT('Origin',(-14.8172031993191,-17.516162203202,-0.167)); #48697=CARTESIAN_POINT('',(-14.8172031993191,-17.516162203202,-0.0867851073598208)); #48698=CARTESIAN_POINT('',(-14.7504531993191,-17.516162203202,-0.12689255367991)); #48699=CARTESIAN_POINT('Origin',(-14.8172031993191,-17.516162203202,-0.5835)); #48700=CARTESIAN_POINT('',(-14.6837031993191,-17.516162203202,-1.)); #48701=CARTESIAN_POINT('Origin',(-14.8172031993191,-17.516162203202,-1.)); #48702=CARTESIAN_POINT('',(-14.6837031993191,-17.516162203202,-0.5835)); #48703=CARTESIAN_POINT('Origin',(-3.13450367320561,-40.7432192769241,1.)); #48704=CARTESIAN_POINT('',(-2.68339985127586,-40.5275683046555,0.75)); #48705=CARTESIAN_POINT('',(-2.73809391037079,-41.0479475163921,0.75)); #48706=CARTESIAN_POINT('Origin',(-3.13450367320561,-40.7432192769241,0.75)); #48707=CARTESIAN_POINT('',(-2.73809391037079,-41.0479475163921,1.)); #48708=CARTESIAN_POINT('',(-2.73809391037056,-41.0479475163918,1.)); #48709=CARTESIAN_POINT('',(-2.68339985127586,-40.5275683046555,1.)); #48710=CARTESIAN_POINT('Origin',(-3.13450367320561,-40.7432192769241,1.)); #48711=CARTESIAN_POINT('',(-2.68339985127354,-40.5275683046604,1.)); #48712=CARTESIAN_POINT('Origin',(-4.58137445780076,-31.0338986302412,0.75)); #48713=CARTESIAN_POINT('',(-2.68339985127592,-21.540228955826,0.75)); #48714=CARTESIAN_POINT('',(-2.68339985127354,-26.1555318457849,0.75)); #48715=CARTESIAN_POINT('',(-2.73809391037086,-21.0198497440894,0.75)); #48716=CARTESIAN_POINT('Origin',(-3.13450367320567,-21.3245779835574,0.75)); #48717=CARTESIAN_POINT('',(-6.74047779761581,-2.19011399846608,0.75)); #48718=CARTESIAN_POINT('',(-3.77421885994856,-16.1452651091245,0.75)); #48719=CARTESIAN_POINT('',(-7.71589890311278,-2.50704752776321,0.75)); #48720=CARTESIAN_POINT('',(-13.3836461263366,-4.34861023385802,0.75)); #48721=CARTESIAN_POINT('',(-3.70339985127374,-21.3843713812983,0.75)); #48722=CARTESIAN_POINT('',(-2.75453516315176,-25.8484287628576,0.75)); #48723=CARTESIAN_POINT('',(-3.70339985127354,-40.6834258791846,0.75)); #48724=CARTESIAN_POINT('',(-3.70339985127359,-35.858662254713,0.75)); #48725=CARTESIAN_POINT('',(-7.71589890311203,-59.5607497327186,0.75)); #48726=CARTESIAN_POINT('',(-4.76078468907089,-45.6580304243922,0.75)); #48727=CARTESIAN_POINT('',(-6.74047779761529,-59.8776832620169,0.75)); #48728=CARTESIAN_POINT('',(-7.38740876417957,-59.667482648876,0.75)); #48729=CARTESIAN_POINT('',(-1.74567988677759,-36.3790066199271,0.75)); #48730=CARTESIAN_POINT('',(-3.29833589794961,-20.0561143383769,0.75)); #48731=CARTESIAN_POINT('Origin',(-3.46433589794961,-20.0561143383769,0.75)); #48732=CARTESIAN_POINT('',(-4.46264136652897,-14.5784877742676,0.75)); #48733=CARTESIAN_POINT('Origin',(-4.62864136652897,-14.5784877742676,0.75)); #48734=CARTESIAN_POINT('',(-5.62694683510833,-9.10086121015826,0.75)); #48735=CARTESIAN_POINT('Origin',(-5.79294683510833,-9.10086121015826,0.75)); #48736=CARTESIAN_POINT('',(-6.79125230368769,-3.62323464604894,0.75)); #48737=CARTESIAN_POINT('Origin',(-6.95725230368769,-3.62323464604894,0.75)); #48738=CARTESIAN_POINT('',(-3.88048863223921,-44.7504962041592,0.75)); #48739=CARTESIAN_POINT('Origin',(-4.04648863223921,-44.7504962041592,0.75)); #48740=CARTESIAN_POINT('',(-6.79125230368752,-58.4445626144326,0.75)); #48741=CARTESIAN_POINT('Origin',(-6.95725230368752,-58.4445626144326,0.75)); #48742=CARTESIAN_POINT('',(-5.6269468351082,-52.9669360503233,0.75)); #48743=CARTESIAN_POINT('Origin',(-5.7929468351082,-52.9669360503233,0.75)); #48744=CARTESIAN_POINT('',(-3.0273998512735,-28.2338986302402,0.75)); #48745=CARTESIAN_POINT('Origin',(-3.1933998512735,-28.2338986302402,0.75)); #48746=CARTESIAN_POINT('',(-3.02739985127347,-22.6338986302402,0.75)); #48747=CARTESIAN_POINT('Origin',(-3.19339985127347,-22.6338986302402,0.75)); #48748=CARTESIAN_POINT('',(-3.02739985127345,-36.6338986302414,0.75)); #48749=CARTESIAN_POINT('Origin',(-3.19339985127345,-36.6338986302414,0.75)); #48750=CARTESIAN_POINT('',(-3.02739985127352,-31.0338986302414,0.75)); #48751=CARTESIAN_POINT('Origin',(-3.19339985127352,-31.0338986302414,0.75)); #48752=CARTESIAN_POINT('',(-3.02739985127348,-33.8338986302414,0.75)); #48753=CARTESIAN_POINT('Origin',(-3.19339985127348,-33.8338986302414,0.75)); #48754=CARTESIAN_POINT('',(-3.02739985127342,-39.4338986302414,0.75)); #48755=CARTESIAN_POINT('Origin',(-3.19339985127342,-39.4338986302414,0.75)); #48756=CARTESIAN_POINT('',(-3.02739985127348,-25.4338986302402,0.75)); #48757=CARTESIAN_POINT('Origin',(-3.19339985127348,-25.4338986302402,0.75)); #48758=CARTESIAN_POINT('',(-5.04479410081853,-50.2281227682686,0.75)); #48759=CARTESIAN_POINT('Origin',(-5.21079410081853,-50.2281227682686,0.75)); #48760=CARTESIAN_POINT('',(-6.20909956939786,-55.7057493323779,0.75)); #48761=CARTESIAN_POINT('Origin',(-6.37509956939786,-55.7057493323779,0.75)); #48762=CARTESIAN_POINT('',(-4.46264136652887,-47.4893094862139,0.75)); #48763=CARTESIAN_POINT('Origin',(-4.62864136652887,-47.4893094862139,0.75)); #48764=CARTESIAN_POINT('',(-3.29833589794954,-42.0116829221046,0.75)); #48765=CARTESIAN_POINT('Origin',(-3.46433589794954,-42.0116829221046,0.75)); #48766=CARTESIAN_POINT('',(-6.20909956939801,-6.3620479281036,0.75)); #48767=CARTESIAN_POINT('Origin',(-6.37509956939801,-6.3620479281036,0.75)); #48768=CARTESIAN_POINT('',(-5.04479410081865,-11.8396744922129,0.75)); #48769=CARTESIAN_POINT('Origin',(-5.21079410081865,-11.8396744922129,0.75)); #48770=CARTESIAN_POINT('',(-3.88048863223929,-17.3173010563223,0.75)); #48771=CARTESIAN_POINT('Origin',(-4.04648863223929,-17.3173010563223,0.75)); #48772=CARTESIAN_POINT('Origin',(-2.68339985127354,-21.2771650613283,1.)); #48773=CARTESIAN_POINT('',(-2.68339985127592,-21.540228955826,1.)); #48774=CARTESIAN_POINT('',(-2.68339985127354,-26.1555318457845,1.)); #48775=CARTESIAN_POINT('',(-2.68339985127354,-21.540228955821,1.)); #48776=CARTESIAN_POINT('Origin',(-3.13450367320567,-21.3245779835574,1.)); #48777=CARTESIAN_POINT('',(-2.73809391037086,-21.0198497440894,1.)); #48778=CARTESIAN_POINT('Origin',(-3.13450367320567,-21.3245779835574,1.)); #48779=CARTESIAN_POINT('',(-2.73809391037086,-21.0198497440894,1.)); #48780=CARTESIAN_POINT('Origin',(-6.74047779761581,-2.19011399846608,1.)); #48781=CARTESIAN_POINT('',(-6.74047779761581,-2.19011399846608,1.)); #48782=CARTESIAN_POINT('',(-3.82113690772133,-15.9245330488948,1.)); #48783=CARTESIAN_POINT('',(-6.74047779761583,-2.19011399846598,0.5)); #48784=CARTESIAN_POINT('Origin',(-7.37041086610295,-31.0338986302408,1.)); #48785=CARTESIAN_POINT('',(-6.74047779761529,-59.8776832620169,1.)); #48786=CARTESIAN_POINT('',(-1.7925979345502,-36.5997386801561,1.)); #48787=CARTESIAN_POINT('',(-2.37764129073785,-61.2952547745441,1.)); #48788=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,1.)); #48789=CARTESIAN_POINT('',(-2.37764129073785,-0.77254248593735,1.)); #48790=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,1.)); #48791=CARTESIAN_POINT('',(-0.951056516295154,-0.309016994374935,1.)); #48792=CARTESIAN_POINT('',(-2.89782285910927,-9.84981077477657,0.999999999999997)); #48793=CARTESIAN_POINT('Origin',(-3.06382285910927,-9.84981077477657,0.999999999999997)); #48794=CARTESIAN_POINT('',(-3.6190407373342,-13.070132153402,0.999999999999997)); #48795=CARTESIAN_POINT('Origin',(-3.7850407373342,-13.070132153402,0.999999999999997)); #48796=CARTESIAN_POINT('',(-0.929134835645639,-12.4983750021033,0.999999999999997)); #48797=CARTESIAN_POINT('Origin',(-1.09513483564564,-12.4983750021033,0.999999999999997)); #48798=CARTESIAN_POINT('',(-2.27408781456994,-49.2835438148283,0.999999999999997)); #48799=CARTESIAN_POINT('Origin',(-2.44008781456994,-49.2835438148283,0.999999999999997)); #48800=CARTESIAN_POINT('',(-4.24277583836031,-51.9321080437055,0.999999999999997)); #48801=CARTESIAN_POINT('Origin',(-4.40877583836031,-51.9321080437055,0.999999999999997)); #48802=CARTESIAN_POINT('',(-1.55286993601288,-52.5038651919045,0.999999999999997)); #48803=CARTESIAN_POINT('Origin',(-1.71886993601288,-52.5038651919045,0.999999999999997)); #48804=CARTESIAN_POINT('',(-2.89782288702186,-52.2179866170301,0.999999999999997)); #48805=CARTESIAN_POINT('Origin',(-3.06382288702186,-52.2179866170301,0.999999999999997)); #48806=CARTESIAN_POINT('',(-0.929134863560949,-49.5694223897028,0.999999999999997)); #48807=CARTESIAN_POINT('Origin',(-1.09513486356095,-49.5694223897028,0.999999999999997)); #48808=CARTESIAN_POINT('',(-3.61904076590838,-48.9976652415038,0.999999999999997)); #48809=CARTESIAN_POINT('Origin',(-3.78504076590838,-48.9976652415038,0.999999999999997)); #48810=CARTESIAN_POINT('',(-2.27408778665464,-12.7842535769777,0.999999999999997)); #48811=CARTESIAN_POINT('Origin',(-2.44008778665464,-12.7842535769777,0.999999999999997)); #48812=CARTESIAN_POINT('',(-1.55286990810027,-9.56393219990219,0.999999999999997)); #48813=CARTESIAN_POINT('Origin',(-1.71886990810027,-9.56393219990219,0.999999999999997)); #48814=CARTESIAN_POINT('',(-4.24277580978883,-10.1356893512009,0.999999999999997)); #48815=CARTESIAN_POINT('Origin',(-4.40877580978883,-10.1356893512009,0.999999999999997)); #48816=CARTESIAN_POINT('Origin',(-3.70339985127374,-21.3843713812983,1.)); #48817=CARTESIAN_POINT('',(-7.71589890311278,-2.50704752776321,1.)); #48818=CARTESIAN_POINT('',(-7.71589890311278,-2.50704752776322,0.5)); #48819=CARTESIAN_POINT('',(-3.70339985127374,-21.3843713812983,1.)); #48820=CARTESIAN_POINT('',(-2.80145321092453,-25.6276967026279,1.)); #48821=CARTESIAN_POINT('',(-3.70339985127374,-21.3843713812983,1.)); #48822=CARTESIAN_POINT('Origin',(-3.70339985127354,-40.6834258791846,1.)); #48823=CARTESIAN_POINT('',(-3.70339985127354,-40.6834258791846,1.)); #48824=CARTESIAN_POINT('',(-3.70339985127359,-35.8586622547127,1.)); #48825=CARTESIAN_POINT('',(-3.70339985127354,-40.6834258791846,1.)); #48826=CARTESIAN_POINT('Origin',(-7.71589890311203,-59.5607497327186,1.)); #48827=CARTESIAN_POINT('',(-7.71589890311203,-59.5607497327186,1.)); #48828=CARTESIAN_POINT('',(-4.8077027368435,-45.8787624846212,1.)); #48829=CARTESIAN_POINT('',(-7.71589890311202,-59.5607497327185,0.5)); #48830=CARTESIAN_POINT('Origin',(-2.68339985127354,-40.7906321991543,1.)); #48831=CARTESIAN_POINT('',(-6.74047779761506,-59.8776832620158,0.5)); #48832=CARTESIAN_POINT('Origin',(-7.37041086610295,-31.0338986302408,1.)); #48833=CARTESIAN_POINT('',(-18.6498703383796,-6.05971020512937,1.)); #48834=CARTESIAN_POINT('',(-0.951056516295154,-0.309016994374935,1.)); #48835=CARTESIAN_POINT('',(-18.3408533440046,-7.01076672142453,1.)); #48836=CARTESIAN_POINT('',(-18.6498703383796,-6.05971020512937,1.)); #48837=CARTESIAN_POINT('',(-18.8223023879418,-7.1671989985319,1.)); #48838=CARTESIAN_POINT('',(-18.3408533440046,-7.01076672142453,1.)); #48839=CARTESIAN_POINT('',(-18.8223023879417,-54.9005982619494,1.)); #48840=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,1.)); #48841=CARTESIAN_POINT('',(-18.3408533440045,-55.0570305390571,1.)); #48842=CARTESIAN_POINT('',(-18.8223023879417,-54.9005982619494,1.)); #48843=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,1.)); #48844=CARTESIAN_POINT('',(-18.3408533440045,-55.0570305390571,1.)); #48845=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,1.)); #48846=CARTESIAN_POINT('',(-8.81879667824043,-58.6227287409545,0.999999999999997)); #48847=CARTESIAN_POINT('Origin',(-8.98479667824043,-58.6227287409545,0.999999999999997)); #48848=CARTESIAN_POINT('',(-14.5251357760114,-56.7686267747049,0.999999999999997)); #48849=CARTESIAN_POINT('Origin',(-14.6911357760114,-56.7686267747049,0.999999999999997)); #48850=CARTESIAN_POINT('',(-8.8187966782406,-3.44506851952699,0.999999999999997)); #48851=CARTESIAN_POINT('Origin',(-8.9847966782406,-3.44506851952699,0.999999999999997)); #48852=CARTESIAN_POINT('',(-14.5251357760115,-5.29917048577667,0.999999999999997)); #48853=CARTESIAN_POINT('Origin',(-14.6911357760115,-5.29917048577667,0.999999999999997)); #48854=CARTESIAN_POINT('',(-17.378305324897,-6.2262214689015,0.999999999999997)); #48855=CARTESIAN_POINT('Origin',(-17.544305324897,-6.2262214689015,0.999999999999997)); #48856=CARTESIAN_POINT('',(-11.6719662271261,-4.37211950265183,0.999999999999997)); #48857=CARTESIAN_POINT('Origin',(-11.8379662271261,-4.37211950265183,0.999999999999997)); #48858=CARTESIAN_POINT('',(-17.3783053248968,-55.8415757915801,0.999999999999997)); #48859=CARTESIAN_POINT('Origin',(-17.5443053248968,-55.8415757915801,0.999999999999997)); #48860=CARTESIAN_POINT('',(-11.6719662271259,-57.6956777578297,0.999999999999997)); #48861=CARTESIAN_POINT('Origin',(-11.8379662271259,-57.6956777578297,0.999999999999997)); #48862=CARTESIAN_POINT('Origin',(-12.9435312406086,-4.2056082388797,0.)); #48863=CARTESIAN_POINT('',(-2.37764129073785,-0.77254248593735,-1.)); #48864=CARTESIAN_POINT('',(-2.37764129073784,-0.772542485937344,-1.)); #48865=CARTESIAN_POINT('',(-18.6498703383796,-6.05971020512937,-1.)); #48866=CARTESIAN_POINT('',(-20.0685214950827,-6.52065790802582,-1.)); #48867=CARTESIAN_POINT('',(-18.6498703383796,-6.05971020512937,0.)); #48868=CARTESIAN_POINT('Origin',(-3.06382285910927,-9.84981077477657,63.1719088977276)); #48869=CARTESIAN_POINT('',(-2.89782285910927,-9.84981077477657,-0.999999999999997)); #48870=CARTESIAN_POINT('Origin',(-3.06382285910927,-9.84981077477657,-0.999999999999997)); #48871=CARTESIAN_POINT('',(-2.89782285910927,-9.84981077477657,63.1719088977276)); #48872=CARTESIAN_POINT('Origin',(-3.7850407373342,-13.070132153402,63.1719088977276)); #48873=CARTESIAN_POINT('',(-3.6190407373342,-13.070132153402,-0.999999999999997)); #48874=CARTESIAN_POINT('Origin',(-3.7850407373342,-13.070132153402,-0.999999999999997)); #48875=CARTESIAN_POINT('',(-3.6190407373342,-13.070132153402,63.1719088977276)); #48876=CARTESIAN_POINT('Origin',(-1.09513483564564,-12.4983750021033,63.1719088977276)); #48877=CARTESIAN_POINT('',(-0.929134835645639,-12.4983750021033,-0.999999999999997)); #48878=CARTESIAN_POINT('Origin',(-1.09513483564564,-12.4983750021033,-0.999999999999997)); #48879=CARTESIAN_POINT('',(-0.929134835645639,-12.4983750021033,63.1719088977276)); #48880=CARTESIAN_POINT('Origin',(-2.44008781456994,-49.2835438148283,63.1719088977276)); #48881=CARTESIAN_POINT('',(-2.27408781456994,-49.2835438148283,-0.999999999999997)); #48882=CARTESIAN_POINT('Origin',(-2.44008781456994,-49.2835438148283,-0.999999999999997)); #48883=CARTESIAN_POINT('',(-2.27408781456994,-49.2835438148283,63.1719088977276)); #48884=CARTESIAN_POINT('Origin',(-4.40877583836031,-51.9321080437055,63.1719088977276)); #48885=CARTESIAN_POINT('',(-4.24277583836031,-51.9321080437055,-0.999999999999997)); #48886=CARTESIAN_POINT('Origin',(-4.40877583836031,-51.9321080437055,-0.999999999999997)); #48887=CARTESIAN_POINT('',(-4.24277583836031,-51.9321080437055,63.1719088977276)); #48888=CARTESIAN_POINT('Origin',(-1.71886993601288,-52.5038651919045,63.1719088977276)); #48889=CARTESIAN_POINT('',(-1.55286993601288,-52.5038651919045,-0.999999999999997)); #48890=CARTESIAN_POINT('Origin',(-1.71886993601288,-52.5038651919045,-0.999999999999997)); #48891=CARTESIAN_POINT('',(-1.55286993601288,-52.5038651919045,63.1719088977276)); #48892=CARTESIAN_POINT('Origin',(-3.06382288702186,-52.2179866170301,63.1719088977276)); #48893=CARTESIAN_POINT('',(-2.89782288702186,-52.2179866170301,-0.999999999999997)); #48894=CARTESIAN_POINT('Origin',(-3.06382288702186,-52.2179866170301,-0.999999999999997)); #48895=CARTESIAN_POINT('',(-2.89782288702186,-52.2179866170301,63.1719088977276)); #48896=CARTESIAN_POINT('Origin',(-1.09513486356095,-49.5694223897028,63.1719088977276)); #48897=CARTESIAN_POINT('',(-0.929134863560949,-49.5694223897028,-0.999999999999997)); #48898=CARTESIAN_POINT('Origin',(-1.09513486356095,-49.5694223897028,-0.999999999999997)); #48899=CARTESIAN_POINT('',(-0.929134863560949,-49.5694223897028,63.1719088977276)); #48900=CARTESIAN_POINT('Origin',(-3.78504076590838,-48.9976652415038,63.1719088977276)); #48901=CARTESIAN_POINT('',(-3.61904076590838,-48.9976652415038,-0.999999999999997)); #48902=CARTESIAN_POINT('Origin',(-3.78504076590838,-48.9976652415038,-0.999999999999997)); #48903=CARTESIAN_POINT('',(-3.61904076590838,-48.9976652415038,63.1719088977276)); #48904=CARTESIAN_POINT('Origin',(-2.44008778665464,-12.7842535769777,63.1719088977276)); #48905=CARTESIAN_POINT('',(-2.27408778665464,-12.7842535769777,-0.999999999999997)); #48906=CARTESIAN_POINT('Origin',(-2.44008778665464,-12.7842535769777,-0.999999999999997)); #48907=CARTESIAN_POINT('',(-2.27408778665464,-12.7842535769777,63.1719088977276)); #48908=CARTESIAN_POINT('Origin',(-1.71886990810027,-9.56393219990219,63.1719088977276)); #48909=CARTESIAN_POINT('',(-1.55286990810027,-9.56393219990219,-0.999999999999997)); #48910=CARTESIAN_POINT('Origin',(-1.71886990810027,-9.56393219990219,-0.999999999999997)); #48911=CARTESIAN_POINT('',(-1.55286990810027,-9.56393219990219,63.1719088977276)); #48912=CARTESIAN_POINT('Origin',(-4.40877580978883,-10.1356893512009,63.1719088977276)); #48913=CARTESIAN_POINT('',(-4.24277580978883,-10.1356893512009,-0.999999999999997)); #48914=CARTESIAN_POINT('Origin',(-4.40877580978883,-10.1356893512009,-0.999999999999997)); #48915=CARTESIAN_POINT('',(-4.24277580978883,-10.1356893512009,63.1719088977276)); #48916=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,-1.)); #48917=CARTESIAN_POINT('',(-2.37764129073785,-61.2952547745441,-1.)); #48918=CARTESIAN_POINT('',(-2.37764129073784,-61.2952547745441,-1.)); #48919=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,-1.)); #48920=CARTESIAN_POINT('Origin',(-4.26474298737444,-31.0338986302407,-1.)); #48921=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,-1.)); #48922=CARTESIAN_POINT('',(-0.951056516295131,-61.7587802661065,-1.)); #48923=CARTESIAN_POINT('',(-18.3408533440045,-55.0570305390571,-1.)); #48924=CARTESIAN_POINT('',(-14.2931767128675,-42.5995628075976,-1.)); #48925=CARTESIAN_POINT('',(-18.8223023879417,-54.9005982619494,-1.)); #48926=CARTESIAN_POINT('',(-18.1858983047176,-55.1073784833519,-1.)); #48927=CARTESIAN_POINT('',(-18.8223023879418,-7.1671989985319,-1.)); #48928=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,-1.)); #48929=CARTESIAN_POINT('',(-18.3408533440046,-7.01076672142453,-1.)); #48930=CARTESIAN_POINT('',(-15.504983294014,-6.08933668622559,-1.)); #48931=CARTESIAN_POINT('',(-14.2931767128676,-19.468234452884,-1.)); #48932=CARTESIAN_POINT('',(-8.81879667824043,-58.6227287409545,-0.999999999999997)); #48933=CARTESIAN_POINT('Origin',(-8.98479667824043,-58.6227287409545,-0.999999999999997)); #48934=CARTESIAN_POINT('',(-14.5251357760114,-56.7686267747049,-0.999999999999997)); #48935=CARTESIAN_POINT('Origin',(-14.6911357760114,-56.7686267747049,-0.999999999999997)); #48936=CARTESIAN_POINT('',(-8.8187966782406,-3.44506851952699,-0.999999999999997)); #48937=CARTESIAN_POINT('Origin',(-8.9847966782406,-3.44506851952699,-0.999999999999997)); #48938=CARTESIAN_POINT('',(-14.5251357760115,-5.29917048577667,-0.999999999999997)); #48939=CARTESIAN_POINT('Origin',(-14.6911357760115,-5.29917048577667,-0.999999999999997)); #48940=CARTESIAN_POINT('',(-3.29833589794961,-20.0561143383769,-0.999999999999997)); #48941=CARTESIAN_POINT('Origin',(-3.46433589794961,-20.0561143383769,-0.999999999999997)); #48942=CARTESIAN_POINT('',(-4.46264136652897,-14.5784877742676,-0.999999999999997)); #48943=CARTESIAN_POINT('Origin',(-4.62864136652897,-14.5784877742676,-0.999999999999997)); #48944=CARTESIAN_POINT('',(-5.62694683510833,-9.10086121015826,-0.999999999999997)); #48945=CARTESIAN_POINT('Origin',(-5.79294683510833,-9.10086121015826,-0.999999999999997)); #48946=CARTESIAN_POINT('',(-6.79125230368769,-3.62323464604894,-0.999999999999997)); #48947=CARTESIAN_POINT('Origin',(-6.95725230368769,-3.62323464604894,-0.999999999999997)); #48948=CARTESIAN_POINT('',(-3.88048863223921,-44.7504962041592,-0.999999999999997)); #48949=CARTESIAN_POINT('Origin',(-4.04648863223921,-44.7504962041592,-0.999999999999997)); #48950=CARTESIAN_POINT('',(-6.79125230368752,-58.4445626144326,-0.999999999999997)); #48951=CARTESIAN_POINT('Origin',(-6.95725230368752,-58.4445626144326,-0.999999999999997)); #48952=CARTESIAN_POINT('',(-5.6269468351082,-52.9669360503233,-0.999999999999997)); #48953=CARTESIAN_POINT('Origin',(-5.7929468351082,-52.9669360503233,-0.999999999999997)); #48954=CARTESIAN_POINT('',(-3.0273998512735,-28.2338986302402,-0.999999999999997)); #48955=CARTESIAN_POINT('Origin',(-3.1933998512735,-28.2338986302402,-0.999999999999997)); #48956=CARTESIAN_POINT('',(-3.02739985127347,-22.6338986302402,-0.999999999999997)); #48957=CARTESIAN_POINT('Origin',(-3.19339985127347,-22.6338986302402,-0.999999999999997)); #48958=CARTESIAN_POINT('',(-3.02739985127345,-36.6338986302414,-0.999999999999997)); #48959=CARTESIAN_POINT('Origin',(-3.19339985127345,-36.6338986302414,-0.999999999999997)); #48960=CARTESIAN_POINT('',(-3.02739985127352,-31.0338986302414,-0.999999999999997)); #48961=CARTESIAN_POINT('Origin',(-3.19339985127352,-31.0338986302414,-0.999999999999997)); #48962=CARTESIAN_POINT('',(-3.02739985127348,-33.8338986302414,-0.999999999999997)); #48963=CARTESIAN_POINT('Origin',(-3.19339985127348,-33.8338986302414,-0.999999999999997)); #48964=CARTESIAN_POINT('',(-3.02739985127342,-39.4338986302414,-0.999999999999997)); #48965=CARTESIAN_POINT('Origin',(-3.19339985127342,-39.4338986302414,-0.999999999999997)); #48966=CARTESIAN_POINT('',(-3.02739985127348,-25.4338986302402,-0.999999999999997)); #48967=CARTESIAN_POINT('Origin',(-3.19339985127348,-25.4338986302402,-0.999999999999997)); #48968=CARTESIAN_POINT('',(-5.04479410081853,-50.2281227682686,-0.999999999999997)); #48969=CARTESIAN_POINT('Origin',(-5.21079410081853,-50.2281227682686,-0.999999999999997)); #48970=CARTESIAN_POINT('',(-6.20909956939786,-55.7057493323779,-0.999999999999997)); #48971=CARTESIAN_POINT('Origin',(-6.37509956939786,-55.7057493323779,-0.999999999999997)); #48972=CARTESIAN_POINT('',(-4.46264136652887,-47.4893094862139,-0.999999999999997)); #48973=CARTESIAN_POINT('Origin',(-4.62864136652887,-47.4893094862139,-0.999999999999997)); #48974=CARTESIAN_POINT('',(-3.29833589794954,-42.0116829221046,-0.999999999999997)); #48975=CARTESIAN_POINT('Origin',(-3.46433589794954,-42.0116829221046,-0.999999999999997)); #48976=CARTESIAN_POINT('',(-6.20909956939801,-6.3620479281036,-0.999999999999997)); #48977=CARTESIAN_POINT('Origin',(-6.37509956939801,-6.3620479281036,-0.999999999999997)); #48978=CARTESIAN_POINT('',(-5.04479410081865,-11.8396744922129,-0.999999999999997)); #48979=CARTESIAN_POINT('Origin',(-5.21079410081865,-11.8396744922129,-0.999999999999997)); #48980=CARTESIAN_POINT('',(-3.88048863223929,-17.3173010563223,-0.999999999999997)); #48981=CARTESIAN_POINT('Origin',(-4.04648863223929,-17.3173010563223,-0.999999999999997)); #48982=CARTESIAN_POINT('',(-17.378305324897,-6.2262214689015,-0.999999999999997)); #48983=CARTESIAN_POINT('Origin',(-17.544305324897,-6.2262214689015,-0.999999999999997)); #48984=CARTESIAN_POINT('',(-11.6719662271261,-4.37211950265183,-0.999999999999997)); #48985=CARTESIAN_POINT('Origin',(-11.8379662271261,-4.37211950265183,-0.999999999999997)); #48986=CARTESIAN_POINT('',(-17.3783053248968,-55.8415757915801,-0.999999999999997)); #48987=CARTESIAN_POINT('Origin',(-17.5443053248968,-55.8415757915801,-0.999999999999997)); #48988=CARTESIAN_POINT('',(-11.6719662271259,-57.6956777578297,-0.999999999999997)); #48989=CARTESIAN_POINT('Origin',(-11.8379662271259,-57.6956777578297,-0.999999999999997)); #48990=CARTESIAN_POINT('Origin',(-11.8379662271259,-57.6956777578297,64.5520507850165)); #48991=CARTESIAN_POINT('',(-11.6719662271259,-57.6956777578297,64.5520507850165)); #48992=CARTESIAN_POINT('Origin',(-17.5443053248968,-55.8415757915801,64.5520507850165)); #48993=CARTESIAN_POINT('',(-17.3783053248968,-55.8415757915801,64.5520507850165)); #48994=CARTESIAN_POINT('Origin',(-11.8379662271261,-4.37211950265183,64.5520507850165)); #48995=CARTESIAN_POINT('',(-11.6719662271261,-4.37211950265183,64.5520507850165)); #48996=CARTESIAN_POINT('Origin',(-17.544305324897,-6.2262214689015,64.5520507850165)); #48997=CARTESIAN_POINT('',(-17.378305324897,-6.2262214689015,64.5520507850165)); #48998=CARTESIAN_POINT('Origin',(-4.04648863223929,-17.3173010563223,64.5520507850165)); #48999=CARTESIAN_POINT('',(-3.88048863223929,-17.3173010563223,64.5520507850165)); #49000=CARTESIAN_POINT('Origin',(-5.21079410081865,-11.8396744922129,64.5520507850165)); #49001=CARTESIAN_POINT('',(-5.04479410081865,-11.8396744922129,64.5520507850165)); #49002=CARTESIAN_POINT('Origin',(-6.37509956939801,-6.3620479281036,64.5520507850165)); #49003=CARTESIAN_POINT('',(-6.20909956939801,-6.3620479281036,64.5520507850165)); #49004=CARTESIAN_POINT('Origin',(-3.46433589794954,-42.0116829221046,64.5520507850165)); #49005=CARTESIAN_POINT('',(-3.29833589794954,-42.0116829221046,64.5520507850165)); #49006=CARTESIAN_POINT('Origin',(-4.62864136652887,-47.4893094862139,64.5520507850165)); #49007=CARTESIAN_POINT('',(-4.46264136652887,-47.4893094862139,64.5520507850165)); #49008=CARTESIAN_POINT('Origin',(-6.37509956939786,-55.7057493323779,64.5520507850165)); #49009=CARTESIAN_POINT('',(-6.20909956939786,-55.7057493323779,64.5520507850165)); #49010=CARTESIAN_POINT('Origin',(-5.21079410081853,-50.2281227682686,64.5520507850165)); #49011=CARTESIAN_POINT('',(-5.04479410081853,-50.2281227682686,64.5520507850165)); #49012=CARTESIAN_POINT('Origin',(-3.19339985127348,-25.4338986302402,64.5520507850165)); #49013=CARTESIAN_POINT('',(-3.02739985127348,-25.4338986302402,64.5520507850165)); #49014=CARTESIAN_POINT('Origin',(-3.19339985127342,-39.4338986302414,64.5520507850165)); #49015=CARTESIAN_POINT('',(-3.02739985127342,-39.4338986302414,64.5520507850165)); #49016=CARTESIAN_POINT('Origin',(-3.19339985127348,-33.8338986302414,64.5520507850165)); #49017=CARTESIAN_POINT('',(-3.02739985127348,-33.8338986302414,64.5520507850165)); #49018=CARTESIAN_POINT('Origin',(-3.19339985127352,-31.0338986302414,64.5520507850165)); #49019=CARTESIAN_POINT('',(-3.02739985127352,-31.0338986302414,64.5520507850165)); #49020=CARTESIAN_POINT('Origin',(-3.19339985127345,-36.6338986302414,64.5520507850165)); #49021=CARTESIAN_POINT('',(-3.02739985127345,-36.6338986302414,64.5520507850165)); #49022=CARTESIAN_POINT('Origin',(-3.19339985127347,-22.6338986302402,64.5520507850165)); #49023=CARTESIAN_POINT('',(-3.02739985127347,-22.6338986302402,64.5520507850165)); #49024=CARTESIAN_POINT('Origin',(-3.1933998512735,-28.2338986302402,64.5520507850165)); #49025=CARTESIAN_POINT('',(-3.0273998512735,-28.2338986302402,64.5520507850165)); #49026=CARTESIAN_POINT('Origin',(-5.7929468351082,-52.9669360503233,64.5520507850165)); #49027=CARTESIAN_POINT('',(-5.6269468351082,-52.9669360503233,64.5520507850165)); #49028=CARTESIAN_POINT('Origin',(-6.95725230368752,-58.4445626144326,64.5520507850165)); #49029=CARTESIAN_POINT('',(-6.79125230368752,-58.4445626144326,64.5520507850165)); #49030=CARTESIAN_POINT('Origin',(-4.04648863223921,-44.7504962041592,64.5520507850165)); #49031=CARTESIAN_POINT('',(-3.88048863223921,-44.7504962041592,64.5520507850165)); #49032=CARTESIAN_POINT('Origin',(-6.95725230368769,-3.62323464604894,64.5520507850165)); #49033=CARTESIAN_POINT('',(-6.79125230368769,-3.62323464604894,64.5520507850165)); #49034=CARTESIAN_POINT('Origin',(-5.79294683510833,-9.10086121015826,64.5520507850165)); #49035=CARTESIAN_POINT('',(-5.62694683510833,-9.10086121015826,64.5520507850165)); #49036=CARTESIAN_POINT('Origin',(-4.62864136652897,-14.5784877742676,64.5520507850165)); #49037=CARTESIAN_POINT('',(-4.46264136652897,-14.5784877742676,64.5520507850165)); #49038=CARTESIAN_POINT('Origin',(-3.46433589794961,-20.0561143383769,64.5520507850165)); #49039=CARTESIAN_POINT('',(-3.29833589794961,-20.0561143383769,64.5520507850165)); #49040=CARTESIAN_POINT('Origin',(-14.6911357760115,-5.29917048577667,64.5520507850165)); #49041=CARTESIAN_POINT('',(-14.5251357760115,-5.29917048577667,64.5520507850165)); #49042=CARTESIAN_POINT('Origin',(-8.9847966782406,-3.44506851952699,64.5520507850165)); #49043=CARTESIAN_POINT('',(-8.8187966782406,-3.44506851952699,64.5520507850165)); #49044=CARTESIAN_POINT('Origin',(-14.6911357760114,-56.7686267747049,64.5520507850165)); #49045=CARTESIAN_POINT('',(-14.5251357760114,-56.7686267747049,64.5520507850165)); #49046=CARTESIAN_POINT('Origin',(-8.98479667824043,-58.6227287409545,64.5520507850165)); #49047=CARTESIAN_POINT('',(-8.81879667824043,-58.6227287409545,64.5520507850165)); #49048=CARTESIAN_POINT('Origin',(-0.951056516295131,-61.7587802661065,0.)); #49049=CARTESIAN_POINT('',(-18.6498703383796,-56.0080870553522,0.)); #49050=CARTESIAN_POINT('Origin',(-95.5125189086579,-31.0338986302408,0.)); #49051=CARTESIAN_POINT('',(-18.8223023879417,-54.9005982619494,0.)); #49052=CARTESIAN_POINT('',(-18.8223023879418,-7.1671989985319,0.)); #49053=CARTESIAN_POINT('Origin',(-18.3408533440045,-55.0570305390571,0.)); #49054=CARTESIAN_POINT('',(-18.3408533440045,-55.0570305390571,0.)); #49055=CARTESIAN_POINT('Origin',(-18.6498703383794,-56.0080870553522,0.)); #49056=CARTESIAN_POINT('Origin',(-18.3408533440046,-7.01076672142453,0.)); #49057=CARTESIAN_POINT('',(-18.3408533440046,-7.01076672142453,0.)); #49058=CARTESIAN_POINT('Origin',(-18.6498703383796,-6.05971020512937,0.)); #49059=CARTESIAN_POINT('',(0.,0.,0.)); #49060=CARTESIAN_POINT('Origin',(9.6828707624514,20.9122360166643,-60.8071686042321)); #49061=CARTESIAN_POINT('',(9.5168707624514,20.9122360166643,0.75)); #49062=CARTESIAN_POINT('Origin',(9.6828707624514,20.9122360166643,0.75)); #49063=CARTESIAN_POINT('',(9.5168707624514,20.9122360166643,0.)); #49064=CARTESIAN_POINT('',(9.5168707624514,20.9122360166643,-60.8071686042321)); #49065=CARTESIAN_POINT('Origin',(9.6828707624514,20.9122360166643,0.)); #49066=CARTESIAN_POINT('Origin',(45.577046036698,-8.70503744143317,-60.8071686042321)); #49067=CARTESIAN_POINT('',(45.411046036698,-8.70503744143317,0.75)); #49068=CARTESIAN_POINT('Origin',(45.577046036698,-8.70503744143317,0.75)); #49069=CARTESIAN_POINT('',(45.411046036698,-8.70503744143317,0.)); #49070=CARTESIAN_POINT('',(45.411046036698,-8.70503744143317,-60.8071686042321)); #49071=CARTESIAN_POINT('Origin',(45.577046036698,-8.70503744143317,0.)); #49072=CARTESIAN_POINT('Origin',(7.77854975018579,-21.5234327789623,-60.8071686042321)); #49073=CARTESIAN_POINT('',(7.61254975018579,-21.5234327789623,0.75)); #49074=CARTESIAN_POINT('Origin',(7.77854975018579,-21.5234327789623,0.75)); #49075=CARTESIAN_POINT('',(7.61254975018579,-21.5234327789623,0.)); #49076=CARTESIAN_POINT('',(7.61254975018579,-21.5234327789623,-60.8071686042321)); #49077=CARTESIAN_POINT('Origin',(7.77854975018579,-21.5234327789623,0.)); #49078=CARTESIAN_POINT('Origin',(9.68287076245137,-20.9122360166642,-60.8071686042321)); #49079=CARTESIAN_POINT('',(9.51687076245137,-20.9122360166642,0.75)); #49080=CARTESIAN_POINT('Origin',(9.68287076245137,-20.9122360166642,0.75)); #49081=CARTESIAN_POINT('',(9.51687076245137,-20.9122360166642,0.)); #49082=CARTESIAN_POINT('',(9.51687076245137,-20.9122360166642,-60.8071686042321)); #49083=CARTESIAN_POINT('Origin',(9.68287076245137,-20.9122360166642,0.)); #49084=CARTESIAN_POINT('Origin',(45.577046036698,8.70503744143326,-60.8071686042321)); #49085=CARTESIAN_POINT('',(45.411046036698,8.70503744143326,0.75)); #49086=CARTESIAN_POINT('Origin',(45.577046036698,8.70503744143326,0.75)); #49087=CARTESIAN_POINT('',(45.411046036698,8.70503744143326,0.)); #49088=CARTESIAN_POINT('',(45.411046036698,8.70503744143326,-60.8071686042321)); #49089=CARTESIAN_POINT('Origin',(45.577046036698,8.70503744143326,0.)); #49090=CARTESIAN_POINT('Origin',(7.77854975018582,21.5234327789624,-60.8071686042321)); #49091=CARTESIAN_POINT('',(7.61254975018582,21.5234327789624,0.75)); #49092=CARTESIAN_POINT('Origin',(7.77854975018582,21.5234327789624,0.75)); #49093=CARTESIAN_POINT('',(7.61254975018582,21.5234327789624,0.)); #49094=CARTESIAN_POINT('',(7.61254975018582,21.5234327789624,-60.8071686042321)); #49095=CARTESIAN_POINT('Origin',(7.77854975018582,21.5234327789624,0.)); #49096=CARTESIAN_POINT('Origin',(7.70442409334475,-19.0603825502306,-60.8071686042321)); #49097=CARTESIAN_POINT('',(7.53842409334475,-19.0603825502306,0.75)); #49098=CARTESIAN_POINT('Origin',(7.70442409334475,-19.0603825502306,0.75)); #49099=CARTESIAN_POINT('',(7.53842409334475,-19.0603825502306,0.)); #49100=CARTESIAN_POINT('',(7.53842409334475,-19.0603825502306,-60.8071686042321)); #49101=CARTESIAN_POINT('Origin',(7.70442409334475,-19.0603825502306,0.)); #49102=CARTESIAN_POINT('Origin',(6.50220741143679,-16.3579405124354,-60.8071686042321)); #49103=CARTESIAN_POINT('',(6.33620741143679,-16.3579405124354,0.75)); #49104=CARTESIAN_POINT('Origin',(6.50220741143679,-16.3579405124354,0.75)); #49105=CARTESIAN_POINT('',(6.33620741143679,-16.3579405124354,0.)); #49106=CARTESIAN_POINT('',(6.33620741143679,-16.3579405124354,-60.8071686042321)); #49107=CARTESIAN_POINT('Origin',(6.50220741143679,-16.3579405124354,0.)); #49108=CARTESIAN_POINT('Origin',(5.60472260991623,-11.9473967028326,-60.8071686042321)); #49109=CARTESIAN_POINT('',(5.43872260991623,-11.9473967028326,0.75)); #49110=CARTESIAN_POINT('Origin',(5.60472260991623,-11.9473967028326,0.75)); #49111=CARTESIAN_POINT('',(5.43872260991623,-11.9473967028326,0.)); #49112=CARTESIAN_POINT('',(5.43872260991623,-11.9473967028326,-60.8071686042321)); #49113=CARTESIAN_POINT('Origin',(5.60472260991623,-11.9473967028326,0.)); #49114=CARTESIAN_POINT('Origin',(4.59246443819118,-1.09646227540418E-12, -60.8071686042321)); #49115=CARTESIAN_POINT('',(4.42646443819118,-1.09648260454104E-12,0.75)); #49116=CARTESIAN_POINT('Origin',(4.59246443819118,-1.09646227540418E-12, 0.75)); #49117=CARTESIAN_POINT('',(4.42646443819118,-1.09648260454104E-12,0.)); #49118=CARTESIAN_POINT('',(4.42646443819118,-1.09648260454104E-12,-60.8071686042321)); #49119=CARTESIAN_POINT('Origin',(4.59246443819118,-1.09646227540418E-12, 0.)); #49120=CARTESIAN_POINT('Origin',(4.6558720165553,-3.0002295559828,-60.8071686042321)); #49121=CARTESIAN_POINT('',(4.4898720165553,-3.0002295559828,0.75)); #49122=CARTESIAN_POINT('Origin',(4.6558720165553,-3.0002295559828,0.75)); #49123=CARTESIAN_POINT('',(4.4898720165553,-3.0002295559828,0.)); #49124=CARTESIAN_POINT('',(4.4898720165553,-3.0002295559828,-60.8071686042321)); #49125=CARTESIAN_POINT('Origin',(4.6558720165553,-3.0002295559828,0.)); #49126=CARTESIAN_POINT('Origin',(8.9025782749956,19.950017001469,-60.8071686042321)); #49127=CARTESIAN_POINT('',(8.7365782749956,19.950017001469,0.75)); #49128=CARTESIAN_POINT('Origin',(8.9025782749956,19.950017001469,0.75)); #49129=CARTESIAN_POINT('',(8.7365782749956,19.950017001469,0.)); #49130=CARTESIAN_POINT('',(8.7365782749956,19.950017001469,-60.8071686042321)); #49131=CARTESIAN_POINT('Origin',(8.9025782749956,19.950017001469,0.)); #49132=CARTESIAN_POINT('Origin',(44.2118257805942,8.81692013831413,-60.8071686042321)); #49133=CARTESIAN_POINT('',(44.0458257805942,8.81692013831413,0.75)); #49134=CARTESIAN_POINT('Origin',(44.2118257805942,8.81692013831413,0.75)); #49135=CARTESIAN_POINT('',(44.0458257805942,8.81692013831413,0.)); #49136=CARTESIAN_POINT('',(44.0458257805942,8.81692013831413,-60.8071686042321)); #49137=CARTESIAN_POINT('Origin',(44.2118257805942,8.81692013831413,0.)); #49138=CARTESIAN_POINT('Origin',(44.2118257805944,-8.81692013831489,-60.8071686042321)); #49139=CARTESIAN_POINT('',(44.0458257805944,-8.81692013831489,0.75)); #49140=CARTESIAN_POINT('Origin',(44.2118257805944,-8.81692013831489,0.75)); #49141=CARTESIAN_POINT('',(44.0458257805944,-8.81692013831489,0.)); #49142=CARTESIAN_POINT('',(44.0458257805944,-8.81692013831489,-60.8071686042321)); #49143=CARTESIAN_POINT('Origin',(44.2118257805944,-8.81692013831489,0.)); #49144=CARTESIAN_POINT('Origin',(5.16245343584065,8.97926667076599,-60.8071686042321)); #49145=CARTESIAN_POINT('',(4.99645343584065,8.97926667076599,0.75)); #49146=CARTESIAN_POINT('Origin',(5.16245343584065,8.97926667076599,0.75)); #49147=CARTESIAN_POINT('',(4.99645343584065,8.97926667076599,0.)); #49148=CARTESIAN_POINT('',(4.99645343584065,8.97926667076599,-60.8071686042321)); #49149=CARTESIAN_POINT('Origin',(5.16245343584065,8.97926667076599,0.)); #49150=CARTESIAN_POINT('Origin',(6.5022074114363,16.3579405124333,-60.8071686042321)); #49151=CARTESIAN_POINT('',(6.3362074114363,16.3579405124333,0.75)); #49152=CARTESIAN_POINT('Origin',(6.5022074114363,16.3579405124333,0.75)); #49153=CARTESIAN_POINT('',(6.3362074114363,16.3579405124333,0.)); #49154=CARTESIAN_POINT('',(6.3362074114363,16.3579405124333,-60.8071686042321)); #49155=CARTESIAN_POINT('Origin',(6.5022074114363,16.3579405124333,0.)); #49156=CARTESIAN_POINT('Origin',(5.8727930895916,13.4237906319675,-60.8071686042321)); #49157=CARTESIAN_POINT('',(5.7067930895916,13.4237906319675,0.75)); #49158=CARTESIAN_POINT('Origin',(5.8727930895916,13.4237906319675,0.75)); #49159=CARTESIAN_POINT('',(5.7067930895916,13.4237906319675,0.)); #49160=CARTESIAN_POINT('',(5.7067930895916,13.4237906319675,-60.8071686042321)); #49161=CARTESIAN_POINT('Origin',(5.8727930895916,13.4237906319675,0.)); #49162=CARTESIAN_POINT('Origin',(5.36790747882378,10.4656681818955,-60.8071686042321)); #49163=CARTESIAN_POINT('',(5.20190747882378,10.4656681818955,0.75)); #49164=CARTESIAN_POINT('Origin',(5.36790747882378,10.4656681818955,0.75)); #49165=CARTESIAN_POINT('',(5.20190747882378,10.4656681818955,0.)); #49166=CARTESIAN_POINT('',(5.20190747882378,10.4656681818955,-60.8071686042321)); #49167=CARTESIAN_POINT('Origin',(5.36790747882378,10.4656681818955,0.)); #49168=CARTESIAN_POINT('Origin',(4.84598151667321,5.99510122060092,-60.8071686042321)); #49169=CARTESIAN_POINT('',(4.67998151667321,5.99510122060092,0.75)); #49170=CARTESIAN_POINT('Origin',(4.84598151667321,5.99510122060092,0.75)); #49171=CARTESIAN_POINT('',(4.67998151667321,5.99510122060092,0.)); #49172=CARTESIAN_POINT('',(4.67998151667321,5.99510122060092,-60.8071686042321)); #49173=CARTESIAN_POINT('Origin',(4.84598151667321,5.99510122060092,0.)); #49174=CARTESIAN_POINT('Origin',(23.243388269134,16.5189577178846,-60.8071686042321)); #49175=CARTESIAN_POINT('',(23.077388269134,16.5189577178846,0.75)); #49176=CARTESIAN_POINT('Origin',(23.243388269134,16.5189577178846,0.75)); #49177=CARTESIAN_POINT('',(23.077388269134,16.5189577178846,0.)); #49178=CARTESIAN_POINT('',(23.077388269134,16.5189577178846,-60.8071686042321)); #49179=CARTESIAN_POINT('Origin',(23.243388269134,16.5189577178846,0.)); #49180=CARTESIAN_POINT('Origin',(16.1077946458969,18.8374526303879,-60.8071686042321)); #49181=CARTESIAN_POINT('',(15.9417946458969,18.8374526303879,0.75)); #49182=CARTESIAN_POINT('Origin',(16.1077946458969,18.8374526303879,0.75)); #49183=CARTESIAN_POINT('',(15.9417946458969,18.8374526303879,0.)); #49184=CARTESIAN_POINT('',(15.9417946458969,18.8374526303879,-60.8071686042321)); #49185=CARTESIAN_POINT('Origin',(16.1077946458969,18.8374526303879,0.)); #49186=CARTESIAN_POINT('Origin',(26.0976257184288,15.5915597528832,-60.8071686042321)); #49187=CARTESIAN_POINT('',(25.9316257184288,15.5915597528832,0.75)); #49188=CARTESIAN_POINT('Origin',(26.0976257184288,15.5915597528832,0.75)); #49189=CARTESIAN_POINT('',(25.9316257184288,15.5915597528832,0.)); #49190=CARTESIAN_POINT('',(25.9316257184288,15.5915597528832,-60.8071686042321)); #49191=CARTESIAN_POINT('Origin',(26.0976257184288,15.5915597528832,0.)); #49192=CARTESIAN_POINT('Origin',(44.3496909500937,-3.97857113537715E-13, -60.8071686042321)); #49193=CARTESIAN_POINT('',(44.1836909500937,-3.9787744267458E-13,0.75)); #49194=CARTESIAN_POINT('Origin',(44.3496909500937,-3.97857113537715E-13, 0.75)); #49195=CARTESIAN_POINT('',(44.1836909500937,-3.9787744267458E-13,0.)); #49196=CARTESIAN_POINT('',(44.1836909500937,-3.9787744267458E-13,-60.8071686042321)); #49197=CARTESIAN_POINT('Origin',(44.3496909500937,-3.97857113537715E-13, 0.)); #49198=CARTESIAN_POINT('Origin',(4.98845221755835,-7.48885585756844,-60.8071686042321)); #49199=CARTESIAN_POINT('',(4.82245221755835,-7.48885585756844,0.75)); #49200=CARTESIAN_POINT('Origin',(4.98845221755835,-7.48885585756844,0.75)); #49201=CARTESIAN_POINT('',(4.82245221755835,-7.48885585756844,0.)); #49202=CARTESIAN_POINT('',(4.82245221755835,-7.48885585756844,-60.8071686042321)); #49203=CARTESIAN_POINT('Origin',(4.98845221755835,-7.48885585756844,0.)); #49204=CARTESIAN_POINT('Origin',(5.16245343584092,-8.9792666707681,-60.8071686042321)); #49205=CARTESIAN_POINT('',(4.99645343584092,-8.9792666707681,0.75)); #49206=CARTESIAN_POINT('Origin',(5.16245343584092,-8.9792666707681,0.75)); #49207=CARTESIAN_POINT('',(4.99645343584092,-8.9792666707681,0.)); #49208=CARTESIAN_POINT('',(4.99645343584092,-8.9792666707681,-60.8071686042321)); #49209=CARTESIAN_POINT('Origin',(5.16245343584092,-8.9792666707681,0.)); #49210=CARTESIAN_POINT('Origin',(44.6733239451715,-4.48613570830844,-60.8071686042321)); #49211=CARTESIAN_POINT('',(44.5073239451715,-4.48613570830844,0.75)); #49212=CARTESIAN_POINT('Origin',(44.6733239451715,-4.48613570830844,0.75)); #49213=CARTESIAN_POINT('',(44.5073239451715,-4.48613570830844,0.)); #49214=CARTESIAN_POINT('',(44.5073239451715,-4.48613570830844,-60.8071686042321)); #49215=CARTESIAN_POINT('Origin',(44.6733239451715,-4.48613570830844,0.)); #49216=CARTESIAN_POINT('Origin',(37.5145755156082,11.8819678928778,-60.8071686042321)); #49217=CARTESIAN_POINT('',(37.3485755156082,11.8819678928778,0.75)); #49218=CARTESIAN_POINT('Origin',(37.5145755156082,11.8819678928778,0.75)); #49219=CARTESIAN_POINT('',(37.3485755156082,11.8819678928778,0.)); #49220=CARTESIAN_POINT('',(37.3485755156082,11.8819678928778,-60.8071686042321)); #49221=CARTESIAN_POINT('Origin',(37.5145755156082,11.8819678928778,0.)); #49222=CARTESIAN_POINT('Origin',(30.3789818923711,14.2004628053812,-60.8071686042321)); #49223=CARTESIAN_POINT('',(30.2129818923711,14.2004628053812,0.75)); #49224=CARTESIAN_POINT('Origin',(30.3789818923711,14.2004628053812,0.75)); #49225=CARTESIAN_POINT('',(30.2129818923711,14.2004628053812,0.)); #49226=CARTESIAN_POINT('',(30.2129818923711,14.2004628053812,-60.8071686042321)); #49227=CARTESIAN_POINT('Origin',(30.3789818923711,14.2004628053812,0.)); #49228=CARTESIAN_POINT('Origin',(43.1549213773574,9.87047411522351,-60.8071686042321)); #49229=CARTESIAN_POINT('',(42.9889213773574,9.87047411522351,0.75)); #49230=CARTESIAN_POINT('Origin',(43.1549213773574,9.87047411522351,0.75)); #49231=CARTESIAN_POINT('',(42.9889213773574,9.87047411522351,0.)); #49232=CARTESIAN_POINT('',(42.9889213773574,9.87047411522351,-60.8071686042321)); #49233=CARTESIAN_POINT('Origin',(43.1549213773574,9.87047411522351,0.)); #49234=CARTESIAN_POINT('Origin',(44.3857054827172,-1.49998502402491,-60.8071686042321)); #49235=CARTESIAN_POINT('',(44.2197054827173,-1.49998502402491,0.75)); #49236=CARTESIAN_POINT('Origin',(44.3857054827172,-1.49998502402491,0.75)); #49237=CARTESIAN_POINT('',(44.2197054827173,-1.49998502402491,0.)); #49238=CARTESIAN_POINT('',(44.2197054827173,-1.49998502402491,-60.8071686042321)); #49239=CARTESIAN_POINT('Origin',(44.3857054827172,-1.49998502402491,0.)); #49240=CARTESIAN_POINT('Origin',(13.2535571966026,-19.7648505953912,-60.8071686042321)); #49241=CARTESIAN_POINT('',(13.0875571966026,-19.7648505953912,0.75)); #49242=CARTESIAN_POINT('Origin',(13.2535571966026,-19.7648505953912,0.75)); #49243=CARTESIAN_POINT('',(13.0875571966026,-19.7648505953912,0.)); #49244=CARTESIAN_POINT('',(13.0875571966026,-19.7648505953912,-60.8071686042321)); #49245=CARTESIAN_POINT('Origin',(13.2535571966026,-19.7648505953912,0.)); #49246=CARTESIAN_POINT('Origin',(4.73510494729806,-4.49866973121577,-60.8071686042321)); #49247=CARTESIAN_POINT('',(4.56910494729806,-4.49866973121577,0.75)); #49248=CARTESIAN_POINT('Origin',(4.73510494729806,-4.49866973121577,0.75)); #49249=CARTESIAN_POINT('',(4.56910494729806,-4.49866973121577,0.)); #49250=CARTESIAN_POINT('',(4.56910494729806,-4.49866973121577,-60.8071686042321)); #49251=CARTESIAN_POINT('Origin',(4.73510494729806,-4.49866973121577,0.)); #49252=CARTESIAN_POINT('Origin',(17.5349133705448,-18.373753647889,-60.8071686042321)); #49253=CARTESIAN_POINT('',(17.3689133705448,-18.373753647889,0.75)); #49254=CARTESIAN_POINT('Origin',(17.5349133705448,-18.373753647889,0.75)); #49255=CARTESIAN_POINT('',(17.3689133705448,-18.373753647889,0.)); #49256=CARTESIAN_POINT('',(17.3689133705448,-18.373753647889,-60.8071686042321)); #49257=CARTESIAN_POINT('Origin',(17.5349133705448,-18.373753647889,0.)); #49258=CARTESIAN_POINT('Origin',(20.3891508198396,-17.4463556828876,-60.8071686042321)); #49259=CARTESIAN_POINT('',(20.2231508198396,-17.4463556828876,0.75)); #49260=CARTESIAN_POINT('Origin',(20.3891508198396,-17.4463556828876,0.75)); #49261=CARTESIAN_POINT('',(20.2231508198396,-17.4463556828876,0.)); #49262=CARTESIAN_POINT('',(20.2231508198396,-17.4463556828876,-60.8071686042321)); #49263=CARTESIAN_POINT('Origin',(20.3891508198396,-17.4463556828876,0.)); #49264=CARTESIAN_POINT('Origin',(27.5247444430766,-15.1278607703839,-60.8071686042321)); #49265=CARTESIAN_POINT('',(27.3587444430767,-15.1278607703839,0.75)); #49266=CARTESIAN_POINT('Origin',(27.5247444430766,-15.1278607703839,0.75)); #49267=CARTESIAN_POINT('',(27.3587444430767,-15.1278607703839,0.)); #49268=CARTESIAN_POINT('',(27.3587444430767,-15.1278607703839,-60.8071686042321)); #49269=CARTESIAN_POINT('Origin',(27.5247444430766,-15.1278607703839,0.)); #49270=CARTESIAN_POINT('Origin',(33.2332193416663,-13.273064840381,-60.8071686042321)); #49271=CARTESIAN_POINT('',(33.0672193416663,-13.273064840381,0.75)); #49272=CARTESIAN_POINT('Origin',(33.2332193416663,-13.273064840381,0.75)); #49273=CARTESIAN_POINT('',(33.0672193416663,-13.273064840381,0.)); #49274=CARTESIAN_POINT('',(33.0672193416663,-13.273064840381,-60.8071686042321)); #49275=CARTESIAN_POINT('Origin',(33.2332193416663,-13.273064840381,0.)); #49276=CARTESIAN_POINT('Origin',(43.1549213773576,-9.87047411522426,-60.8071686042321)); #49277=CARTESIAN_POINT('',(42.9889213773576,-9.87047411522426,0.75)); #49278=CARTESIAN_POINT('Origin',(43.1549213773576,-9.87047411522426,0.75)); #49279=CARTESIAN_POINT('',(42.9889213773576,-9.87047411522426,0.)); #49280=CARTESIAN_POINT('',(42.9889213773576,-9.87047411522426,-60.8071686042321)); #49281=CARTESIAN_POINT('Origin',(43.1549213773576,-9.87047411522426,0.)); #49282=CARTESIAN_POINT('Origin',(28.951863167724,-14.6641617878832,-60.8071686042321)); #49283=CARTESIAN_POINT('',(28.785863167724,-14.6641617878832,0.75)); #49284=CARTESIAN_POINT('Origin',(28.951863167724,-14.6641617878832,0.75)); #49285=CARTESIAN_POINT('',(28.785863167724,-14.6641617878832,0.)); #49286=CARTESIAN_POINT('',(28.785863167724,-14.6641617878832,-60.8071686042321)); #49287=CARTESIAN_POINT('Origin',(28.951863167724,-14.6641617878832,0.)); #49288=CARTESIAN_POINT('Origin',(38.9416942402559,-11.418268910378,-60.8071686042321)); #49289=CARTESIAN_POINT('',(38.7756942402559,-11.418268910378,0.75)); #49290=CARTESIAN_POINT('Origin',(38.9416942402559,-11.418268910378,0.75)); #49291=CARTESIAN_POINT('',(38.7756942402559,-11.418268910378,0.)); #49292=CARTESIAN_POINT('',(38.7756942402559,-11.418268910378,-60.8071686042321)); #49293=CARTESIAN_POINT('Origin',(38.9416942402559,-11.418268910378,0.)); #49294=CARTESIAN_POINT('Origin',(36.0874567909611,-12.3456668753795,-60.8071686042321)); #49295=CARTESIAN_POINT('',(35.9214567909611,-12.3456668753795,0.75)); #49296=CARTESIAN_POINT('Origin',(36.0874567909611,-12.3456668753795,0.75)); #49297=CARTESIAN_POINT('',(35.9214567909611,-12.3456668753795,0.)); #49298=CARTESIAN_POINT('',(35.9214567909611,-12.3456668753795,-60.8071686042321)); #49299=CARTESIAN_POINT('Origin',(36.0874567909611,-12.3456668753795,0.)); #49300=CARTESIAN_POINT('Origin',(31.8061006170188,-13.7367638228817,-60.8071686042321)); #49301=CARTESIAN_POINT('',(31.6401006170188,-13.7367638228817,0.75)); #49302=CARTESIAN_POINT('Origin',(31.8061006170188,-13.7367638228817,0.75)); #49303=CARTESIAN_POINT('',(31.6401006170188,-13.7367638228817,0.)); #49304=CARTESIAN_POINT('',(31.6401006170188,-13.7367638228817,-60.8071686042321)); #49305=CARTESIAN_POINT('Origin',(31.8061006170188,-13.7367638228817,0.)); #49306=CARTESIAN_POINT('Origin',(21.816269544487,-16.9826567003868,-60.8071686042321)); #49307=CARTESIAN_POINT('',(21.650269544487,-16.9826567003868,0.75)); #49308=CARTESIAN_POINT('Origin',(21.816269544487,-16.9826567003868,0.75)); #49309=CARTESIAN_POINT('',(21.650269544487,-16.9826567003868,0.)); #49310=CARTESIAN_POINT('',(21.650269544487,-16.9826567003868,-60.8071686042321)); #49311=CARTESIAN_POINT('Origin',(21.816269544487,-16.9826567003868,0.)); #49312=CARTESIAN_POINT('Origin',(27.5247444430762,15.1278607703825,-60.8071686042321)); #49313=CARTESIAN_POINT('',(27.3587444430763,15.1278607703825,0.75)); #49314=CARTESIAN_POINT('Origin',(27.5247444430762,15.1278607703825,0.75)); #49315=CARTESIAN_POINT('',(27.3587444430763,15.1278607703825,0.)); #49316=CARTESIAN_POINT('',(27.3587444430763,15.1278607703825,-60.8071686042321)); #49317=CARTESIAN_POINT('Origin',(27.5247444430762,15.1278607703825,0.)); #49318=CARTESIAN_POINT('Origin',(4.60831810247371,1.50044975836977,-60.8071686042321)); #49319=CARTESIAN_POINT('',(4.44231810247372,1.50044975836977,0.75)); #49320=CARTESIAN_POINT('Origin',(4.60831810247371,1.50044975836977,0.75)); #49321=CARTESIAN_POINT('',(4.44231810247372,1.50044975836977,0.)); #49322=CARTESIAN_POINT('',(4.44231810247372,1.50044975836977,-60.8071686042321)); #49323=CARTESIAN_POINT('Origin',(4.60831810247371,1.50044975836977,0.)); #49324=CARTESIAN_POINT('Origin',(4.73510494729792,4.49866973121362,-60.8071686042321)); #49325=CARTESIAN_POINT('',(4.56910494729792,4.49866973121362,0.75)); #49326=CARTESIAN_POINT('Origin',(4.73510494729792,4.49866973121362,0.75)); #49327=CARTESIAN_POINT('',(4.56910494729792,4.49866973121362,0.)); #49328=CARTESIAN_POINT('',(4.56910494729792,4.49866973121362,-60.8071686042321)); #49329=CARTESIAN_POINT('Origin',(4.73510494729792,4.49866973121362,0.)); #49330=CARTESIAN_POINT('Origin',(14.6806759212495,19.3011516128886,-60.8071686042321)); #49331=CARTESIAN_POINT('',(14.5146759212495,19.3011516128886,0.75)); #49332=CARTESIAN_POINT('Origin',(14.6806759212495,19.3011516128886,0.75)); #49333=CARTESIAN_POINT('',(14.5146759212495,19.3011516128886,0.)); #49334=CARTESIAN_POINT('',(14.5146759212495,19.3011516128886,-60.8071686042321)); #49335=CARTESIAN_POINT('Origin',(14.6806759212495,19.3011516128886,0.)); #49336=CARTESIAN_POINT('Origin',(21.8162695444866,16.9826567003852,-60.8071686042321)); #49337=CARTESIAN_POINT('',(21.6502695444866,16.9826567003852,0.75)); #49338=CARTESIAN_POINT('Origin',(21.8162695444866,16.9826567003852,0.75)); #49339=CARTESIAN_POINT('',(21.6502695444866,16.9826567003852,0.)); #49340=CARTESIAN_POINT('',(21.6502695444866,16.9826567003852,-60.8071686042321)); #49341=CARTESIAN_POINT('Origin',(21.8162695444866,16.9826567003852,0.)); #49342=CARTESIAN_POINT('Origin',(41.7959316895505,10.4908709453758,-60.8071686042321)); #49343=CARTESIAN_POINT('',(41.6299316895505,10.4908709453758,0.75)); #49344=CARTESIAN_POINT('Origin',(41.7959316895505,10.4908709453758,0.75)); #49345=CARTESIAN_POINT('',(41.6299316895505,10.4908709453758,0.)); #49346=CARTESIAN_POINT('',(41.6299316895505,10.4908709453758,-60.8071686042321)); #49347=CARTESIAN_POINT('Origin',(41.7959316895505,10.4908709453758,0.)); #49348=CARTESIAN_POINT('Origin',(34.6603380663134,12.8093658578792,-60.8071686042321)); #49349=CARTESIAN_POINT('',(34.4943380663134,12.8093658578792,0.75)); #49350=CARTESIAN_POINT('Origin',(34.6603380663134,12.8093658578792,0.75)); #49351=CARTESIAN_POINT('',(34.4943380663134,12.8093658578792,0.)); #49352=CARTESIAN_POINT('',(34.4943380663134,12.8093658578792,-60.8071686042321)); #49353=CARTESIAN_POINT('Origin',(34.6603380663134,12.8093658578792,0.)); #49354=CARTESIAN_POINT('Origin',(28.9518631677237,14.6641617878819,-60.8071686042321)); #49355=CARTESIAN_POINT('',(28.7858631677237,14.6641617878819,0.75)); #49356=CARTESIAN_POINT('Origin',(28.9518631677237,14.6641617878819,0.75)); #49357=CARTESIAN_POINT('',(28.7858631677237,14.6641617878819,0.)); #49358=CARTESIAN_POINT('',(28.7858631677237,14.6641617878819,-60.8071686042321)); #49359=CARTESIAN_POINT('Origin',(28.9518631677237,14.6641617878819,0.)); #49360=CARTESIAN_POINT('Origin',(44.9152744200304,-5.96692332519338,-60.8071686042321)); #49361=CARTESIAN_POINT('',(44.7492744200304,-5.96692332519338,0.75)); #49362=CARTESIAN_POINT('Origin',(44.9152744200304,-5.96692332519338,0.75)); #49363=CARTESIAN_POINT('',(44.7492744200304,-5.96692332519338,0.)); #49364=CARTESIAN_POINT('',(44.7492744200304,-5.96692332519338,-60.8071686042321)); #49365=CARTESIAN_POINT('Origin',(44.9152744200304,-5.96692332519338,0.)); #49366=CARTESIAN_POINT('Origin',(24.6705069937814,16.0552587353839,-60.8071686042321)); #49367=CARTESIAN_POINT('',(24.5045069937814,16.0552587353839,0.75)); #49368=CARTESIAN_POINT('Origin',(24.6705069937814,16.0552587353839,0.75)); #49369=CARTESIAN_POINT('',(24.5045069937814,16.0552587353839,0.)); #49370=CARTESIAN_POINT('',(24.5045069937814,16.0552587353839,-60.8071686042321)); #49371=CARTESIAN_POINT('Origin',(24.6705069937814,16.0552587353839,0.)); #49372=CARTESIAN_POINT('Origin',(31.8061006170185,13.7367638228805,-60.8071686042321)); #49373=CARTESIAN_POINT('',(31.6401006170185,13.7367638228805,0.75)); #49374=CARTESIAN_POINT('Origin',(31.8061006170185,13.7367638228805,0.75)); #49375=CARTESIAN_POINT('',(31.6401006170185,13.7367638228805,0.)); #49376=CARTESIAN_POINT('',(31.6401006170185,13.7367638228805,-60.8071686042321)); #49377=CARTESIAN_POINT('Origin',(31.8061006170185,13.7367638228805,0.)); #49378=CARTESIAN_POINT('Origin',(36.0874567909608,12.3456668753785,-60.8071686042321)); #49379=CARTESIAN_POINT('',(35.9214567909608,12.3456668753785,0.75)); #49380=CARTESIAN_POINT('Origin',(36.0874567909608,12.3456668753785,0.75)); #49381=CARTESIAN_POINT('',(35.9214567909608,12.3456668753785,0.)); #49382=CARTESIAN_POINT('',(35.9214567909608,12.3456668753785,-60.8071686042321)); #49383=CARTESIAN_POINT('Origin',(36.0874567909608,12.3456668753785,0.)); #49384=CARTESIAN_POINT('Origin',(44.6733239451714,4.48613570830768,-60.8071686042321)); #49385=CARTESIAN_POINT('',(44.5073239451714,4.48613570830768,0.75)); #49386=CARTESIAN_POINT('Origin',(44.6733239451714,4.48613570830768,0.75)); #49387=CARTESIAN_POINT('',(44.5073239451714,4.48613570830768,0.)); #49388=CARTESIAN_POINT('',(44.5073239451714,4.48613570830768,-60.8071686042321)); #49389=CARTESIAN_POINT('Origin',(44.6733239451714,4.48613570830768,0.)); #49390=CARTESIAN_POINT('Origin',(18.9620320951917,17.9100546653866,-60.8071686042321)); #49391=CARTESIAN_POINT('',(18.7960320951917,17.9100546653866,0.75)); #49392=CARTESIAN_POINT('Origin',(18.9620320951917,17.9100546653866,0.75)); #49393=CARTESIAN_POINT('',(18.7960320951917,17.9100546653866,0.)); #49394=CARTESIAN_POINT('',(18.7960320951917,17.9100546653866,-60.8071686042321)); #49395=CARTESIAN_POINT('Origin',(18.9620320951917,17.9100546653866,0.)); #49396=CARTESIAN_POINT('Origin',(11.8252319012496,20.2246396590768,-60.8071686042321)); #49397=CARTESIAN_POINT('',(11.6592319012496,20.2246396590768,0.75)); #49398=CARTESIAN_POINT('Origin',(11.8252319012496,20.2246396590768,0.75)); #49399=CARTESIAN_POINT('',(11.6592319012496,20.2246396590768,0.)); #49400=CARTESIAN_POINT('',(11.6592319012496,20.2246396590768,-60.8071686042321)); #49401=CARTESIAN_POINT('Origin',(11.8252319012496,20.2246396590768,0.)); #49402=CARTESIAN_POINT('Origin',(4.65587201655522,3.00022955598065,-60.8071686042321)); #49403=CARTESIAN_POINT('',(4.48987201655522,3.00022955598065,0.75)); #49404=CARTESIAN_POINT('Origin',(4.65587201655522,3.00022955598065,0.75)); #49405=CARTESIAN_POINT('',(4.48987201655522,3.00022955598065,0.)); #49406=CARTESIAN_POINT('',(4.48987201655522,3.00022955598065,-60.8071686042321)); #49407=CARTESIAN_POINT('Origin',(4.65587201655522,3.00022955598065,0.)); #49408=CARTESIAN_POINT('Origin',(17.5349133705443,18.3737536478873,-60.8071686042321)); #49409=CARTESIAN_POINT('',(17.3689133705443,18.3737536478873,0.75)); #49410=CARTESIAN_POINT('Origin',(17.5349133705443,18.3737536478873,0.75)); #49411=CARTESIAN_POINT('',(17.3689133705443,18.3737536478873,0.)); #49412=CARTESIAN_POINT('',(17.3689133705443,18.3737536478873,-60.8071686042321)); #49413=CARTESIAN_POINT('Origin',(17.5349133705443,18.3737536478873,0.)); #49414=CARTESIAN_POINT('Origin',(23.2433882691344,-16.5189577178861,-60.8071686042321)); #49415=CARTESIAN_POINT('',(23.0773882691344,-16.5189577178861,0.75)); #49416=CARTESIAN_POINT('Origin',(23.2433882691344,-16.5189577178861,0.75)); #49417=CARTESIAN_POINT('',(23.0773882691344,-16.5189577178861,0.)); #49418=CARTESIAN_POINT('',(23.0773882691344,-16.5189577178861,-60.8071686042321)); #49419=CARTESIAN_POINT('Origin',(23.2433882691344,-16.5189577178861,0.)); #49420=CARTESIAN_POINT('Origin',(26.0976257184292,-15.5915597528846,-60.8071686042321)); #49421=CARTESIAN_POINT('',(25.9316257184292,-15.5915597528846,0.75)); #49422=CARTESIAN_POINT('Origin',(26.0976257184292,-15.5915597528846,0.75)); #49423=CARTESIAN_POINT('',(25.9316257184292,-15.5915597528846,0.)); #49424=CARTESIAN_POINT('',(25.9316257184292,-15.5915597528846,-60.8071686042321)); #49425=CARTESIAN_POINT('Origin',(26.0976257184292,-15.5915597528846,0.)); #49426=CARTESIAN_POINT('Origin',(34.6603380663137,-12.8093658578802,-60.8071686042321)); #49427=CARTESIAN_POINT('',(34.4943380663137,-12.8093658578802,0.75)); #49428=CARTESIAN_POINT('Origin',(34.6603380663137,-12.8093658578802,0.75)); #49429=CARTESIAN_POINT('',(34.4943380663137,-12.8093658578802,0.)); #49430=CARTESIAN_POINT('',(34.4943380663137,-12.8093658578802,-60.8071686042321)); #49431=CARTESIAN_POINT('Origin',(34.6603380663137,-12.8093658578802,0.)); #49432=CARTESIAN_POINT('Origin',(37.5145755156085,-11.8819678928788,-60.8071686042321)); #49433=CARTESIAN_POINT('',(37.3485755156085,-11.8819678928788,0.75)); #49434=CARTESIAN_POINT('Origin',(37.5145755156085,-11.8819678928788,0.75)); #49435=CARTESIAN_POINT('',(37.3485755156085,-11.8819678928788,0.)); #49436=CARTESIAN_POINT('',(37.3485755156085,-11.8819678928788,-60.8071686042321)); #49437=CARTESIAN_POINT('Origin',(37.5145755156085,-11.8819678928788,0.)); #49438=CARTESIAN_POINT('Origin',(41.7959316895507,-10.4908709453765,-60.8071686042321)); #49439=CARTESIAN_POINT('',(41.6299316895507,-10.4908709453765,0.75)); #49440=CARTESIAN_POINT('Origin',(41.7959316895507,-10.4908709453765,0.75)); #49441=CARTESIAN_POINT('',(41.6299316895507,-10.4908709453765,0.)); #49442=CARTESIAN_POINT('',(41.6299316895507,-10.4908709453765,-60.8071686042321)); #49443=CARTESIAN_POINT('Origin',(41.7959316895507,-10.4908709453765,0.)); #49444=CARTESIAN_POINT('Origin',(14.68067592125,-19.3011516128905,-60.8071686042321)); #49445=CARTESIAN_POINT('',(14.51467592125,-19.3011516128905,0.75)); #49446=CARTESIAN_POINT('Origin',(14.68067592125,-19.3011516128905,0.75)); #49447=CARTESIAN_POINT('',(14.51467592125,-19.3011516128905,0.)); #49448=CARTESIAN_POINT('',(14.51467592125,-19.3011516128905,-60.8071686042321)); #49449=CARTESIAN_POINT('Origin',(14.68067592125,-19.3011516128905,0.)); #49450=CARTESIAN_POINT('Origin',(40.3688129649033,-10.9545699278773,-60.8071686042321)); #49451=CARTESIAN_POINT('',(40.2028129649033,-10.9545699278773,0.75)); #49452=CARTESIAN_POINT('Origin',(40.3688129649033,-10.9545699278773,0.75)); #49453=CARTESIAN_POINT('',(40.2028129649033,-10.9545699278773,0.)); #49454=CARTESIAN_POINT('',(40.2028129649033,-10.9545699278773,-60.8071686042321)); #49455=CARTESIAN_POINT('Origin',(40.3688129649033,-10.9545699278773,0.)); #49456=CARTESIAN_POINT('Origin',(30.3789818923714,-14.2004628053824,-60.8071686042321)); #49457=CARTESIAN_POINT('',(30.2129818923714,-14.2004628053824,0.75)); #49458=CARTESIAN_POINT('Origin',(30.3789818923714,-14.2004628053824,0.75)); #49459=CARTESIAN_POINT('',(30.2129818923714,-14.2004628053824,0.)); #49460=CARTESIAN_POINT('',(30.2129818923714,-14.2004628053824,-60.8071686042321)); #49461=CARTESIAN_POINT('Origin',(30.3789818923714,-14.2004628053824,0.)); #49462=CARTESIAN_POINT('Origin',(24.6705069937818,-16.0552587353854,-60.8071686042321)); #49463=CARTESIAN_POINT('',(24.5045069937818,-16.0552587353854,0.75)); #49464=CARTESIAN_POINT('Origin',(24.6705069937818,-16.0552587353854,0.75)); #49465=CARTESIAN_POINT('',(24.5045069937818,-16.0552587353854,0.)); #49466=CARTESIAN_POINT('',(24.5045069937818,-16.0552587353854,-60.8071686042321)); #49467=CARTESIAN_POINT('Origin',(24.6705069937818,-16.0552587353854,0.)); #49468=CARTESIAN_POINT('Origin',(18.9620320951922,-17.9100546653883,-60.8071686042321)); #49469=CARTESIAN_POINT('',(18.7960320951922,-17.9100546653883,0.75)); #49470=CARTESIAN_POINT('Origin',(18.9620320951922,-17.9100546653883,0.75)); #49471=CARTESIAN_POINT('',(18.7960320951922,-17.9100546653883,0.)); #49472=CARTESIAN_POINT('',(18.7960320951922,-17.9100546653883,-60.8071686042321)); #49473=CARTESIAN_POINT('Origin',(18.9620320951922,-17.9100546653883,0.)); #49474=CARTESIAN_POINT('Origin',(16.1077946458974,-18.8374526303898,-60.8071686042321)); #49475=CARTESIAN_POINT('',(15.9417946458974,-18.8374526303898,0.75)); #49476=CARTESIAN_POINT('Origin',(16.1077946458974,-18.8374526303898,0.75)); #49477=CARTESIAN_POINT('',(15.9417946458974,-18.8374526303898,0.)); #49478=CARTESIAN_POINT('',(15.9417946458974,-18.8374526303898,-60.8071686042321)); #49479=CARTESIAN_POINT('Origin',(16.1077946458974,-18.8374526303898,0.)); #49480=CARTESIAN_POINT('Origin',(4.8459815166734,-5.99510122060305,-60.8071686042321)); #49481=CARTESIAN_POINT('',(4.6799815166734,-5.99510122060305,0.75)); #49482=CARTESIAN_POINT('Origin',(4.8459815166734,-5.99510122060305,0.75)); #49483=CARTESIAN_POINT('',(4.6799815166734,-5.99510122060305,0.)); #49484=CARTESIAN_POINT('',(4.6799815166734,-5.99510122060305,-60.8071686042321)); #49485=CARTESIAN_POINT('Origin',(4.8459815166734,-5.99510122060305,0.)); #49486=CARTESIAN_POINT('Origin',(11.8252319012502,-20.2246396590788,-60.8071686042321)); #49487=CARTESIAN_POINT('',(11.6592319012502,-20.2246396590788,0.75)); #49488=CARTESIAN_POINT('Origin',(11.8252319012502,-20.2246396590788,0.75)); #49489=CARTESIAN_POINT('',(11.6592319012502,-20.2246396590788,0.)); #49490=CARTESIAN_POINT('',(11.6592319012502,-20.2246396590788,-60.8071686042321)); #49491=CARTESIAN_POINT('Origin',(11.8252319012502,-20.2246396590788,0.)); #49492=CARTESIAN_POINT('Origin',(44.3857054827172,1.49998502402414,-60.8071686042321)); #49493=CARTESIAN_POINT('',(44.2197054827172,1.49998502402414,0.75)); #49494=CARTESIAN_POINT('Origin',(44.3857054827172,1.49998502402414,0.75)); #49495=CARTESIAN_POINT('',(44.2197054827172,1.49998502402414,0.)); #49496=CARTESIAN_POINT('',(44.2197054827172,1.49998502402414,-60.8071686042321)); #49497=CARTESIAN_POINT('Origin',(44.3857054827172,1.49998502402414,0.)); #49498=CARTESIAN_POINT('Origin',(38.9416942402556,11.4182689103771,-60.8071686042321)); #49499=CARTESIAN_POINT('',(38.7756942402556,11.4182689103771,0.75)); #49500=CARTESIAN_POINT('Origin',(38.9416942402556,11.4182689103771,0.75)); #49501=CARTESIAN_POINT('',(38.7756942402556,11.4182689103771,0.)); #49502=CARTESIAN_POINT('',(38.7756942402556,11.4182689103771,-60.8071686042321)); #49503=CARTESIAN_POINT('Origin',(38.9416942402556,11.4182689103771,0.)); #49504=CARTESIAN_POINT('Origin',(33.2332193416659,13.2730648403798,-60.8071686042321)); #49505=CARTESIAN_POINT('',(33.0672193416659,13.2730648403798,0.75)); #49506=CARTESIAN_POINT('Origin',(33.2332193416659,13.2730648403798,0.75)); #49507=CARTESIAN_POINT('',(33.0672193416659,13.2730648403798,0.)); #49508=CARTESIAN_POINT('',(33.0672193416659,13.2730648403798,-60.8071686042321)); #49509=CARTESIAN_POINT('Origin',(33.2332193416659,13.2730648403798,0.)); #49510=CARTESIAN_POINT('Origin',(40.368812964903,10.9545699278765,-60.8071686042321)); #49511=CARTESIAN_POINT('',(40.202812964903,10.9545699278765,0.75)); #49512=CARTESIAN_POINT('Origin',(40.368812964903,10.9545699278765,0.75)); #49513=CARTESIAN_POINT('',(40.202812964903,10.9545699278765,0.)); #49514=CARTESIAN_POINT('',(40.202812964903,10.9545699278765,-60.8071686042321)); #49515=CARTESIAN_POINT('Origin',(40.368812964903,10.9545699278765,0.)); #49516=CARTESIAN_POINT('Origin',(44.9152744200303,5.96692332519265,-60.8071686042321)); #49517=CARTESIAN_POINT('',(44.7492744200303,5.96692332519265,0.75)); #49518=CARTESIAN_POINT('Origin',(44.9152744200303,5.96692332519265,0.75)); #49519=CARTESIAN_POINT('',(44.7492744200303,5.96692332519265,0.)); #49520=CARTESIAN_POINT('',(44.7492744200303,5.96692332519265,-60.8071686042321)); #49521=CARTESIAN_POINT('Origin',(44.9152744200303,5.96692332519265,0.)); #49522=CARTESIAN_POINT('Origin',(6.1719992226059,-14.8941907496359,-60.8071686042321)); #49523=CARTESIAN_POINT('',(6.00599922260591,-14.8941907496359,0.75)); #49524=CARTESIAN_POINT('Origin',(6.1719992226059,-14.8941907496359,0.75)); #49525=CARTESIAN_POINT('',(6.00599922260591,-14.8941907496359,0.)); #49526=CARTESIAN_POINT('',(6.00599922260591,-14.8941907496359,-60.8071686042321)); #49527=CARTESIAN_POINT('Origin',(6.1719992226059,-14.8941907496359,0.)); #49528=CARTESIAN_POINT('Origin',(44.4936660822862,2.99651321547217,-60.8071686042321)); #49529=CARTESIAN_POINT('',(44.3276660822862,2.99651321547217,0.75)); #49530=CARTESIAN_POINT('Origin',(44.4936660822862,2.99651321547217,0.75)); #49531=CARTESIAN_POINT('',(44.3276660822862,2.99651321547217,0.)); #49532=CARTESIAN_POINT('',(44.3276660822862,2.99651321547217,-60.8071686042321)); #49533=CARTESIAN_POINT('Origin',(44.4936660822862,2.99651321547217,0.)); #49534=CARTESIAN_POINT('Origin',(44.4936660822862,-2.99651321547293,-60.8071686042321)); #49535=CARTESIAN_POINT('',(44.3276660822862,-2.99651321547293,0.75)); #49536=CARTESIAN_POINT('Origin',(44.4936660822862,-2.99651321547293,0.75)); #49537=CARTESIAN_POINT('',(44.3276660822862,-2.99651321547293,0.)); #49538=CARTESIAN_POINT('',(44.3276660822862,-2.99651321547293,-60.8071686042321)); #49539=CARTESIAN_POINT('Origin',(44.4936660822862,-2.99651321547293,0.)); #49540=CARTESIAN_POINT('Origin',(20.3891508198391,17.4463556828859,-60.8071686042321)); #49541=CARTESIAN_POINT('',(20.2231508198391,17.4463556828859,0.75)); #49542=CARTESIAN_POINT('Origin',(20.3891508198391,17.4463556828859,0.75)); #49543=CARTESIAN_POINT('',(20.2231508198391,17.4463556828859,0.)); #49544=CARTESIAN_POINT('',(20.2231508198391,17.4463556828859,-60.8071686042321)); #49545=CARTESIAN_POINT('Origin',(20.3891508198391,17.4463556828859,0.)); #49546=CARTESIAN_POINT('Origin',(13.253557196602,19.7648505953893,-60.8071686042321)); #49547=CARTESIAN_POINT('',(13.087557196602,19.7648505953893,0.75)); #49548=CARTESIAN_POINT('Origin',(13.253557196602,19.7648505953893,0.75)); #49549=CARTESIAN_POINT('',(13.087557196602,19.7648505953893,0.)); #49550=CARTESIAN_POINT('',(13.087557196602,19.7648505953893,-60.8071686042321)); #49551=CARTESIAN_POINT('Origin',(13.253557196602,19.7648505953893,0.)); #49552=CARTESIAN_POINT('Origin',(10.3386821981817,-20.3557612825817,-60.8071686042321)); #49553=CARTESIAN_POINT('',(10.1726821981818,-20.3557612825817,0.75)); #49554=CARTESIAN_POINT('Origin',(10.3386821981817,-20.3557612825817,0.75)); #49555=CARTESIAN_POINT('',(10.1726821981818,-20.3557612825817,0.)); #49556=CARTESIAN_POINT('',(10.1726821981818,-20.3557612825817,-60.8071686042321)); #49557=CARTESIAN_POINT('Origin',(10.3386821981817,-20.3557612825817,0.)); #49558=CARTESIAN_POINT('Origin',(4.98845221755814,7.48885585756632,-60.8071686042321)); #49559=CARTESIAN_POINT('',(4.82245221755814,7.48885585756632,0.75)); #49560=CARTESIAN_POINT('Origin',(4.98845221755814,7.48885585756632,0.75)); #49561=CARTESIAN_POINT('',(4.82245221755814,7.48885585756632,0.)); #49562=CARTESIAN_POINT('',(4.82245221755814,7.48885585756632,-60.8071686042321)); #49563=CARTESIAN_POINT('Origin',(4.98845221755814,7.48885585756632,0.)); #49564=CARTESIAN_POINT('Origin',(5.60472260991587,11.9473967028305,-60.8071686042321)); #49565=CARTESIAN_POINT('',(5.43872260991587,11.9473967028305,0.75)); #49566=CARTESIAN_POINT('Origin',(5.60472260991587,11.9473967028305,0.75)); #49567=CARTESIAN_POINT('',(5.43872260991587,11.9473967028305,0.)); #49568=CARTESIAN_POINT('',(5.43872260991587,11.9473967028305,-60.8071686042321)); #49569=CARTESIAN_POINT('Origin',(5.60472260991587,11.9473967028305,0.)); #49570=CARTESIAN_POINT('Origin',(6.17199922260545,14.8941907496339,-60.8071686042321)); #49571=CARTESIAN_POINT('',(6.00599922260545,14.8941907496339,0.75)); #49572=CARTESIAN_POINT('Origin',(6.17199922260545,14.8941907496339,0.75)); #49573=CARTESIAN_POINT('',(6.00599922260545,14.8941907496339,0.)); #49574=CARTESIAN_POINT('',(6.00599922260545,14.8941907496339,-60.8071686042321)); #49575=CARTESIAN_POINT('Origin',(6.17199922260545,14.8941907496339,0.)); #49576=CARTESIAN_POINT('Origin',(6.90065586379736,17.8030124642896,-60.8071686042321)); #49577=CARTESIAN_POINT('',(6.73465586379736,17.8030124642896,0.75)); #49578=CARTESIAN_POINT('Origin',(6.90065586379736,17.8030124642896,0.75)); #49579=CARTESIAN_POINT('',(6.73465586379736,17.8030124642896,0.)); #49580=CARTESIAN_POINT('',(6.73465586379736,17.8030124642896,-60.8071686042321)); #49581=CARTESIAN_POINT('Origin',(6.90065586379736,17.8030124642896,0.)); #49582=CARTESIAN_POINT('Origin',(44.8253098421232,7.45653041250288,-60.8071686042321)); #49583=CARTESIAN_POINT('',(44.6593098421232,7.45653041250288,0.75)); #49584=CARTESIAN_POINT('Origin',(44.8253098421232,7.45653041250288,0.75)); #49585=CARTESIAN_POINT('',(44.6593098421232,7.45653041250288,0.)); #49586=CARTESIAN_POINT('',(44.6593098421232,7.45653041250288,-60.8071686042321)); #49587=CARTESIAN_POINT('Origin',(44.8253098421232,7.45653041250288,0.)); #49588=CARTESIAN_POINT('Origin',(44.8253098421234,-7.45653041250363,-60.8071686042321)); #49589=CARTESIAN_POINT('',(44.6593098421234,-7.45653041250363,0.75)); #49590=CARTESIAN_POINT('Origin',(44.8253098421234,-7.45653041250363,0.75)); #49591=CARTESIAN_POINT('',(44.6593098421234,-7.45653041250363,0.)); #49592=CARTESIAN_POINT('',(44.6593098421234,-7.45653041250363,-60.8071686042321)); #49593=CARTESIAN_POINT('Origin',(44.8253098421234,-7.45653041250363,0.)); #49594=CARTESIAN_POINT('Origin',(7.70442409334417,19.0603825502285,-60.8071686042321)); #49595=CARTESIAN_POINT('',(7.53842409334417,19.0603825502285,0.75)); #49596=CARTESIAN_POINT('Origin',(7.70442409334417,19.0603825502285,0.75)); #49597=CARTESIAN_POINT('',(7.53842409334417,19.0603825502285,0.)); #49598=CARTESIAN_POINT('',(7.53842409334417,19.0603825502285,-60.8071686042321)); #49599=CARTESIAN_POINT('Origin',(7.70442409334417,19.0603825502285,0.)); #49600=CARTESIAN_POINT('Origin',(10.3386821981811,20.3557612825797,-60.8071686042321)); #49601=CARTESIAN_POINT('',(10.1726821981811,20.3557612825797,0.75)); #49602=CARTESIAN_POINT('Origin',(10.3386821981811,20.3557612825797,0.75)); #49603=CARTESIAN_POINT('',(10.1726821981811,20.3557612825797,0.)); #49604=CARTESIAN_POINT('',(10.1726821981811,20.3557612825797,-60.8071686042321)); #49605=CARTESIAN_POINT('Origin',(10.3386821981811,20.3557612825797,0.)); #49606=CARTESIAN_POINT('Origin',(4.60831810247377,-1.5004497583719,-60.8071686042321)); #49607=CARTESIAN_POINT('',(4.44231810247377,-1.5004497583719,0.75)); #49608=CARTESIAN_POINT('Origin',(4.60831810247377,-1.5004497583719,0.75)); #49609=CARTESIAN_POINT('',(4.44231810247377,-1.5004497583719,0.)); #49610=CARTESIAN_POINT('',(4.44231810247377,-1.5004497583719,-60.8071686042321)); #49611=CARTESIAN_POINT('Origin',(4.60831810247377,-1.5004497583719,0.)); #49612=CARTESIAN_POINT('Origin',(5.36790747882411,-10.4656681818976,-60.8071686042321)); #49613=CARTESIAN_POINT('',(5.20190747882411,-10.4656681818976,0.75)); #49614=CARTESIAN_POINT('Origin',(5.36790747882411,-10.4656681818976,0.75)); #49615=CARTESIAN_POINT('',(5.20190747882411,-10.4656681818976,0.)); #49616=CARTESIAN_POINT('',(5.20190747882411,-10.4656681818976,-60.8071686042321)); #49617=CARTESIAN_POINT('Origin',(5.36790747882411,-10.4656681818976,0.)); #49618=CARTESIAN_POINT('Origin',(5.87279308959202,-13.4237906319696,-60.8071686042321)); #49619=CARTESIAN_POINT('',(5.70679308959202,-13.4237906319696,0.75)); #49620=CARTESIAN_POINT('Origin',(5.87279308959202,-13.4237906319696,0.75)); #49621=CARTESIAN_POINT('',(5.70679308959202,-13.4237906319696,0.)); #49622=CARTESIAN_POINT('',(5.70679308959202,-13.4237906319696,-60.8071686042321)); #49623=CARTESIAN_POINT('Origin',(5.87279308959202,-13.4237906319696,0.)); #49624=CARTESIAN_POINT('Origin',(6.90065586379791,-17.8030124642916,-60.8071686042321)); #49625=CARTESIAN_POINT('',(6.73465586379791,-17.8030124642916,0.75)); #49626=CARTESIAN_POINT('Origin',(6.90065586379791,-17.8030124642916,0.75)); #49627=CARTESIAN_POINT('',(6.73465586379791,-17.8030124642916,0.)); #49628=CARTESIAN_POINT('',(6.73465586379791,-17.8030124642916,-60.8071686042321)); #49629=CARTESIAN_POINT('Origin',(6.90065586379791,-17.8030124642916,0.)); #49630=CARTESIAN_POINT('Origin',(8.9025782749962,-19.9500170014711,-60.8071686042321)); #49631=CARTESIAN_POINT('',(8.7365782749962,-19.9500170014711,0.75)); #49632=CARTESIAN_POINT('Origin',(8.9025782749962,-19.9500170014711,0.75)); #49633=CARTESIAN_POINT('',(8.7365782749962,-19.9500170014711,0.)); #49634=CARTESIAN_POINT('',(8.7365782749962,-19.9500170014711,-60.8071686042321)); #49635=CARTESIAN_POINT('Origin',(8.9025782749962,-19.9500170014711,0.)); #49636=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.375)); #49637=CARTESIAN_POINT('',(12.0687145583448,19.4348349930136,0.)); #49638=CARTESIAN_POINT('',(11.9528331854543,19.0781887994029,0.375)); #49639=CARTESIAN_POINT('Origin',(12.0687145583448,19.4348349930136,0.375)); #49640=CARTESIAN_POINT('',(8.18713898294069,16.9972926509144,0.375)); #49641=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.375)); #49642=CARTESIAN_POINT('',(7.82351769846492,17.0889690463305,0.)); #49643=CARTESIAN_POINT('Origin',(7.82351769846492,17.0889690463305,0.375)); #49644=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.)); #49645=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.375)); #49646=CARTESIAN_POINT('',(8.1871389829412,-16.9972926509164,0.375)); #49647=CARTESIAN_POINT('',(7.82351769846584,-17.0889690463325,0.)); #49648=CARTESIAN_POINT('Origin',(7.82351769846584,-17.0889690463325,0.375)); #49649=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.)); #49650=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.375)); #49651=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.375)); #49652=CARTESIAN_POINT('',(11.9528331854553,-19.0781887994047,0.375)); #49653=CARTESIAN_POINT('',(12.0687145583459,-19.4348349930154,0.)); #49654=CARTESIAN_POINT('Origin',(12.0687145583459,-19.4348349930154,0.375)); #49655=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.)); #49656=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.375)); #49657=CARTESIAN_POINT('Origin',(34.904277825881,-12.0151107128204,0.375)); #49658=CARTESIAN_POINT('',(41.8745325289247,-9.35603933795214,0.375)); #49659=CARTESIAN_POINT('',(41.9904139018153,-9.71268553156282,0.)); #49660=CARTESIAN_POINT('Origin',(41.9904139018153,-9.71268553156282,0.375)); #49661=CARTESIAN_POINT('',(34.904277825881,-12.0151107128204,0.)); #49662=CARTESIAN_POINT('',(34.7883964529904,-11.6584645192098,0.375)); #49663=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.375)); #49664=CARTESIAN_POINT('',(43.8581214185197,-6.00759250694706,0.375)); #49665=CARTESIAN_POINT('',(44.2265820218341,-5.93786601409699,0.)); #49666=CARTESIAN_POINT('Origin',(44.2265820218341,-5.93786601409699,0.375)); #49667=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.)); #49668=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.375)); #49669=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.375)); #49670=CARTESIAN_POINT('',(43.8581214185196,6.00759250694682,0.375)); #49671=CARTESIAN_POINT('',(44.2265820218341,5.93786601409675,0.)); #49672=CARTESIAN_POINT('Origin',(44.2265820218341,5.93786601409675,0.375)); #49673=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.)); #49674=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.375)); #49675=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.375)); #49676=CARTESIAN_POINT('',(41.8745325289241,9.356039337952,0.375)); #49677=CARTESIAN_POINT('',(41.9904139018147,9.71268553156266,0.)); #49678=CARTESIAN_POINT('Origin',(41.9904139018147,9.71268553156266,0.375)); #49679=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.)); #49680=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.375)); #49681=CARTESIAN_POINT('Origin',(19.9434281541452,16.8761854435454,0.375)); #49682=CARTESIAN_POINT('',(19.9434281541452,16.8761854435454,0.)); #49683=CARTESIAN_POINT('',(19.8275467812547,16.5195392499347,0.375)); #49684=CARTESIAN_POINT('Origin',(41.8745325289247,-9.35603933795214,0.75)); #49685=CARTESIAN_POINT('',(11.9528331854553,-19.0781887994047,0.75)); #49686=CARTESIAN_POINT('',(11.9528331854553,-19.0781887994047,0.75)); #49687=CARTESIAN_POINT('',(41.8745325289247,-9.35603933795214,0.75)); #49688=CARTESIAN_POINT('',(34.7883964529904,-11.6584645192098,0.75)); #49689=CARTESIAN_POINT('',(41.8745325289247,-9.35603933795214,0.75)); #49690=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.75)); #49691=CARTESIAN_POINT('',(8.1871389829412,-16.9972926509164,0.75)); #49692=CARTESIAN_POINT('',(8.1871389829412,-16.9972926509164,0.75)); #49693=CARTESIAN_POINT('Origin',(11.0427781370211,-16.2773273589155,0.75)); #49694=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.75)); #49695=CARTESIAN_POINT('',(8.18713898294069,16.9972926509144,0.75)); #49696=CARTESIAN_POINT('',(8.18713898294069,16.9972926509144,0.75)); #49697=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.75)); #49698=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.75)); #49699=CARTESIAN_POINT('',(11.9528331854543,19.0781887994029,0.75)); #49700=CARTESIAN_POINT('',(11.9528331854543,19.0781887994029,0.75)); #49701=CARTESIAN_POINT('Origin',(11.0427781370202,16.2773273589136,0.75)); #49702=CARTESIAN_POINT('Origin',(23.1660923364392,1.45749265107347E-14, 0.)); #49703=CARTESIAN_POINT('',(46.3264050096657,-9.51301817580546,0.)); #49704=CARTESIAN_POINT('',(46.3264050096657,9.51301817580549,0.)); #49705=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #49706=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.)); #49707=CARTESIAN_POINT('',(0.572628760151153,-24.3793212563061,0.)); #49708=CARTESIAN_POINT('',(7.24061993386298,22.21275958966,0.)); #49709=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #49710=CARTESIAN_POINT('',(46.3264050096657,9.51301817580549,0.)); #49711=CARTESIAN_POINT('Origin',(11.9528331854542,19.0781887994029,0.75)); #49712=CARTESIAN_POINT('',(41.8745325289241,9.356039337952,0.75)); #49713=CARTESIAN_POINT('',(41.8745325289241,9.356039337952,0.75)); #49714=CARTESIAN_POINT('',(19.8275467812547,16.5195392499347,0.75)); #49715=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.75)); #49716=CARTESIAN_POINT('',(43.8581214185196,6.00759250694682,0.75)); #49717=CARTESIAN_POINT('',(43.8581214185196,6.00759250694682,0.75)); #49718=CARTESIAN_POINT('Origin',(40.9644774804901,6.55517789746271,0.75)); #49719=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.75)); #49720=CARTESIAN_POINT('',(43.8581214185197,-6.00759250694706,0.75)); #49721=CARTESIAN_POINT('',(43.8581214185197,-6.00759250694706,0.75)); #49722=CARTESIAN_POINT('Origin',(75.6044644381912,2.28308587138483E-14, 0.75)); #49723=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.75)); #49724=CARTESIAN_POINT('Origin',(40.9644774804904,-6.55517789746292,0.75)); #49725=CARTESIAN_POINT('Origin',(7.24061993386299,-22.21275958966,0.)); #49726=CARTESIAN_POINT('',(46.3264050096657,-9.51301817580546,0.75)); #49727=CARTESIAN_POINT('',(46.3264050096657,-9.51301817580546,0.)); #49728=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.75)); #49729=CARTESIAN_POINT('',(0.572628760151153,-24.3793212563061,0.75)); #49730=CARTESIAN_POINT('',(7.24061993386299,-22.21275958966,0.)); #49731=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #49732=CARTESIAN_POINT('',(7.24061993386298,22.21275958966,0.75)); #49733=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.75)); #49734=CARTESIAN_POINT('',(7.24061993386298,22.21275958966,0.)); #49735=CARTESIAN_POINT('Origin',(46.3264050096657,9.51301817580549,0.)); #49736=CARTESIAN_POINT('',(46.3264050096657,9.5130181758055,0.75)); #49737=CARTESIAN_POINT('',(46.3264050096657,9.51301817580549,0.75)); #49738=CARTESIAN_POINT('',(46.3264050096657,9.51301817580549,0.)); #49739=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.)); #49740=CARTESIAN_POINT('Origin',(75.6044644381912,2.81990697644502E-14, 0.75)); #49741=CARTESIAN_POINT('Origin',(23.1660923364392,1.45749265107347E-14, 0.75)); #49742=CARTESIAN_POINT('',(0.,0.,0.)); #49743=CARTESIAN_POINT('Origin',(-91.9730030048751,164.443736639515,1.50000000016824)); #49744=CARTESIAN_POINT('',(-92.0191190573854,164.443736639515,1.34650000016824)); #49745=CARTESIAN_POINT('Origin',(-92.0191190573854,164.443736639515,1.50000000016824)); #49746=CARTESIAN_POINT('',(-91.9268869523647,164.443736639515,1.50000000016824)); #49747=CARTESIAN_POINT('',(-91.9730030048751,164.443736639515,1.42325000016824)); #49748=CARTESIAN_POINT('Origin',(-92.4191190573854,164.443736639515,1.50000000016824)); #49749=CARTESIAN_POINT('',(-92.8191190573854,164.443736639515,1.34650000016824)); #49750=CARTESIAN_POINT('Origin',(-92.8191190573854,164.443736639515,1.50000000016824)); #49751=CARTESIAN_POINT('',(-92.4191190573854,164.443736639515,1.34650000016824)); #49752=CARTESIAN_POINT('Origin',(-91.9730030048751,159.693736639515,-1.50000000558275)); #49753=CARTESIAN_POINT('',(-92.0191190573854,159.693736639515,-1.65350000558275)); #49754=CARTESIAN_POINT('Origin',(-92.0191190573854,159.693736639515,-1.50000000558275)); #49755=CARTESIAN_POINT('',(-91.9268869523647,159.693736639515,-1.50000000558275)); #49756=CARTESIAN_POINT('',(-91.9730030048751,159.693736639515,-1.57675000558275)); #49757=CARTESIAN_POINT('Origin',(-92.4191190573854,159.693736639515,-1.50000000558275)); #49758=CARTESIAN_POINT('',(-92.8191190573854,159.693736639515,-1.65350000558275)); #49759=CARTESIAN_POINT('Origin',(-92.8191190573854,159.693736639515,-1.50000000558275)); #49760=CARTESIAN_POINT('',(-92.4191190573854,159.693736639515,-1.65350000558275)); #49761=CARTESIAN_POINT('Origin',(-91.9730030048751,154.943736639515,-1.50000000558279)); #49762=CARTESIAN_POINT('',(-92.0191190573854,154.943736639515,-1.65350000558279)); #49763=CARTESIAN_POINT('Origin',(-92.0191190573854,154.943736639515,-1.50000000558279)); #49764=CARTESIAN_POINT('',(-91.9268869523647,154.943736639515,-1.50000000558279)); #49765=CARTESIAN_POINT('',(-91.9730030048751,154.943736639515,-1.57675000558279)); #49766=CARTESIAN_POINT('Origin',(-92.4191190573854,154.943736639515,-1.50000000558279)); #49767=CARTESIAN_POINT('',(-92.8191190573854,154.943736639515,-1.65350000558279)); #49768=CARTESIAN_POINT('Origin',(-92.8191190573854,154.943736639515,-1.50000000558279)); #49769=CARTESIAN_POINT('',(-92.4191190573854,154.943736639515,-1.65350000558279)); #49770=CARTESIAN_POINT('Origin',(-91.9730030048751,150.193736639515,-1.50000000558285)); #49771=CARTESIAN_POINT('',(-92.0191190573854,150.193736639515,-1.65350000558284)); #49772=CARTESIAN_POINT('Origin',(-92.0191190573854,150.193736639515,-1.50000000558285)); #49773=CARTESIAN_POINT('',(-91.9268869523647,150.193736639515,-1.50000000558285)); #49774=CARTESIAN_POINT('',(-91.9730030048751,150.193736639515,-1.57675000558285)); #49775=CARTESIAN_POINT('Origin',(-92.4191190573854,150.193736639515,-1.50000000558285)); #49776=CARTESIAN_POINT('',(-92.8191190573854,150.193736639515,-1.65350000558285)); #49777=CARTESIAN_POINT('Origin',(-92.8191190573854,150.193736639515,-1.50000000558285)); #49778=CARTESIAN_POINT('',(-92.4191190573854,150.193736639515,-1.65350000558285)); #49779=CARTESIAN_POINT('Origin',(-91.9730030048751,145.443736639515,-1.5000000055829)); #49780=CARTESIAN_POINT('',(-92.0191190573854,145.443736639515,-1.65350000558289)); #49781=CARTESIAN_POINT('Origin',(-92.0191190573854,145.443736639515,-1.5000000055829)); #49782=CARTESIAN_POINT('',(-91.9268869523647,145.443736639515,-1.5000000055829)); #49783=CARTESIAN_POINT('',(-91.9730030048751,145.443736639515,-1.5767500055829)); #49784=CARTESIAN_POINT('Origin',(-92.4191190573854,145.443736639515,-1.5000000055829)); #49785=CARTESIAN_POINT('',(-92.8191190573854,145.443736639515,-1.6535000055829)); #49786=CARTESIAN_POINT('Origin',(-92.8191190573854,145.443736639515,-1.5000000055829)); #49787=CARTESIAN_POINT('',(-92.4191190573854,145.443736639515,-1.6535000055829)); #49788=CARTESIAN_POINT('Origin',(-91.9730030048751,145.443736639515,1.50000000016784)); #49789=CARTESIAN_POINT('',(-92.0191190573854,145.443736639515,1.34650000016784)); #49790=CARTESIAN_POINT('Origin',(-92.0191190573854,145.443736639515,1.50000000016784)); #49791=CARTESIAN_POINT('',(-91.9268869523647,145.443736639515,1.50000000016784)); #49792=CARTESIAN_POINT('',(-91.9730030048751,145.443736639515,1.42325000016784)); #49793=CARTESIAN_POINT('Origin',(-92.4191190573854,145.443736639515,1.50000000016784)); #49794=CARTESIAN_POINT('',(-92.8191190573854,145.443736639515,1.34650000016784)); #49795=CARTESIAN_POINT('Origin',(-92.8191190573854,145.443736639515,1.50000000016784)); #49796=CARTESIAN_POINT('',(-92.4191190573854,145.443736639515,1.34650000016784)); #49797=CARTESIAN_POINT('Origin',(-91.9730030048751,150.193736639515,1.50000000016794)); #49798=CARTESIAN_POINT('',(-92.0191190573854,150.193736639515,1.34650000016795)); #49799=CARTESIAN_POINT('Origin',(-92.0191190573854,150.193736639515,1.50000000016794)); #49800=CARTESIAN_POINT('',(-91.9268869523647,150.193736639515,1.50000000016794)); #49801=CARTESIAN_POINT('',(-91.9730030048751,150.193736639515,1.42325000016794)); #49802=CARTESIAN_POINT('Origin',(-92.4191190573854,150.193736639515,1.50000000016794)); #49803=CARTESIAN_POINT('',(-92.8191190573854,150.193736639515,1.34650000016794)); #49804=CARTESIAN_POINT('Origin',(-92.8191190573854,150.193736639515,1.50000000016794)); #49805=CARTESIAN_POINT('',(-92.4191190573854,150.193736639515,1.34650000016794)); #49806=CARTESIAN_POINT('Origin',(-91.9730030048751,154.943736639515,1.50000000016804)); #49807=CARTESIAN_POINT('',(-92.0191190573854,154.943736639515,1.34650000016804)); #49808=CARTESIAN_POINT('Origin',(-92.0191190573854,154.943736639515,1.50000000016804)); #49809=CARTESIAN_POINT('',(-91.9268869523647,154.943736639515,1.50000000016804)); #49810=CARTESIAN_POINT('',(-91.9730030048751,154.943736639515,1.42325000016804)); #49811=CARTESIAN_POINT('Origin',(-92.4191190573854,154.943736639515,1.50000000016804)); #49812=CARTESIAN_POINT('',(-92.8191190573854,154.943736639515,1.34650000016804)); #49813=CARTESIAN_POINT('Origin',(-92.8191190573854,154.943736639515,1.50000000016804)); #49814=CARTESIAN_POINT('',(-92.4191190573854,154.943736639515,1.34650000016804)); #49815=CARTESIAN_POINT('Origin',(-91.9730030048751,164.443736639515,-1.50000000558269)); #49816=CARTESIAN_POINT('',(-92.0191190573854,164.443736639515,-1.65350000558269)); #49817=CARTESIAN_POINT('Origin',(-92.0191190573854,164.443736639515,-1.50000000558269)); #49818=CARTESIAN_POINT('',(-91.9268869523647,164.443736639515,-1.50000000558269)); #49819=CARTESIAN_POINT('',(-91.9730030048751,164.443736639515,-1.57675000558269)); #49820=CARTESIAN_POINT('Origin',(-92.4191190573854,164.443736639515,-1.50000000558269)); #49821=CARTESIAN_POINT('',(-92.8191190573854,164.443736639515,-1.65350000558269)); #49822=CARTESIAN_POINT('Origin',(-92.8191190573854,164.443736639515,-1.50000000558269)); #49823=CARTESIAN_POINT('',(-92.4191190573854,164.443736639515,-1.65350000558269)); #49824=CARTESIAN_POINT('Origin',(-91.9730030048751,159.693736639515,1.50000000016814)); #49825=CARTESIAN_POINT('',(-92.0191190573854,159.693736639515,1.34650000016814)); #49826=CARTESIAN_POINT('Origin',(-92.0191190573854,159.693736639515,1.50000000016814)); #49827=CARTESIAN_POINT('',(-91.9268869523647,159.693736639515,1.50000000016814)); #49828=CARTESIAN_POINT('',(-91.9730030048751,159.693736639515,1.42325000016814)); #49829=CARTESIAN_POINT('Origin',(-92.4191190573854,159.693736639515,1.50000000016814)); #49830=CARTESIAN_POINT('',(-92.8191190573854,159.693736639515,1.34650000016814)); #49831=CARTESIAN_POINT('Origin',(-92.8191190573854,159.693736639515,1.50000000016814)); #49832=CARTESIAN_POINT('',(-92.4191190573854,159.693736639515,1.34650000016814)); #49833=CARTESIAN_POINT('Origin',(-92.3191190966065,165.59342798675,-5.60000005786605)); #49834=CARTESIAN_POINT('',(-92.4526190966065,165.63353543307,-5.60000005786605)); #49835=CARTESIAN_POINT('Origin',(-92.3191190966065,165.63353543307,-5.60000005786605)); #49836=CARTESIAN_POINT('',(-92.3191190966065,165.55332054043,-5.60000005786605)); #49837=CARTESIAN_POINT('',(-92.3858690966065,165.59342798675,-5.60000005786605)); #49838=CARTESIAN_POINT('Origin',(-92.3191190966065,166.05003543307,-5.60000005786605)); #49839=CARTESIAN_POINT('',(-92.4526190966065,166.46653543307,-5.60000005786605)); #49840=CARTESIAN_POINT('Origin',(-92.3191190966065,166.46653543307,-5.60000005786605)); #49841=CARTESIAN_POINT('',(-92.4526190966065,166.05003543307,-5.60000005786605)); #49842=CARTESIAN_POINT('Origin',(-92.3191190966067,165.59342798675,-5.7866085676431E-8)); #49843=CARTESIAN_POINT('',(-92.4526190966067,165.63353543307,-5.7866085660082E-8)); #49844=CARTESIAN_POINT('Origin',(-92.3191190966067,165.63353543307,-5.7866085676431E-8)); #49845=CARTESIAN_POINT('',(-92.3191190966067,165.55332054043,-5.7866085676431E-8)); #49846=CARTESIAN_POINT('',(-92.3858690966067,165.59342798675,-5.78660856682565E-8)); #49847=CARTESIAN_POINT('Origin',(-92.3191190966067,166.05003543307,-5.7866085676431E-8)); #49848=CARTESIAN_POINT('',(-92.4526190966067,166.46653543307,-5.78660856600819E-8)); #49849=CARTESIAN_POINT('Origin',(-92.3191190966067,166.46653543307,-5.7866085676431E-8)); #49850=CARTESIAN_POINT('',(-92.4526190966067,166.05003543307,-5.78660856600819E-8)); #49851=CARTESIAN_POINT('Origin',(-92.3191190966067,165.59342798675,5.59999994213387)); #49852=CARTESIAN_POINT('',(-92.4526190966067,165.63353543307,5.59999994213387)); #49853=CARTESIAN_POINT('Origin',(-92.3191190966067,165.63353543307,5.59999994213387)); #49854=CARTESIAN_POINT('',(-92.3191190966067,165.55332054043,5.59999994213387)); #49855=CARTESIAN_POINT('',(-92.3858690966067,165.59342798675,5.59999994213387)); #49856=CARTESIAN_POINT('Origin',(-92.3191190966067,166.05003543307,5.59999994213387)); #49857=CARTESIAN_POINT('',(-92.4526190966067,166.46653543307,5.59999994213387)); #49858=CARTESIAN_POINT('Origin',(-92.3191190966067,166.46653543307,5.59999994213387)); #49859=CARTESIAN_POINT('',(-92.4526190966067,166.05003543307,5.59999994213387)); #49860=CARTESIAN_POINT('Origin',(-92.3191190966067,165.59342798675,8.39999994213385)); #49861=CARTESIAN_POINT('',(-92.4526190966067,165.63353543307,8.39999994213385)); #49862=CARTESIAN_POINT('Origin',(-92.3191190966067,165.63353543307,8.39999994213385)); #49863=CARTESIAN_POINT('',(-92.3191190966067,165.55332054043,8.39999994213385)); #49864=CARTESIAN_POINT('',(-92.3858690966067,165.59342798675,8.39999994213385)); #49865=CARTESIAN_POINT('Origin',(-92.3191190966067,166.05003543307,8.39999994213385)); #49866=CARTESIAN_POINT('',(-92.4526190966067,166.46653543307,8.39999994213385)); #49867=CARTESIAN_POINT('Origin',(-92.3191190966067,166.46653543307,8.39999994213385)); #49868=CARTESIAN_POINT('',(-92.4526190966067,166.05003543307,8.39999994213385)); #49869=CARTESIAN_POINT('Origin',(-92.3191190966067,165.59342798675,2.79999994213388)); #49870=CARTESIAN_POINT('',(-92.4526190966067,165.63353543307,2.79999994213388)); #49871=CARTESIAN_POINT('Origin',(-92.3191190966067,165.63353543307,2.79999994213388)); #49872=CARTESIAN_POINT('',(-92.3191190966067,165.55332054043,2.79999994213388)); #49873=CARTESIAN_POINT('',(-92.3858690966067,165.59342798675,2.79999994213388)); #49874=CARTESIAN_POINT('Origin',(-92.3191190966067,166.05003543307,2.79999994213388)); #49875=CARTESIAN_POINT('',(-92.4526190966067,166.46653543307,2.79999994213388)); #49876=CARTESIAN_POINT('Origin',(-92.3191190966067,166.46653543307,2.79999994213388)); #49877=CARTESIAN_POINT('',(-92.4526190966067,166.05003543307,2.79999994213388)); #49878=CARTESIAN_POINT('Origin',(-92.3191190966066,165.59342798675,-2.80000005786607)); #49879=CARTESIAN_POINT('',(-92.4526190966066,165.63353543307,-2.80000005786607)); #49880=CARTESIAN_POINT('Origin',(-92.3191190966066,165.63353543307,-2.80000005786607)); #49881=CARTESIAN_POINT('',(-92.3191190966066,165.55332054043,-2.80000005786607)); #49882=CARTESIAN_POINT('',(-92.3858690966066,165.59342798675,-2.80000005786607)); #49883=CARTESIAN_POINT('Origin',(-92.3191190966066,166.05003543307,-2.80000005786607)); #49884=CARTESIAN_POINT('',(-92.4526190966066,166.46653543307,-2.80000005786607)); #49885=CARTESIAN_POINT('Origin',(-92.3191190966066,166.46653543307,-2.80000005786607)); #49886=CARTESIAN_POINT('',(-92.4526190966066,166.05003543307,-2.80000005786607)); #49887=CARTESIAN_POINT('Origin',(-92.3191190966063,165.59342798675,-8.40000005786602)); #49888=CARTESIAN_POINT('',(-92.4526190966063,165.63353543307,-8.40000005786602)); #49889=CARTESIAN_POINT('Origin',(-92.3191190966063,165.63353543307,-8.40000005786602)); #49890=CARTESIAN_POINT('',(-92.3191190966063,165.55332054043,-8.40000005786602)); #49891=CARTESIAN_POINT('',(-92.3858690966063,165.59342798675,-8.40000005786602)); #49892=CARTESIAN_POINT('Origin',(-92.3191190966063,166.05003543307,-8.40000005786602)); #49893=CARTESIAN_POINT('',(-92.4526190966063,166.46653543307,-8.40000005786602)); #49894=CARTESIAN_POINT('Origin',(-92.3191190966063,166.46653543307,-8.40000005786602)); #49895=CARTESIAN_POINT('',(-92.4526190966063,166.05003543307,-8.40000005786602)); #49896=CARTESIAN_POINT('Origin',(-92.0755589220866,140.919321739984,5.99999999980198)); #49897=CARTESIAN_POINT('',(-92.2045676572531,140.972119500364,5.99999999980198)); #49898=CARTESIAN_POINT('Origin',(-92.1007879563155,140.88814317231,5.99999999980198)); #49899=CARTESIAN_POINT('',(-92.0503298878577,140.950500307658,5.99999999980198)); #49900=CARTESIAN_POINT('',(-92.1274487725554,140.961309904011,5.99999999980198)); #49901=CARTESIAN_POINT('Origin',(-92.3627815191186,140.564366052906,5.99999999980198)); #49902=CARTESIAN_POINT('',(-92.7285547828591,140.324565261555,5.99999999980198)); #49903=CARTESIAN_POINT('Origin',(-92.6247750819216,140.240588933502,5.99999999980198)); #49904=CARTESIAN_POINT('',(-92.4665612200561,140.648342380959,5.99999999980198)); #49905=CARTESIAN_POINT('Origin',(-92.0755589220657,140.919321739967,-1.97963080748689E-10)); #49906=CARTESIAN_POINT('',(-92.2045676572321,140.972119500347,-1.97963097097724E-10)); #49907=CARTESIAN_POINT('Origin',(-92.1007879562945,140.888143172293,-1.97963080748689E-10)); #49908=CARTESIAN_POINT('',(-92.0503298878368,140.950500307641,-1.97963080748689E-10)); #49909=CARTESIAN_POINT('',(-92.1274487725344,140.961309903994,-1.97963088923207E-10)); #49910=CARTESIAN_POINT('Origin',(-92.3627815190976,140.564366052889,-1.97963080748689E-10)); #49911=CARTESIAN_POINT('',(-92.7285547828381,140.324565261538,-1.97963097097724E-10)); #49912=CARTESIAN_POINT('Origin',(-92.6247750819006,140.240588933485,-1.97963080748689E-10)); #49913=CARTESIAN_POINT('',(-92.4665612200351,140.648342380942,-1.97963097097724E-10)); #49914=CARTESIAN_POINT('Origin',(-92.0755589220446,140.91932173995,-6.0000000001979)); #49915=CARTESIAN_POINT('',(-92.2045676572111,140.97211950033,-6.0000000001979)); #49916=CARTESIAN_POINT('Origin',(-92.1007879562735,140.888143172276,-6.0000000001979)); #49917=CARTESIAN_POINT('',(-92.0503298878157,140.950500307624,-6.0000000001979)); #49918=CARTESIAN_POINT('',(-92.1274487725134,140.961309903977,-6.0000000001979)); #49919=CARTESIAN_POINT('Origin',(-92.3627815190766,140.564366052872,-6.0000000001979)); #49920=CARTESIAN_POINT('',(-92.7285547828171,140.324565261521,-6.0000000001979)); #49921=CARTESIAN_POINT('Origin',(-92.6247750818796,140.240588933468,-6.0000000001979)); #49922=CARTESIAN_POINT('',(-92.4665612200141,140.648342380925,-6.0000000001979)); #49923=CARTESIAN_POINT('Origin',(-92.0755589220341,140.919321739942,-9.00000000019787)); #49924=CARTESIAN_POINT('',(-92.2045676572006,140.972119500321,-9.00000000019787)); #49925=CARTESIAN_POINT('Origin',(-92.100787956263,140.888143172268,-9.00000000019787)); #49926=CARTESIAN_POINT('',(-92.0503298878052,140.950500307616,-9.00000000019787)); #49927=CARTESIAN_POINT('',(-92.1274487725029,140.961309903968,-9.00000000019787)); #49928=CARTESIAN_POINT('Origin',(-92.3627815190661,140.564366052863,-9.00000000019787)); #49929=CARTESIAN_POINT('',(-92.7285547828066,140.324565261512,-9.00000000019787)); #49930=CARTESIAN_POINT('Origin',(-92.6247750818691,140.240588933459,-9.00000000019787)); #49931=CARTESIAN_POINT('',(-92.4665612200036,140.648342380917,-9.00000000019787)); #49932=CARTESIAN_POINT('Origin',(-92.0755589220552,140.919321739959,-3.00000000019793)); #49933=CARTESIAN_POINT('',(-92.2045676572216,140.972119500338,-3.00000000019793)); #49934=CARTESIAN_POINT('Origin',(-92.100787956284,140.888143172285,-3.00000000019793)); #49935=CARTESIAN_POINT('',(-92.0503298878263,140.950500307633,-3.00000000019793)); #49936=CARTESIAN_POINT('',(-92.1274487725239,140.961309903985,-3.00000000019793)); #49937=CARTESIAN_POINT('Origin',(-92.3627815190871,140.564366052881,-3.00000000019793)); #49938=CARTESIAN_POINT('',(-92.7285547828276,140.324565261529,-3.00000000019793)); #49939=CARTESIAN_POINT('Origin',(-92.6247750818901,140.240588933476,-3.00000000019793)); #49940=CARTESIAN_POINT('',(-92.4665612200246,140.648342380934,-3.00000000019793)); #49941=CARTESIAN_POINT('Origin',(-92.0755589220762,140.919321739976,2.99999999980201)); #49942=CARTESIAN_POINT('',(-92.2045676572426,140.972119500355,2.99999999980201)); #49943=CARTESIAN_POINT('Origin',(-92.100787956305,140.888143172302,2.99999999980201)); #49944=CARTESIAN_POINT('',(-92.0503298878473,140.95050030765,2.99999999980201)); #49945=CARTESIAN_POINT('',(-92.1274487725449,140.961309904002,2.99999999980201)); #49946=CARTESIAN_POINT('Origin',(-92.3627815191081,140.564366052897,2.99999999980201)); #49947=CARTESIAN_POINT('',(-92.7285547828486,140.324565261546,2.99999999980201)); #49948=CARTESIAN_POINT('Origin',(-92.6247750819111,140.240588933493,2.99999999980201)); #49949=CARTESIAN_POINT('',(-92.4665612200456,140.648342380951,2.99999999980201)); #49950=CARTESIAN_POINT('Origin',(-92.0755589220972,140.919321739993,8.99999999980194)); #49951=CARTESIAN_POINT('',(-92.2045676572636,140.972119500372,8.99999999980194)); #49952=CARTESIAN_POINT('Origin',(-92.100787956326,140.888143172319,8.99999999980194)); #49953=CARTESIAN_POINT('',(-92.0503298878683,140.950500307667,8.99999999980194)); #49954=CARTESIAN_POINT('',(-92.1274487725659,140.961309904019,8.99999999980194)); #49955=CARTESIAN_POINT('Origin',(-92.3627815191291,140.564366052914,8.99999999980194)); #49956=CARTESIAN_POINT('',(-92.7285547828696,140.324565261563,8.99999999980194)); #49957=CARTESIAN_POINT('Origin',(-92.6247750819321,140.24058893351,8.99999999980194)); #49958=CARTESIAN_POINT('',(-92.4665612200666,140.648342380968,8.99999999980194)); #49959=CARTESIAN_POINT('Origin',(-59.5909223068747,162.216535433231,9.14782415649294)); #49960=CARTESIAN_POINT('',(-92.8191190573854,162.216535433231,8.98182415649294)); #49961=CARTESIAN_POINT('Origin',(-92.8191190573854,162.216535433231,9.14782415649294)); #49962=CARTESIAN_POINT('',(-91.8191190573854,162.216535433231,8.98182415649294)); #49963=CARTESIAN_POINT('',(-59.5909223068747,162.216535433231,8.98182415649294)); #49964=CARTESIAN_POINT('Origin',(-91.8191190573854,162.216535433231,9.14782415649294)); #49965=CARTESIAN_POINT('Origin',(-59.5909223068747,156.216535433231,9.14782415655665)); #49966=CARTESIAN_POINT('',(-92.8191190573854,156.216535433231,8.98182415655665)); #49967=CARTESIAN_POINT('Origin',(-92.8191190573854,156.216535433231,9.14782415655665)); #49968=CARTESIAN_POINT('',(-91.8191190573854,156.216535433231,8.98182415655665)); #49969=CARTESIAN_POINT('',(-59.5909223068747,156.216535433231,8.98182415655665)); #49970=CARTESIAN_POINT('Origin',(-91.8191190573854,156.216535433231,9.14782415655665)); #49971=CARTESIAN_POINT('Origin',(-59.5909223068747,150.216535433231,9.14782415662035)); #49972=CARTESIAN_POINT('',(-92.8191190573854,150.216535433231,8.98182415662035)); #49973=CARTESIAN_POINT('Origin',(-92.8191190573854,150.216535433231,9.14782415662035)); #49974=CARTESIAN_POINT('',(-91.8191190573854,150.216535433231,8.98182415662035)); #49975=CARTESIAN_POINT('',(-59.5909223068747,150.216535433231,8.98182415662035)); #49976=CARTESIAN_POINT('Origin',(-91.8191190573854,150.216535433231,9.14782415662035)); #49977=CARTESIAN_POINT('Origin',(-59.5909223068747,144.216535433231,9.14782415668405)); #49978=CARTESIAN_POINT('',(-92.8191190573854,144.216535433231,8.98182415668405)); #49979=CARTESIAN_POINT('Origin',(-92.8191190573854,144.216535433231,9.14782415668405)); #49980=CARTESIAN_POINT('',(-91.8191190573854,144.216535433231,8.98182415668405)); #49981=CARTESIAN_POINT('',(-59.5909223068747,144.216535433231,8.98182415668405)); #49982=CARTESIAN_POINT('Origin',(-91.8191190573854,144.216535433231,9.14782415668405)); #49983=CARTESIAN_POINT('Origin',(-59.5909223068747,141.216535433037,-9.14782404038146)); #49984=CARTESIAN_POINT('',(-92.8191190573854,141.216535433037,-9.31382404038146)); #49985=CARTESIAN_POINT('Origin',(-92.8191190573854,141.216535433037,-9.14782404038146)); #49986=CARTESIAN_POINT('',(-91.8191190573854,141.216535433037,-9.31382404038146)); #49987=CARTESIAN_POINT('',(-59.5909223068747,141.216535433037,-9.31382404038146)); #49988=CARTESIAN_POINT('Origin',(-91.8191190573854,141.216535433037,-9.14782404038146)); #49989=CARTESIAN_POINT('Origin',(-59.5909223068747,147.216535433037,-9.14782404044532)); #49990=CARTESIAN_POINT('',(-92.8191190573854,147.216535433037,-9.31382404044532)); #49991=CARTESIAN_POINT('Origin',(-92.8191190573854,147.216535433037,-9.14782404044532)); #49992=CARTESIAN_POINT('',(-91.8191190573854,147.216535433037,-9.31382404044532)); #49993=CARTESIAN_POINT('',(-59.5909223068747,147.216535433037,-9.31382404044532)); #49994=CARTESIAN_POINT('Origin',(-91.8191190573854,147.216535433037,-9.14782404044532)); #49995=CARTESIAN_POINT('Origin',(-59.5909223068747,153.216535433037,-9.14782404050919)); #49996=CARTESIAN_POINT('',(-92.8191190573854,153.216535433037,-9.31382404050919)); #49997=CARTESIAN_POINT('Origin',(-92.8191190573854,153.216535433037,-9.14782404050919)); #49998=CARTESIAN_POINT('',(-91.8191190573854,153.216535433037,-9.31382404050919)); #49999=CARTESIAN_POINT('',(-59.5909223068747,153.216535433037,-9.31382404050919)); #50000=CARTESIAN_POINT('Origin',(-91.8191190573854,153.216535433037,-9.14782404050919)); #50001=CARTESIAN_POINT('Origin',(-59.5909223068747,159.216535433037,-9.14782404057305)); #50002=CARTESIAN_POINT('',(-92.8191190573854,159.216535433037,-9.31382404057305)); #50003=CARTESIAN_POINT('Origin',(-92.8191190573854,159.216535433037,-9.14782404057305)); #50004=CARTESIAN_POINT('',(-91.8191190573854,159.216535433037,-9.31382404057305)); #50005=CARTESIAN_POINT('',(-59.5909223068747,159.216535433037,-9.31382404057305)); #50006=CARTESIAN_POINT('Origin',(-91.8191190573854,159.216535433037,-9.14782404057305)); #50007=CARTESIAN_POINT('Origin',(-59.5909223068747,165.216535433037,-9.14782404063686)); #50008=CARTESIAN_POINT('',(-92.8191190573854,165.216535433037,-9.31382404063686)); #50009=CARTESIAN_POINT('Origin',(-92.8191190573854,165.216535433037,-9.14782404063686)); #50010=CARTESIAN_POINT('',(-91.8191190573854,165.216535433037,-9.31382404063686)); #50011=CARTESIAN_POINT('',(-59.5909223068747,165.216535433037,-9.31382404063686)); #50012=CARTESIAN_POINT('Origin',(-91.8191190573854,165.216535433037,-9.14782404063686)); #50013=CARTESIAN_POINT('Origin',(-59.5909223068747,162.216535433037,-9.14782404060498)); #50014=CARTESIAN_POINT('',(-92.8191190573854,162.216535433037,-9.31382404060498)); #50015=CARTESIAN_POINT('Origin',(-92.8191190573854,162.216535433037,-9.14782404060498)); #50016=CARTESIAN_POINT('',(-91.8191190573854,162.216535433037,-9.31382404060498)); #50017=CARTESIAN_POINT('',(-59.5909223068747,162.216535433037,-9.31382404060498)); #50018=CARTESIAN_POINT('Origin',(-91.8191190573854,162.216535433037,-9.14782404060498)); #50019=CARTESIAN_POINT('Origin',(-59.5909223068747,156.216535433037,-9.14782404054112)); #50020=CARTESIAN_POINT('',(-92.8191190573854,156.216535433037,-9.31382404054112)); #50021=CARTESIAN_POINT('Origin',(-92.8191190573854,156.216535433037,-9.14782404054112)); #50022=CARTESIAN_POINT('',(-91.8191190573854,156.216535433037,-9.31382404054112)); #50023=CARTESIAN_POINT('',(-59.5909223068747,156.216535433037,-9.31382404054112)); #50024=CARTESIAN_POINT('Origin',(-91.8191190573854,156.216535433037,-9.14782404054112)); #50025=CARTESIAN_POINT('Origin',(-59.5909223068747,150.216535433037,-9.14782404047726)); #50026=CARTESIAN_POINT('',(-92.8191190573854,150.216535433037,-9.31382404047725)); #50027=CARTESIAN_POINT('Origin',(-92.8191190573854,150.216535433037,-9.14782404047726)); #50028=CARTESIAN_POINT('',(-91.8191190573854,150.216535433037,-9.31382404047725)); #50029=CARTESIAN_POINT('',(-59.5909223068747,150.216535433037,-9.31382404047725)); #50030=CARTESIAN_POINT('Origin',(-91.8191190573854,150.216535433037,-9.14782404047726)); #50031=CARTESIAN_POINT('Origin',(-59.5909223068747,144.216535433037,-9.14782404041339)); #50032=CARTESIAN_POINT('',(-92.8191190573854,144.216535433037,-9.31382404041339)); #50033=CARTESIAN_POINT('Origin',(-92.8191190573854,144.216535433037,-9.14782404041339)); #50034=CARTESIAN_POINT('',(-91.8191190573854,144.216535433037,-9.31382404041339)); #50035=CARTESIAN_POINT('',(-59.5909223068747,144.216535433037,-9.31382404041339)); #50036=CARTESIAN_POINT('Origin',(-91.8191190573854,144.216535433037,-9.14782404041339)); #50037=CARTESIAN_POINT('Origin',(-59.5909223068747,141.216535433231,9.1478241567159)); #50038=CARTESIAN_POINT('',(-92.8191190573854,141.216535433231,8.9818241567159)); #50039=CARTESIAN_POINT('Origin',(-92.8191190573854,141.216535433231,9.1478241567159)); #50040=CARTESIAN_POINT('',(-91.8191190573854,141.216535433231,8.9818241567159)); #50041=CARTESIAN_POINT('',(-59.5909223068747,141.216535433231,8.9818241567159)); #50042=CARTESIAN_POINT('Origin',(-91.8191190573854,141.216535433231,9.1478241567159)); #50043=CARTESIAN_POINT('Origin',(-59.5909223068747,147.216535433231,9.1478241566522)); #50044=CARTESIAN_POINT('',(-92.8191190573854,147.216535433231,8.9818241566522)); #50045=CARTESIAN_POINT('Origin',(-92.8191190573854,147.216535433231,9.1478241566522)); #50046=CARTESIAN_POINT('',(-91.8191190573854,147.216535433231,8.9818241566522)); #50047=CARTESIAN_POINT('',(-59.5909223068747,147.216535433231,8.9818241566522)); #50048=CARTESIAN_POINT('Origin',(-91.8191190573854,147.216535433231,9.1478241566522)); #50049=CARTESIAN_POINT('Origin',(-59.5909223068747,153.216535433231,9.1478241565885)); #50050=CARTESIAN_POINT('',(-92.8191190573854,153.216535433231,8.9818241565885)); #50051=CARTESIAN_POINT('Origin',(-92.8191190573854,153.216535433231,9.1478241565885)); #50052=CARTESIAN_POINT('',(-91.8191190573854,153.216535433231,8.9818241565885)); #50053=CARTESIAN_POINT('',(-59.5909223068747,153.216535433231,8.9818241565885)); #50054=CARTESIAN_POINT('Origin',(-91.8191190573854,153.216535433231,9.1478241565885)); #50055=CARTESIAN_POINT('Origin',(-59.5909223068747,159.216535433231,9.14782415652479)); #50056=CARTESIAN_POINT('',(-92.8191190573854,159.216535433231,8.98182415652479)); #50057=CARTESIAN_POINT('Origin',(-92.8191190573854,159.216535433231,9.14782415652479)); #50058=CARTESIAN_POINT('',(-91.8191190573854,159.216535433231,8.98182415652479)); #50059=CARTESIAN_POINT('',(-59.5909223068747,159.216535433231,8.98182415652479)); #50060=CARTESIAN_POINT('Origin',(-91.8191190573854,159.216535433231,9.14782415652479)); #50061=CARTESIAN_POINT('Origin',(-59.5909223068747,165.216535433231,9.14782415646104)); #50062=CARTESIAN_POINT('',(-92.8191190573854,165.216535433231,8.98182415646104)); #50063=CARTESIAN_POINT('Origin',(-92.8191190573854,165.216535433231,9.14782415646104)); #50064=CARTESIAN_POINT('',(-91.8191190573854,165.216535433231,8.98182415646104)); #50065=CARTESIAN_POINT('',(-59.5909223068747,165.216535433231,8.98182415646104)); #50066=CARTESIAN_POINT('Origin',(-91.8191190573854,165.216535433231,9.14782415646104)); #50067=CARTESIAN_POINT('Origin',(-92.8191190573854,166.46653543307,-9.75568252656031)); #50068=CARTESIAN_POINT('',(-91.8191190573854,166.46653543307,-9.65057829129463)); #50069=CARTESIAN_POINT('',(-92.8191190573854,166.46653543307,-9.75568252656031)); #50070=CARTESIAN_POINT('',(-46.4095595286927,166.46653543307,-4.87784126328015)); #50071=CARTESIAN_POINT('',(-92.8191190573854,166.46653543307,9.75568252656031)); #50072=CARTESIAN_POINT('',(-92.8191190573854,166.46653543307,9.75568252656031)); #50073=CARTESIAN_POINT('',(-91.8191190573854,166.46653543307,9.65057829129464)); #50074=CARTESIAN_POINT('',(-45.3953993041035,166.46653543307,4.77124872843783)); #50075=CARTESIAN_POINT('',(-91.8191190573854,166.46653543307,-4.87784126328015)); #50076=CARTESIAN_POINT('Origin',(-91.8191190573854,138.30229047246,0.)); #50077=CARTESIAN_POINT('',(-91.8191190573854,139.588669203503,-9.65057829129463)); #50078=CARTESIAN_POINT('',(-91.8191190573854,69.15114523623,-9.65057829129463)); #50079=CARTESIAN_POINT('',(-91.8191190573854,139.588669203503,9.65057829129463)); #50080=CARTESIAN_POINT('',(-91.8191190573854,69.15114523623,9.65057829129463)); #50081=CARTESIAN_POINT('',(-91.8191190573854,139.588669203503,0.)); #50082=CARTESIAN_POINT('Origin',(4.53234281083647E-15,0.,4.31223613337738E-14)); #50083=CARTESIAN_POINT('',(-92.8191190573854,140.397847947464,9.75568252656031)); #50084=CARTESIAN_POINT('',(-92.8191190573854,166.21653543307,9.75568252656031)); #50085=CARTESIAN_POINT('',(-29.7474992446256,89.3616338477663,3.1265881591727)); #50086=CARTESIAN_POINT('Origin',(-91.8191190573854,139.588669203503,0.)); #50087=CARTESIAN_POINT('',(-92.8191190573854,140.397847947464,-9.75568252656031)); #50088=CARTESIAN_POINT('',(-29.7474992446256,89.3616338477663,-3.12658815917269)); #50089=CARTESIAN_POINT('',(-92.8191190573854,140.397847947464,0.)); #50090=CARTESIAN_POINT('Origin',(-92.8191190573854,167.71653543307,0.)); #50091=CARTESIAN_POINT('',(-92.8191190573854,83.8582677165351,-9.75568252656031)); #50092=CARTESIAN_POINT('Origin',(2.19306910201765E-15,0.,-2.08656587098905E-14)); #50093=CARTESIAN_POINT('',(0.,0.,0.)); #50094=CARTESIAN_POINT('Origin',(-75.2529455383725,166.080825005317,1.50000006668569)); #50095=CARTESIAN_POINT('',(-95.5206389940223,141.297481725536,1.50000006668569)); #50096=CARTESIAN_POINT('Origin',(-95.3915945718827,141.193061722114,1.50000006668569)); #50097=CARTESIAN_POINT('',(-94.8916028288289,142.074857762521,1.50000006668569)); #50098=CARTESIAN_POINT('',(-75.381989960512,166.185245008739,1.50000006668569)); #50099=CARTESIAN_POINT('Origin',(-94.7625584066894,141.970437759099,1.50000006668569)); #50100=CARTESIAN_POINT('Origin',(-74.0868816947246,165.137270928936,-1.49999993567018)); #50101=CARTESIAN_POINT('',(-94.3545751503744,140.353927649154,-1.49999993567018)); #50102=CARTESIAN_POINT('Origin',(-94.2255307282349,140.249507645732,-1.49999993567018)); #50103=CARTESIAN_POINT('',(-93.7255389851811,141.131303686139,-1.49999993567018)); #50104=CARTESIAN_POINT('',(-74.2159261168642,165.241690932358,-1.49999993567018)); #50105=CARTESIAN_POINT('Origin',(-93.5964945630415,141.026883682717,-1.49999993567018)); #50106=CARTESIAN_POINT('Origin',(-76.4190093887108,167.024379087113,-1.49999993136216)); #50107=CARTESIAN_POINT('',(-96.6867028443606,142.241035807331,-1.49999993136216)); #50108=CARTESIAN_POINT('Origin',(-96.557658422221,142.136615803909,-1.49999993136216)); #50109=CARTESIAN_POINT('',(-96.0576666791672,143.018411844316,-1.49999993136216)); #50110=CARTESIAN_POINT('',(-76.5480538108503,167.128799090535,-1.49999993136216)); #50111=CARTESIAN_POINT('Origin',(-95.9286222570277,142.913991840894,-1.49999993136216)); #50112=CARTESIAN_POINT('Origin',(-75.2529455417177,166.080825008024,-1.49999993351616)); #50113=CARTESIAN_POINT('',(-95.5206389973675,141.297481728243,-1.49999993351616)); #50114=CARTESIAN_POINT('Origin',(-95.3915945752279,141.19306172482,-1.49999993351616)); #50115=CARTESIAN_POINT('',(-94.8916028321741,142.074857765228,-1.49999993351616)); #50116=CARTESIAN_POINT('',(-75.3819899638573,166.185245011446,-1.49999993351616)); #50117=CARTESIAN_POINT('Origin',(-94.7625584100346,141.970437761806,-1.49999993351616)); #50118=CARTESIAN_POINT('Origin',(-74.0868816913794,165.137270926229,1.50000006448176)); #50119=CARTESIAN_POINT('',(-94.3545751470292,140.353927646447,1.50000006448176)); #50120=CARTESIAN_POINT('Origin',(-94.2255307248896,140.249507643025,1.50000006448176)); #50121=CARTESIAN_POINT('',(-93.7255389818358,141.131303683432,1.50000006448176)); #50122=CARTESIAN_POINT('',(-74.2159261135189,165.241690929651,1.50000006448176)); #50123=CARTESIAN_POINT('Origin',(-93.5964945596963,141.02688368001,1.50000006448176)); #50124=CARTESIAN_POINT('Origin',(-76.4190093853656,167.024379084406,1.50000006888961)); #50125=CARTESIAN_POINT('',(-96.6867028410154,142.241035804624,1.50000006888961)); #50126=CARTESIAN_POINT('Origin',(-96.5576584188758,142.136615801202,1.50000006888961)); #50127=CARTESIAN_POINT('',(-96.057666675822,143.018411841609,1.50000006888961)); #50128=CARTESIAN_POINT('',(-76.5480538075051,167.128799087828,1.50000006888961)); #50129=CARTESIAN_POINT('Origin',(-95.9286222536825,142.913991838187,1.50000006888961)); #50130=CARTESIAN_POINT('Origin',(-63.00939442701,156.173603695398,-8.17034299034812)); #50131=CARTESIAN_POINT('',(-83.2770878826598,131.390260415617,-8.17034299034812)); #50132=CARTESIAN_POINT('Origin',(-83.1480434605202,131.285840412195,-8.17034299034812)); #50133=CARTESIAN_POINT('',(-82.6480517174664,132.167636452602,-8.17034299034812)); #50134=CARTESIAN_POINT('',(-63.1384388491495,156.27802369882,-8.17034299034812)); #50135=CARTESIAN_POINT('Origin',(-82.5190072953268,132.06321644918,-8.17034299034812)); #50136=CARTESIAN_POINT('Origin',(-60.8787104942605,154.449499546918,-7.94639910471031)); #50137=CARTESIAN_POINT('',(-81.1464039499103,129.666156267136,-7.94639910471031)); #50138=CARTESIAN_POINT('Origin',(-81.0173595277708,129.561736263714,-7.94639910471031)); #50139=CARTESIAN_POINT('',(-80.517367784717,130.443532304121,-7.94639910471031)); #50140=CARTESIAN_POINT('',(-61.0077549164001,154.55391955034,-7.94639910471031)); #50141=CARTESIAN_POINT('Origin',(-80.3883233625774,130.339112300699,-7.94639910471031)); #50142=CARTESIAN_POINT('Origin',(-65.1400783597594,157.897707843879,-8.39428687598592)); #50143=CARTESIAN_POINT('',(-85.4077718154092,133.114364564098,-8.39428687598592)); #50144=CARTESIAN_POINT('Origin',(-85.2787273932697,133.009944560675,-8.39428687598592)); #50145=CARTESIAN_POINT('',(-84.7787356502159,133.891740601083,-8.39428687598592)); #50146=CARTESIAN_POINT('',(-65.269122781899,158.002127847301,-8.39428687598592)); #50147=CARTESIAN_POINT('Origin',(-84.6496912280763,133.787320597661,-8.39428687598592)); #50148=CARTESIAN_POINT('Origin',(-69.4014462252583,161.345916140841,-8.84217464726153)); #50149=CARTESIAN_POINT('',(-89.6691396809081,136.562572861059,-8.84217464726153)); #50150=CARTESIAN_POINT('Origin',(-89.5400952587685,136.458152857637,-8.84217464726153)); #50151=CARTESIAN_POINT('',(-89.0401035157147,137.339948898044,-8.84217464726153)); #50152=CARTESIAN_POINT('',(-69.5304906473978,161.450336144263,-8.84217464726153)); #50153=CARTESIAN_POINT('Origin',(-88.9110590935752,137.235528894622,-8.84217464726153)); #50154=CARTESIAN_POINT('Origin',(-71.5321301580077,163.070020289322,-9.06611853289934)); #50155=CARTESIAN_POINT('',(-91.7998236136576,138.28667700954,-9.06611853289934)); #50156=CARTESIAN_POINT('Origin',(-91.670779191518,138.182257006118,-9.06611853289934)); #50157=CARTESIAN_POINT('',(-91.1707874484642,139.064053046525,-9.06611853289934)); #50158=CARTESIAN_POINT('',(-71.6611745801473,163.174440292744,-9.06611853289934)); #50159=CARTESIAN_POINT('Origin',(-91.0417430263246,138.959633043103,-9.06611853289934)); #50160=CARTESIAN_POINT('Origin',(-67.2707622925088,159.62181199236,-8.61823076162373)); #50161=CARTESIAN_POINT('',(-87.5384557481586,134.838468712578,-8.61823076162373)); #50162=CARTESIAN_POINT('Origin',(-87.4094113260191,134.734048709156,-8.61823076162373)); #50163=CARTESIAN_POINT('',(-86.9094195829653,135.615844749564,-8.61823076162373)); #50164=CARTESIAN_POINT('',(-67.3998067146484,159.726231995782,-8.61823076162373)); #50165=CARTESIAN_POINT('Origin',(-86.7803751608258,135.511424746142,-8.61823076162373)); #50166=CARTESIAN_POINT('Origin',(-63.00939442701,156.173603695398,8.17034299034812)); #50167=CARTESIAN_POINT('',(-83.2770878826598,131.390260415617,8.17034299034812)); #50168=CARTESIAN_POINT('Origin',(-83.1480434605202,131.285840412195,8.17034299034812)); #50169=CARTESIAN_POINT('',(-82.6480517174664,132.167636452602,8.17034299034812)); #50170=CARTESIAN_POINT('',(-63.1384388491495,156.27802369882,8.17034299034812)); #50171=CARTESIAN_POINT('Origin',(-82.5190072953268,132.06321644918,8.17034299034812)); #50172=CARTESIAN_POINT('Origin',(-67.2707622925088,159.62181199236,8.61823076162373)); #50173=CARTESIAN_POINT('',(-87.5384557481586,134.838468712578,8.61823076162373)); #50174=CARTESIAN_POINT('Origin',(-87.4094113260191,134.734048709156,8.61823076162373)); #50175=CARTESIAN_POINT('',(-86.9094195829653,135.615844749564,8.61823076162373)); #50176=CARTESIAN_POINT('',(-67.3998067146484,159.726231995782,8.61823076162373)); #50177=CARTESIAN_POINT('Origin',(-86.7803751608258,135.511424746142,8.61823076162373)); #50178=CARTESIAN_POINT('Origin',(-71.5321301580077,163.070020289322,9.06611853289934)); #50179=CARTESIAN_POINT('',(-91.7998236136576,138.28667700954,9.06611853289934)); #50180=CARTESIAN_POINT('Origin',(-91.670779191518,138.182257006118,9.06611853289934)); #50181=CARTESIAN_POINT('',(-91.1707874484642,139.064053046525,9.06611853289934)); #50182=CARTESIAN_POINT('',(-71.6611745801473,163.174440292744,9.06611853289934)); #50183=CARTESIAN_POINT('Origin',(-91.0417430263246,138.959633043103,9.06611853289934)); #50184=CARTESIAN_POINT('Origin',(-69.4014462252583,161.345916140841,8.84217464726153)); #50185=CARTESIAN_POINT('',(-89.6691396809081,136.562572861059,8.84217464726153)); #50186=CARTESIAN_POINT('Origin',(-89.5400952587685,136.458152857637,8.84217464726153)); #50187=CARTESIAN_POINT('',(-89.0401035157147,137.339948898044,8.84217464726153)); #50188=CARTESIAN_POINT('',(-69.5304906473978,161.450336144263,8.84217464726153)); #50189=CARTESIAN_POINT('Origin',(-88.9110590935752,137.235528894622,8.84217464726153)); #50190=CARTESIAN_POINT('Origin',(-65.1400783597594,157.897707843879,8.39428687598592)); #50191=CARTESIAN_POINT('',(-85.4077718154092,133.114364564098,8.39428687598592)); #50192=CARTESIAN_POINT('Origin',(-85.2787273932697,133.009944560675,8.39428687598592)); #50193=CARTESIAN_POINT('',(-84.7787356502159,133.891740601083,8.39428687598592)); #50194=CARTESIAN_POINT('',(-65.269122781899,158.002127847301,8.39428687598592)); #50195=CARTESIAN_POINT('Origin',(-84.6496912280763,133.787320597661,8.39428687598592)); #50196=CARTESIAN_POINT('Origin',(-60.8787104942605,154.449499546918,7.94639910471031)); #50197=CARTESIAN_POINT('',(-81.1464039499103,129.666156267136,7.94639910471031)); #50198=CARTESIAN_POINT('Origin',(-81.0173595277708,129.561736263714,7.94639910471031)); #50199=CARTESIAN_POINT('',(-80.517367784717,130.443532304121,7.94639910471031)); #50200=CARTESIAN_POINT('',(-61.0077549164001,154.55391955034,7.94639910471031)); #50201=CARTESIAN_POINT('Origin',(-80.3883233625774,130.339112300699,7.94639910471031)); #50202=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,-5.)); #50203=CARTESIAN_POINT('',(-79.3745117452461,128.232378758531,-5.)); #50204=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,-5.)); #50205=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,-5.)); #50206=CARTESIAN_POINT('',(-59.2358627117359,153.120142041735,-5.)); #50207=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,-5.)); #50208=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,0.)); #50209=CARTESIAN_POINT('',(-79.3745117452461,128.232378758531,-2.03291368658461E-17)); #50210=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,0.)); #50211=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,-2.03291368658461E-17)); #50212=CARTESIAN_POINT('',(-59.2358627117359,153.120142041735,-2.03291368658461E-17)); #50213=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,0.)); #50214=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,5.)); #50215=CARTESIAN_POINT('',(-79.3745117452461,128.232378758531,5.)); #50216=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,5.)); #50217=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,5.)); #50218=CARTESIAN_POINT('',(-59.2358627117359,153.120142041735,5.)); #50219=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,5.)); #50220=CARTESIAN_POINT('Origin',(-73.1151622136159,164.350976179729,8.99999999980005)); #50221=CARTESIAN_POINT('',(-93.3828556692657,139.567632899948,8.99999999980005)); #50222=CARTESIAN_POINT('Origin',(-93.2538112471261,139.463212896526,8.99999999980005)); #50223=CARTESIAN_POINT('',(-92.7538195040723,140.345008936933,8.99999999980005)); #50224=CARTESIAN_POINT('',(-73.2442066357554,164.455396183151,8.99999999980005)); #50225=CARTESIAN_POINT('Origin',(-92.6247750819328,140.240588933511,8.99999999980005)); #50226=CARTESIAN_POINT('Origin',(-73.1151622135949,164.350976179712,2.99999999980013)); #50227=CARTESIAN_POINT('',(-93.3828556692447,139.567632899931,2.99999999980013)); #50228=CARTESIAN_POINT('Origin',(-93.2538112471051,139.463212896509,2.99999999980013)); #50229=CARTESIAN_POINT('',(-92.7538195040513,140.345008936916,2.99999999980013)); #50230=CARTESIAN_POINT('',(-73.2442066357344,164.455396183134,2.99999999980013)); #50231=CARTESIAN_POINT('Origin',(-92.6247750819118,140.240588933494,2.99999999980013)); #50232=CARTESIAN_POINT('Origin',(-73.1151622135739,164.350976179695,-3.00000000019979)); #50233=CARTESIAN_POINT('',(-93.3828556692237,139.567632899914,-3.00000000019979)); #50234=CARTESIAN_POINT('Origin',(-93.2538112470841,139.463212896492,-3.00000000019979)); #50235=CARTESIAN_POINT('',(-92.7538195040303,140.345008936899,-3.00000000019979)); #50236=CARTESIAN_POINT('',(-73.2442066357134,164.455396183117,-3.00000000019979)); #50237=CARTESIAN_POINT('Origin',(-92.6247750818908,140.240588933477,-3.00000000019979)); #50238=CARTESIAN_POINT('Origin',(-73.1151622135528,164.350976179678,-9.0000000001997)); #50239=CARTESIAN_POINT('',(-93.3828556692026,139.567632899897,-9.0000000001997)); #50240=CARTESIAN_POINT('Origin',(-93.2538112470631,139.463212896474,-9.0000000001997)); #50241=CARTESIAN_POINT('',(-92.7538195040093,140.345008936882,-9.0000000001997)); #50242=CARTESIAN_POINT('',(-73.2442066356924,164.4553961831,-9.0000000001997)); #50243=CARTESIAN_POINT('Origin',(-92.6247750818697,140.24058893346,-9.0000000001997)); #50244=CARTESIAN_POINT('Origin',(-73.1151622135633,164.350976179687,-6.00000000019974)); #50245=CARTESIAN_POINT('',(-93.3828556692132,139.567632899905,-6.00000000019974)); #50246=CARTESIAN_POINT('Origin',(-93.2538112470736,139.463212896483,-6.00000000019974)); #50247=CARTESIAN_POINT('',(-92.7538195040198,140.34500893689,-6.00000000019974)); #50248=CARTESIAN_POINT('',(-73.2442066357029,164.455396183109,-6.00000000019974)); #50249=CARTESIAN_POINT('Origin',(-92.6247750818803,140.240588933468,-6.00000000019974)); #50250=CARTESIAN_POINT('Origin',(-73.1151622135844,164.350976179704,-1.99826157370801E-10)); #50251=CARTESIAN_POINT('',(-93.3828556692342,139.567632899922,-1.99826177699937E-10)); #50252=CARTESIAN_POINT('Origin',(-93.2538112470946,139.4632128965,-1.99826157370801E-10)); #50253=CARTESIAN_POINT('',(-92.7538195040408,140.345008936907,-1.99826177699937E-10)); #50254=CARTESIAN_POINT('',(-73.2442066357239,164.455396183126,-1.99826177699937E-10)); #50255=CARTESIAN_POINT('Origin',(-92.6247750819013,140.240588933485,-1.99826157370801E-10)); #50256=CARTESIAN_POINT('Origin',(-73.1151622136054,164.350976179721,5.99999999980009)); #50257=CARTESIAN_POINT('',(-93.3828556692552,139.567632899939,5.99999999980009)); #50258=CARTESIAN_POINT('Origin',(-93.2538112471156,139.463212896517,5.99999999980009)); #50259=CARTESIAN_POINT('',(-92.7538195040618,140.345008936924,5.99999999980009)); #50260=CARTESIAN_POINT('',(-73.2442066357449,164.455396183143,5.99999999980009)); #50261=CARTESIAN_POINT('Origin',(-92.6247750819223,140.240588933502,5.99999999980009)); #50262=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,7.5)); #50263=CARTESIAN_POINT('',(-79.3745117452461,128.232378758531,7.5)); #50264=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,7.5)); #50265=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,7.5)); #50266=CARTESIAN_POINT('',(-59.2358627117359,153.120142041735,7.5)); #50267=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,7.5)); #50268=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,2.5)); #50269=CARTESIAN_POINT('',(-79.3745117452461,128.232378758531,2.5)); #50270=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,2.5)); #50271=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,2.5)); #50272=CARTESIAN_POINT('',(-59.2358627117359,153.120142041735,2.5)); #50273=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,2.5)); #50274=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,-2.5)); #50275=CARTESIAN_POINT('',(-79.3745117452461,128.232378758531,-2.5)); #50276=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,-2.5)); #50277=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,-2.5)); #50278=CARTESIAN_POINT('',(-59.2358627117359,153.120142041735,-2.5)); #50279=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,-2.5)); #50280=CARTESIAN_POINT('Origin',(-59.1068182895963,153.015722038312,-7.5)); #50281=CARTESIAN_POINT('',(-79.3745117452461,128.232378758531,-7.5)); #50282=CARTESIAN_POINT('Origin',(-79.2454673231066,128.127958755109,-7.5)); #50283=CARTESIAN_POINT('',(-78.7454755800528,129.009754795516,-7.5)); #50284=CARTESIAN_POINT('',(-59.2358627117359,153.120142041735,-7.5)); #50285=CARTESIAN_POINT('Origin',(-78.6164311579132,128.905334792094,-7.5)); #50286=CARTESIAN_POINT('Origin',(-78.0722679320234,128.465009476458,0.)); #50287=CARTESIAN_POINT('',(-78.0722679320234,128.465009476458,-8.20572601645234)); #50288=CARTESIAN_POINT('',(-78.7013040972167,127.687633439473,-8.27184038154944)); #50289=CARTESIAN_POINT('',(-78.0600612687552,128.48009472599,-8.2044430444444)); #50290=CARTESIAN_POINT('',(-78.0722679320234,128.465009476458,8.20572601645234)); #50291=CARTESIAN_POINT('',(-78.0722679320234,128.465009476458,0.)); #50292=CARTESIAN_POINT('',(-78.7013040972167,127.687633439473,8.27184038154944)); #50293=CARTESIAN_POINT('',(-78.0600612687552,128.48009472599,8.2044430444444)); #50294=CARTESIAN_POINT('',(-78.7013040972167,127.687633439473,0.)); #50295=CARTESIAN_POINT('Origin',(-96.4833752792967,143.362886194663,0.)); #50296=CARTESIAN_POINT('',(-97.11241144449,142.585510157678,10.2069257396789)); #50297=CARTESIAN_POINT('',(-96.4833752792967,143.362886194663,10.1408113745818)); #50298=CARTESIAN_POINT('',(-96.4110735764854,143.452238149779,10.1332121593994)); #50299=CARTESIAN_POINT('',(-96.4833752792967,143.362886194663,-10.1408113745818)); #50300=CARTESIAN_POINT('',(-96.4833752792967,143.362886194663,0.)); #50301=CARTESIAN_POINT('',(-97.11241144449,142.585510157678,-10.2069257396789)); #50302=CARTESIAN_POINT('',(-96.4110735764854,143.452238149779,-10.1332121593994)); #50303=CARTESIAN_POINT('',(-97.11241144449,142.585510157678,0.)); #50304=CARTESIAN_POINT('Origin',(-81.2865105614164,129.779527559055,0.)); #50305=CARTESIAN_POINT('',(-92.2837622791675,138.678269891052,-9.69941426179142)); #50306=CARTESIAN_POINT('',(-78.5364785852755,127.554260138748,8.25451652216459)); #50307=CARTESIAN_POINT('Origin',(-90.0622912101675,137.912580105706,-9.46592824391935)); #50308=CARTESIAN_POINT('',(-82.707445936027,132.215696991775,-8.69290285588343)); #50309=CARTESIAN_POINT('Origin',(-99.7987694091033,146.045632652356,0.)); #50310=CARTESIAN_POINT('',(-94.5378088932938,141.788575230143,9.93632410742235)); #50311=CARTESIAN_POINT('Origin',(-90.0622912101675,137.912580105706,9.46592824391935)); #50312=CARTESIAN_POINT('',(13.2124921318216,76.4273665213044,1.0007028739721)); #50313=CARTESIAN_POINT('',(13.2120889135453,-76.4261259061188,0.999956431750568)); #50314=CARTESIAN_POINT('',(-59.4702415959788,-23.6178355194463,1.00004415296077)); #50315=CARTESIAN_POINT('',(-59.4712983769486,23.6145830820534,1.00004415296076)); #50316=CARTESIAN_POINT('',(-31.7068675581488,-61.8290436932221,1.00004415296076)); #50317=CARTESIAN_POINT('',(-31.7096342466462,61.827033576367,1.00004415296076)); #50318=CARTESIAN_POINT('',(-62.392015849252,-1.13527742561661E-13,1.)); #50319=CARTESIAN_POINT('',(-82.3000703197184,-31.0338986302408,1.)); #50320=CARTESIAN_POINT('',(-76.8346572427383,0.858619389165828,-8.13961905056435)); #50321=CARTESIAN_POINT('',(-76.8346572427407,-2.00000000575238,-8.13304751121688)); #50322=CARTESIAN_POINT('',(-84.6342118662632,2.23896022529196,-36.3953242759408)); #50323=CARTESIAN_POINT('',(-19.8495580731707,3.47495704313241,-14.8944826216909)); #50324=CARTESIAN_POINT('',(-19.849558097405,-3.47495681255434,-14.8944826216908)); #50325=CARTESIAN_POINT('',(-19.0963512205165,6.86744739918206,-17.8733791459177)); #50326=CARTESIAN_POINT('',(-19.8181490276344,-8.2162375154726E-13,-17.8733791459176)); #50327=CARTESIAN_POINT('',(-19.0963512205157,-6.8674473991835,-17.8733791459178)); #50328=CARTESIAN_POINT('',(-78.0311629244488,0.000497778926118359,-38.4369498195935)); #50329=CARTESIAN_POINT('',(-82.3005309735845,-31.032764592574,-37.4369398281203)); #50330=CARTESIAN_POINT('',(-62.392015849252,-1.12456993157676E-13,1.03)); #50331=CARTESIAN_POINT('',(13.2124485889287,-2.69425776527144E-12,129.779527559046)); #50332=CARTESIAN_POINT('',(13.2124485889284,-4.39543414788605E-13,129.779527559046)); #50333=CARTESIAN_POINT('',(13.2124485889285,1.83440314556963E-12,129.779527559046)); #50334=CARTESIAN_POINT('',(13.2124485889392,5.31376895370974E-14,129.779527559055)); #50335=CARTESIAN_POINT('',(13.2124485889393,5.69972765398112E-14,129.779527559055)); #50336=CARTESIAN_POINT('',(13.2124485889392,4.65069802441404E-14,129.779527559055)); #50337=CARTESIAN_POINT('',(-47.9528479921739,44.4391892042395,1.)); #50338=CARTESIAN_POINT('',(-82.3000703197184,31.0338986302407,1.)); #50339=CARTESIAN_POINT('',(-59.1325065088833,53.6229984970146,-8.13961905056435)); #50340=CARTESIAN_POINT('',(-60.8127608311353,51.3103268260773,-8.13304751121688)); #50341=CARTESIAN_POINT('',(-64.6311347612587,59.3241808736279,-36.3953242759408)); #50342=CARTESIAN_POINT('',(-11.4927481665423,22.2446592298007,-14.8944826216909)); #50343=CARTESIAN_POINT('',(-15.5778050552241,16.6220608253528,-14.8944826216908)); #50344=CARTESIAN_POINT('',(-8.88933522263501,24.5465177011823,-17.8733791459177)); #50345=CARTESIAN_POINT('',(-13.5098662172299,19.4148981534282,-17.8733791459176)); #50346=CARTESIAN_POINT('',(-16.9625038269022,13.434754393352,-17.8733791459178)); #50347=CARTESIAN_POINT('',(-60.6048911664256,53.6320519250839,-38.4369498195935)); #50348=CARTESIAN_POINT('',(-82.2997764259085,31.0350868515344,-37.4369398281203)); #50349=CARTESIAN_POINT('',(-47.9528479921739,44.4391892042395,1.03)); #50350=CARTESIAN_POINT('',(13.2124485889291,4.02687507136482E-12,129.779527559046)); #50351=CARTESIAN_POINT('',(13.2124485889302,6.04101196013361E-12,129.779527559046)); #50352=CARTESIAN_POINT('',(13.2124485889317,7.79498950076976E-12,129.779527559046)); #50353=CARTESIAN_POINT('',(13.2124485889393,5.4549540737488E-14,129.779527559055)); #50354=CARTESIAN_POINT('',(13.2124485889393,4.89547160464636E-14,129.779527559055)); #50355=CARTESIAN_POINT('',(13.2124485889393,5.73469530830002E-14,129.779527559055)); #50356=CARTESIAN_POINT('',(-47.9528479921738,-44.4391892042397,1.)); #50357=CARTESIAN_POINT('',(-45.8175344477282,-81.2478014173892,1.)); #50358=CARTESIAN_POINT('',(-60.1418741374513,-52.2337231419446,-8.13961905056435)); #50359=CARTESIAN_POINT('',(-58.4616198152032,-54.5463948128847,-8.13304751121688)); #50360=CARTESIAN_POINT('',(-67.2631903630508,-55.7014671296464,-36.3953242759408)); #50361=CARTESIAN_POINT('',(-15.5778051711485,-16.6220606245667,-14.8944826216909)); #50362=CARTESIAN_POINT('',(-11.4927483216787,-22.2446590575038,-14.8944826216908)); #50363=CARTESIAN_POINT('',(-16.9625038269021,-13.4347543933537,-17.8733791459177)); #50364=CARTESIAN_POINT('',(-13.509866217229,-19.4148981534296,-17.8733791459176)); #50365=CARTESIAN_POINT('',(-8.88933522263349,-24.546517701183,-17.8733791459178)); #50366=CARTESIAN_POINT('',(-60.605476340649,-53.6312465018625,-38.4369498195935)); #50367=CARTESIAN_POINT('',(-45.8185736951505,-81.2471547271933,-37.4369398281203)); #50368=CARTESIAN_POINT('',(-47.9528479921738,-44.4391892042397,1.03)); #50369=CARTESIAN_POINT('',(13.2124485889323,-8.39083833036386E-12,129.779527559046)); #50370=CARTESIAN_POINT('',(13.2124485889307,-6.75714952058473E-12,129.779527559046)); #50371=CARTESIAN_POINT('',(13.2124485889295,-4.83252982687233E-12,129.779527559046)); #50372=CARTESIAN_POINT('',(13.2124485889392,2.65754172823659E-14,129.779527559055)); #50373=CARTESIAN_POINT('',(13.2124485889392,3.77650666644148E-14,129.779527559055)); #50374=CARTESIAN_POINT('',(13.2124485889392,1.25883555548049E-14,129.779527559055)); #50375=CARTESIAN_POINT('',(-10.1506157730783,71.904118564947,1.)); #50376=CARTESIAN_POINT('',(-45.8175344477282,81.2478014173891,1.)); #50377=CARTESIAN_POINT('',(-13.797041842245,85.9052147576883,-8.13961905056435)); #50378=CARTESIAN_POINT('',(-16.5157504453904,85.021852784211,-8.13304751121688)); #50379=CARTESIAN_POINT('',(-14.8944546225639,93.7495807829844,-36.3953242759408)); #50380=CARTESIAN_POINT('',(6.30060720192639,32.5176576588443,-14.8944826216909)); #50381=CARTESIAN_POINT('',(-0.309153665703289,30.3700161910434,-14.8944826216908)); #50382=CARTESIAN_POINT('',(9.75981097926567,32.8496525467818,-17.8733791459177)); #50383=CARTESIAN_POINT('',(3.0054325910566,31.4139651003653,-17.8733791459176)); #50384=CARTESIAN_POINT('',(-3.30285021934804,28.605336638134,-17.8733791459178)); #50385=CARTESIAN_POINT('',(-14.9829045809519,86.7779851222588,-38.4369498195935)); #50386=CARTESIAN_POINT('',(-45.8165982636886,81.2485899621615,-37.4369398281203)); #50387=CARTESIAN_POINT('',(-10.1506157730783,71.904118564947,1.03)); #50388=CARTESIAN_POINT('',(13.2124485889334,9.20488532290791E-12,129.779527559046)); #50389=CARTESIAN_POINT('',(13.2124485889355,1.02105550611195E-11,129.779527559046)); #50390=CARTESIAN_POINT('',(13.2124485889377,1.07742336487403E-11,129.779527559046)); #50391=CARTESIAN_POINT('',(13.2124485889393,3.21702419733904E-14,129.779527559055)); #50392=CARTESIAN_POINT('',(13.2124485889393,1.95818864185854E-14,129.779527559055)); #50393=CARTESIAN_POINT('',(13.2124485889393,4.19611851826831E-14,129.779527559055)); #50394=CARTESIAN_POINT('',(-10.1506157730781,-71.9041185649471,1.)); #50395=CARTESIAN_POINT('',(13.2124485889393,-100.427805574297,1.)); #50396=CARTESIAN_POINT('',(-15.4302329724121,-85.3745587917842,-8.13961905056435)); #50397=CARTESIAN_POINT('',(-12.7115243692681,-86.257920765266,-8.13304751121688)); #50398=CARTESIAN_POINT('',(-19.153210046543,-92.3658272642949,-36.3953242759408)); #50399=CARTESIAN_POINT('',(-0.30915387750727,-30.3700160967426,-14.8944826216909)); #50400=CARTESIAN_POINT('',(6.30060697514477,-32.51765761064,-14.8944826216908)); #50401=CARTESIAN_POINT('',(-3.30285021934695,-28.6053366381353,-17.8733791459177)); #50402=CARTESIAN_POINT('',(3.00543259105814,-31.4139651003658,-17.8733791459176)); #50403=CARTESIAN_POINT('',(9.75981097926728,-32.8496525467815,-17.8733791459178)); #50404=CARTESIAN_POINT('',(-14.9838514127346,-86.7776774779636,-38.4369498195935)); #50405=CARTESIAN_POINT('',(13.2112277051534,-100.427893245247,-37.4369398281203)); #50406=CARTESIAN_POINT('',(-10.1506157730781,-71.9041185649471,1.03)); #50407=CARTESIAN_POINT('',(13.2124485889386,-1.08861301425607E-11,129.779527559046)); #50408=CARTESIAN_POINT('',(13.2124485889363,-1.04986885327073E-11,129.779527559046)); #50409=CARTESIAN_POINT('',(13.2124485889342,-9.65806612288089E-12,129.779527559046)); #50410=CARTESIAN_POINT('',(13.2124485889392,-1.53857679003171E-14,129.779527559055)); #50411=CARTESIAN_POINT('',(13.2124485889392,0.,129.779527559055)); #50412=CARTESIAN_POINT('',(13.2124485889392,-3.07715358006343E-14,129.779527559055)); #50413=CARTESIAN_POINT('',(13.212405046057,-76.4273665213044,1.0007028739721)); #50414=CARTESIAN_POINT('',(13.2128082643332,76.4261259061187,0.999956431750568)); #50415=CARTESIAN_POINT('',(85.8951387738574,23.6178355194463,1.00004415296077)); #50416=CARTESIAN_POINT('',(85.8961955548271,-23.6145830820534,1.00004415296076)); #50417=CARTESIAN_POINT('',(58.1317647360273,61.8290436932221,1.00004415296076)); #50418=CARTESIAN_POINT('',(58.1345314245247,-61.827033576367,1.00004415296076)); #50419=CARTESIAN_POINT('',(88.8169130271306,1.00407320335112E-13,1.)); #50420=CARTESIAN_POINT('',(108.724967497597,31.0338986302408,1.)); #50421=CARTESIAN_POINT('',(103.259554420617,-0.858619389165839,-8.13961905056435)); #50422=CARTESIAN_POINT('',(103.259554420619,2.00000000575237,-8.13304751121688)); #50423=CARTESIAN_POINT('',(111.059109044142,-2.23896022529197,-36.3953242759408)); #50424=CARTESIAN_POINT('',(46.2744552510492,-3.47495704313243,-14.8944826216909)); #50425=CARTESIAN_POINT('',(46.2744552752835,3.47495681255432,-14.8944826216908)); #50426=CARTESIAN_POINT('',(45.5212483983951,-6.86744739918208,-17.8733791459177)); #50427=CARTESIAN_POINT('',(46.2430462055129,8.03289534347669E-13,-17.8733791459176)); #50428=CARTESIAN_POINT('',(45.5212483983942,6.86744739918348,-17.8733791459178)); #50429=CARTESIAN_POINT('',(104.456060102327,-0.000497778926129564,-38.4369498195935)); #50430=CARTESIAN_POINT('',(108.725428151463,31.0327645925739,-37.4369398281203)); #50431=CARTESIAN_POINT('',(88.8169130271306,9.93365709311267E-14,1.03)); #50432=CARTESIAN_POINT('',(13.2124485889498,2.67187846650735E-12,129.779527559046)); #50433=CARTESIAN_POINT('',(13.2124485889502,4.17164116024509E-13,129.779527559046)); #50434=CARTESIAN_POINT('',(13.21244858895,-1.85678244433373E-12,129.779527559046)); #50435=CARTESIAN_POINT('',(13.2124485889393,-7.55169883011951E-14,129.779527559055)); #50436=CARTESIAN_POINT('',(13.2124485889393,-7.93765753039088E-14,129.779527559055)); #50437=CARTESIAN_POINT('',(13.2124485889393,-6.8886279008238E-14,129.779527559055)); #50438=CARTESIAN_POINT('',(74.3777451700525,-44.4391892042395,1.)); #50439=CARTESIAN_POINT('',(108.724967497597,-31.0338986302407,1.)); #50440=CARTESIAN_POINT('',(85.5574036867618,-53.6229984970146,-8.13961905056435)); #50441=CARTESIAN_POINT('',(87.2376580090139,-51.3103268260774,-8.13304751121688)); #50442=CARTESIAN_POINT('',(91.0560319391372,-59.3241808736279,-36.3953242759408)); #50443=CARTESIAN_POINT('',(37.9176453444208,-22.2446592298008,-14.8944826216909)); #50444=CARTESIAN_POINT('',(42.0027022331026,-16.6220608253529,-14.8944826216908)); #50445=CARTESIAN_POINT('',(35.3142324005135,-24.5465177011823,-17.8733791459177)); #50446=CARTESIAN_POINT('',(39.9347633951085,-19.4148981534282,-17.8733791459176)); #50447=CARTESIAN_POINT('',(43.3874010047807,-13.434754393352,-17.8733791459178)); #50448=CARTESIAN_POINT('',(87.0297883443042,-53.6320519250839,-38.4369498195935)); #50449=CARTESIAN_POINT('',(108.724673603787,-31.0350868515344,-37.4369398281203)); #50450=CARTESIAN_POINT('',(74.3777451700525,-44.4391892042395,1.03)); #50451=CARTESIAN_POINT('',(13.2124485889494,-4.04925437012892E-12,129.779527559046)); #50452=CARTESIAN_POINT('',(13.2124485889483,-6.0633912588977E-12,129.779527559046)); #50453=CARTESIAN_POINT('',(13.2124485889469,-7.81736879953386E-12,129.779527559046)); #50454=CARTESIAN_POINT('',(13.2124485889393,-7.69288395015856E-14,129.779527559055)); #50455=CARTESIAN_POINT('',(13.2124485889392,-7.13340148105612E-14,129.779527559055)); #50456=CARTESIAN_POINT('',(13.2124485889393,-7.97262518470979E-14,129.779527559055)); #50457=CARTESIAN_POINT('',(74.3777451700523,44.4391892042397,1.)); #50458=CARTESIAN_POINT('',(72.2424316256067,81.2478014173892,1.)); #50459=CARTESIAN_POINT('',(86.5667713153299,52.2337231419446,-8.13961905056435)); #50460=CARTESIAN_POINT('',(84.8865169930817,54.5463948128847,-8.13304751121688)); #50461=CARTESIAN_POINT('',(93.6880875409293,55.7014671296464,-36.3953242759408)); #50462=CARTESIAN_POINT('',(42.002702349027,16.6220606245667,-14.8944826216909)); #50463=CARTESIAN_POINT('',(37.9176454995572,22.2446590575037,-14.8944826216908)); #50464=CARTESIAN_POINT('',(43.3874010047806,13.4347543933536,-17.8733791459177)); #50465=CARTESIAN_POINT('',(39.9347633951075,19.4148981534295,-17.8733791459176)); #50466=CARTESIAN_POINT('',(35.314232400512,24.5465177011829,-17.8733791459178)); #50467=CARTESIAN_POINT('',(87.0303735185275,53.6312465018625,-38.4369498195935)); #50468=CARTESIAN_POINT('',(72.243470873029,81.2471547271933,-37.4369398281203)); #50469=CARTESIAN_POINT('',(74.3777451700523,44.4391892042397,1.03)); #50470=CARTESIAN_POINT('',(13.2124485889462,8.36845903159976E-12,129.779527559046)); #50471=CARTESIAN_POINT('',(13.2124485889478,6.73477022182064E-12,129.779527559046)); #50472=CARTESIAN_POINT('',(13.212448588949,4.81015052810824E-12,129.779527559046)); #50473=CARTESIAN_POINT('',(13.2124485889393,-4.89547160464636E-14,129.779527559055)); #50474=CARTESIAN_POINT('',(13.2124485889393,-6.01443654285124E-14,129.779527559055)); #50475=CARTESIAN_POINT('',(13.2124485889393,-3.49676543189026E-14,129.779527559055)); #50476=CARTESIAN_POINT('',(36.5755129509569,-71.904118564947,1.)); #50477=CARTESIAN_POINT('',(72.2424316256068,-81.2478014173891,1.)); #50478=CARTESIAN_POINT('',(40.2219390201235,-85.9052147576884,-8.13961905056435)); #50479=CARTESIAN_POINT('',(42.940647623269,-85.0218527842111,-8.13304751121688)); #50480=CARTESIAN_POINT('',(41.3193518004424,-93.7495807829845,-36.3953242759408)); #50481=CARTESIAN_POINT('',(20.1242899759521,-32.5176576588443,-14.8944826216909)); #50482=CARTESIAN_POINT('',(26.7340508435818,-30.3700161910434,-14.8944826216908)); #50483=CARTESIAN_POINT('',(16.6650861986129,-32.8496525467818,-17.8733791459177)); #50484=CARTESIAN_POINT('',(23.4194645868219,-31.4139651003653,-17.8733791459176)); #50485=CARTESIAN_POINT('',(29.7277473972266,-28.605336638134,-17.8733791459178)); #50486=CARTESIAN_POINT('',(41.4078017588304,-86.7779851222589,-38.4369498195935)); #50487=CARTESIAN_POINT('',(72.2414954415671,-81.2485899621615,-37.4369398281203)); #50488=CARTESIAN_POINT('',(36.5755129509569,-71.904118564947,1.03)); #50489=CARTESIAN_POINT('',(13.2124485889451,-9.22726462167201E-12,129.779527559046)); #50490=CARTESIAN_POINT('',(13.212448588943,-1.02329343598836E-11,129.779527559046)); #50491=CARTESIAN_POINT('',(13.2124485889408,-1.07966129475044E-11,129.779527559046)); #50492=CARTESIAN_POINT('',(13.2124485889392,-5.4549540737488E-14,129.779527559055)); #50493=CARTESIAN_POINT('',(13.2124485889392,-4.19611851826831E-14,129.779527559055)); #50494=CARTESIAN_POINT('',(13.2124485889392,-6.43404839467807E-14,129.779527559055)); #50495=CARTESIAN_POINT('',(36.5755129509566,71.9041185649471,1.)); #50496=CARTESIAN_POINT('',(13.2124485889392,100.427805574297,1.)); #50497=CARTESIAN_POINT('',(41.8551301502906,85.3745587917842,-8.13961905056435)); #50498=CARTESIAN_POINT('',(39.1364215471467,86.257920765266,-8.13304751121688)); #50499=CARTESIAN_POINT('',(45.5781072244216,92.3658272642949,-36.3953242759408)); #50500=CARTESIAN_POINT('',(26.7340510553858,30.3700160967426,-14.8944826216909)); #50501=CARTESIAN_POINT('',(20.1242902027338,32.5176576106399,-14.8944826216908)); #50502=CARTESIAN_POINT('',(29.7277473972255,28.6053366381352,-17.8733791459177)); #50503=CARTESIAN_POINT('',(23.4194645868204,31.4139651003658,-17.8733791459176)); #50504=CARTESIAN_POINT('',(16.6650861986112,32.8496525467815,-17.8733791459178)); #50505=CARTESIAN_POINT('',(41.4087485906132,86.7776774779636,-38.4369498195935)); #50506=CARTESIAN_POINT('',(13.2136694727252,100.427893245247,-37.4369398281203)); #50507=CARTESIAN_POINT('',(36.5755129509566,71.9041185649471,1.03)); #50508=CARTESIAN_POINT('',(13.21244858894,1.08637508437966E-11,129.779527559046)); #50509=CARTESIAN_POINT('',(13.2124485889422,1.04763092339432E-11,129.779527559046)); #50510=CARTESIAN_POINT('',(13.2124485889443,9.63568682411679E-12,129.779527559046)); #50511=CARTESIAN_POINT('',(13.2124485889393,-6.99353086378051E-15,129.779527559055)); #50512=CARTESIAN_POINT('',(13.2124485889393,-2.23792987640976E-14,129.779527559055)); #50513=CARTESIAN_POINT('',(13.2124485889393,8.39223703653663E-15,129.779527559055)); #50514=CARTESIAN_POINT('Origin',(0.,0.,0.)); #50515=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50516=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50517=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50518=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50519=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50520=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50521=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50522=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50523=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50524=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50525=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50526=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50527=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50528=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50529=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50530=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50531=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50532=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #50552,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #50533=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50515)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50534=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50516)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50535=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50517)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50536=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50518)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50537=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50519)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50538=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50520)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50539=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50521)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50540=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50522)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50541=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50523)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50542=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50524)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50543=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50525)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50544=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50526)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50545=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50527)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50546=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50528)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50547=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50529)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50548=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50530)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50549=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50531)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50550=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#50532)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#50552,#50556,#50557)) REPRESENTATION_CONTEXT('','3D') ); #50551=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.); #50552=( CONVERSION_BASED_UNIT('inch',#50555) LENGTH_UNIT() NAMED_UNIT(#50551) ); #50553=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #50554=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #50555=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#50553); #50556=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #50557=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #50558=SHAPE_DEFINITION_REPRESENTATION(#50575,#50794); #50559=SHAPE_DEFINITION_REPRESENTATION(#50576,#50795); #50560=SHAPE_DEFINITION_REPRESENTATION(#50577,#50796); #50561=SHAPE_DEFINITION_REPRESENTATION(#50578,#50797); #50562=SHAPE_DEFINITION_REPRESENTATION(#50579,#50798); #50563=SHAPE_DEFINITION_REPRESENTATION(#50580,#50799); #50564=SHAPE_DEFINITION_REPRESENTATION(#50581,#50800); #50565=SHAPE_DEFINITION_REPRESENTATION(#50582,#50801); #50566=SHAPE_DEFINITION_REPRESENTATION(#50583,#50802); #50567=SHAPE_DEFINITION_REPRESENTATION(#50584,#50803); #50568=SHAPE_DEFINITION_REPRESENTATION(#50585,#50804); #50569=SHAPE_DEFINITION_REPRESENTATION(#50586,#50805); #50570=SHAPE_DEFINITION_REPRESENTATION(#50587,#50806); #50571=SHAPE_DEFINITION_REPRESENTATION(#50588,#50807); #50572=SHAPE_DEFINITION_REPRESENTATION(#50589,#50808); #50573=SHAPE_DEFINITION_REPRESENTATION(#50590,#50809); #50574=SHAPE_DEFINITION_REPRESENTATION(#50591,#50810); #50575=PRODUCT_DEFINITION_SHAPE('',$,#50812); #50576=PRODUCT_DEFINITION_SHAPE('',$,#50813); #50577=PRODUCT_DEFINITION_SHAPE('',$,#50814); #50578=PRODUCT_DEFINITION_SHAPE('',$,#50815); #50579=PRODUCT_DEFINITION_SHAPE('',$,#50816); #50580=PRODUCT_DEFINITION_SHAPE('',$,#50817); #50581=PRODUCT_DEFINITION_SHAPE('',$,#50818); #50582=PRODUCT_DEFINITION_SHAPE('',$,#50819); #50583=PRODUCT_DEFINITION_SHAPE('',$,#50820); #50584=PRODUCT_DEFINITION_SHAPE('',$,#50821); #50585=PRODUCT_DEFINITION_SHAPE('',$,#50822); #50586=PRODUCT_DEFINITION_SHAPE('',$,#50823); #50587=PRODUCT_DEFINITION_SHAPE('',$,#50824); #50588=PRODUCT_DEFINITION_SHAPE('',$,#50825); #50589=PRODUCT_DEFINITION_SHAPE('',$,#50826); #50590=PRODUCT_DEFINITION_SHAPE('',$,#50827); #50591=PRODUCT_DEFINITION_SHAPE('',$,#50828); #50592=PRODUCT_DEFINITION_SHAPE($,$,#629); #50593=PRODUCT_DEFINITION_SHAPE($,$,#630); #50594=PRODUCT_DEFINITION_SHAPE($,$,#631); #50595=PRODUCT_DEFINITION_SHAPE($,$,#632); #50596=PRODUCT_DEFINITION_SHAPE($,$,#633); #50597=PRODUCT_DEFINITION_SHAPE($,$,#634); #50598=PRODUCT_DEFINITION_SHAPE($,$,#635); #50599=PRODUCT_DEFINITION_SHAPE($,$,#636); #50600=PRODUCT_DEFINITION_SHAPE($,$,#637); #50601=PRODUCT_DEFINITION_SHAPE($,$,#638); #50602=PRODUCT_DEFINITION_SHAPE($,$,#639); #50603=PRODUCT_DEFINITION_SHAPE($,$,#640); #50604=PRODUCT_DEFINITION_SHAPE($,$,#641); #50605=PRODUCT_DEFINITION_SHAPE($,$,#642); #50606=PRODUCT_DEFINITION_SHAPE($,$,#643); #50607=PRODUCT_DEFINITION_SHAPE($,$,#644); #50608=PRODUCT_DEFINITION_SHAPE($,$,#645); #50609=PRODUCT_DEFINITION_SHAPE($,$,#646); #50610=PRODUCT_DEFINITION_SHAPE($,$,#647); #50611=PRODUCT_DEFINITION_SHAPE($,$,#648); #50612=PRODUCT_DEFINITION_SHAPE($,$,#649); #50613=PRODUCT_DEFINITION_SHAPE($,$,#650); #50614=PRODUCT_DEFINITION_SHAPE($,$,#651); #50615=PRODUCT_DEFINITION_SHAPE($,$,#652); #50616=PRODUCT_DEFINITION_SHAPE($,$,#653); #50617=PRODUCT_DEFINITION_SHAPE($,$,#654); #50618=PRODUCT_DEFINITION_SHAPE($,$,#655); #50619=PRODUCT_DEFINITION_SHAPE($,$,#656); #50620=PRODUCT_DEFINITION_SHAPE($,$,#657); #50621=PRODUCT_DEFINITION_SHAPE($,$,#658); #50622=PRODUCT_DEFINITION_SHAPE($,$,#659); #50623=PRODUCT_DEFINITION_SHAPE($,$,#660); #50624=PRODUCT_DEFINITION_SHAPE($,$,#661); #50625=PRODUCT_DEFINITION_SHAPE($,$,#662); #50626=PRODUCT_DEFINITION_SHAPE($,$,#663); #50627=PRODUCT_DEFINITION_SHAPE($,$,#664); #50628=PRODUCT_DEFINITION_SHAPE($,$,#665); #50629=PRODUCT_DEFINITION_SHAPE($,$,#666); #50630=PRODUCT_DEFINITION_SHAPE($,$,#667); #50631=PRODUCT_DEFINITION_SHAPE($,$,#668); #50632=PRODUCT_DEFINITION_SHAPE($,$,#669); #50633=PRODUCT_DEFINITION_SHAPE($,$,#670); #50634=PRODUCT_DEFINITION_SHAPE($,$,#671); #50635=PRODUCT_DEFINITION_SHAPE($,$,#672); #50636=PRODUCT_DEFINITION_SHAPE($,$,#673); #50637=PRODUCT_DEFINITION_SHAPE($,$,#674); #50638=PRODUCT_DEFINITION_SHAPE($,$,#675); #50639=PRODUCT_DEFINITION_SHAPE($,$,#676); #50640=PRODUCT_DEFINITION_SHAPE($,$,#677); #50641=PRODUCT_DEFINITION_SHAPE($,$,#678); #50642=PRODUCT_DEFINITION_SHAPE($,$,#679); #50643=PRODUCT_DEFINITION_SHAPE($,$,#680); #50644=PRODUCT_DEFINITION_SHAPE($,$,#681); #50645=PRODUCT_DEFINITION_SHAPE($,$,#682); #50646=PRODUCT_DEFINITION_SHAPE($,$,#683); #50647=PRODUCT_DEFINITION_SHAPE($,$,#684); #50648=PRODUCT_DEFINITION_SHAPE($,$,#685); #50649=PRODUCT_DEFINITION_SHAPE($,$,#686); #50650=PRODUCT_DEFINITION_SHAPE($,$,#687); #50651=PRODUCT_DEFINITION_SHAPE($,$,#688); #50652=PRODUCT_DEFINITION_SHAPE($,$,#689); #50653=PRODUCT_DEFINITION_SHAPE($,$,#690); #50654=PRODUCT_DEFINITION_SHAPE($,$,#691); #50655=PRODUCT_DEFINITION_SHAPE($,$,#692); #50656=PRODUCT_DEFINITION_SHAPE($,$,#693); #50657=PRODUCT_DEFINITION_SHAPE($,$,#694); #50658=PRODUCT_DEFINITION_SHAPE($,$,#695); #50659=PRODUCT_DEFINITION_SHAPE($,$,#696); #50660=PRODUCT_DEFINITION_SHAPE($,$,#697); #50661=PRODUCT_DEFINITION_SHAPE($,$,#698); #50662=PRODUCT_DEFINITION_SHAPE($,$,#699); #50663=PRODUCT_DEFINITION_SHAPE($,$,#700); #50664=PRODUCT_DEFINITION_SHAPE($,$,#701); #50665=PRODUCT_DEFINITION_SHAPE($,$,#702); #50666=PRODUCT_DEFINITION_SHAPE($,$,#703); #50667=PRODUCT_DEFINITION_SHAPE($,$,#704); #50668=PRODUCT_DEFINITION_SHAPE($,$,#705); #50669=PRODUCT_DEFINITION_SHAPE($,$,#706); #50670=PRODUCT_DEFINITION_SHAPE($,$,#707); #50671=PRODUCT_DEFINITION_SHAPE($,$,#708); #50672=PRODUCT_DEFINITION_SHAPE($,$,#709); #50673=PRODUCT_DEFINITION_SHAPE($,$,#710); #50674=PRODUCT_DEFINITION_SHAPE($,$,#711); #50675=PRODUCT_DEFINITION_SHAPE($,$,#712); #50676=PRODUCT_DEFINITION_SHAPE($,$,#713); #50677=PRODUCT_DEFINITION_SHAPE($,$,#714); #50678=PRODUCT_DEFINITION_SHAPE($,$,#715); #50679=PRODUCT_DEFINITION_SHAPE($,$,#716); #50680=PRODUCT_DEFINITION_SHAPE($,$,#717); #50681=PRODUCT_DEFINITION_SHAPE($,$,#718); #50682=PRODUCT_DEFINITION_SHAPE($,$,#719); #50683=PRODUCT_DEFINITION_SHAPE($,$,#720); #50684=PRODUCT_DEFINITION_SHAPE($,$,#721); #50685=PRODUCT_DEFINITION_SHAPE($,$,#722); #50686=PRODUCT_DEFINITION_SHAPE($,$,#723); #50687=PRODUCT_DEFINITION_SHAPE($,$,#724); #50688=PRODUCT_DEFINITION_SHAPE($,$,#725); #50689=PRODUCT_DEFINITION_SHAPE($,$,#726); #50690=PRODUCT_DEFINITION_SHAPE($,$,#727); #50691=PRODUCT_DEFINITION_SHAPE($,$,#728); #50692=PRODUCT_DEFINITION_SHAPE($,$,#729); #50693=PRODUCT_DEFINITION_SHAPE($,$,#730); #50694=PRODUCT_DEFINITION_SHAPE($,$,#731); #50695=PRODUCT_DEFINITION_SHAPE($,$,#732); #50696=PRODUCT_DEFINITION_SHAPE($,$,#733); #50697=PRODUCT_DEFINITION_SHAPE($,$,#734); #50698=PRODUCT_DEFINITION_SHAPE($,$,#735); #50699=PRODUCT_DEFINITION_SHAPE($,$,#736); #50700=PRODUCT_DEFINITION_SHAPE($,$,#737); #50701=PRODUCT_DEFINITION_SHAPE($,$,#738); #50702=PRODUCT_DEFINITION_SHAPE($,$,#739); #50703=PRODUCT_DEFINITION_SHAPE($,$,#740); #50704=PRODUCT_DEFINITION_SHAPE($,$,#741); #50705=PRODUCT_DEFINITION_SHAPE($,$,#742); #50706=PRODUCT_DEFINITION_SHAPE($,$,#743); #50707=PRODUCT_DEFINITION_SHAPE($,$,#744); #50708=PRODUCT_DEFINITION_SHAPE($,$,#745); #50709=PRODUCT_DEFINITION_SHAPE($,$,#746); #50710=PRODUCT_DEFINITION_SHAPE($,$,#747); #50711=PRODUCT_DEFINITION_SHAPE($,$,#748); #50712=PRODUCT_DEFINITION_SHAPE($,$,#749); #50713=PRODUCT_DEFINITION_SHAPE($,$,#750); #50714=PRODUCT_DEFINITION_SHAPE($,$,#751); #50715=PRODUCT_DEFINITION_SHAPE($,$,#752); #50716=PRODUCT_DEFINITION_SHAPE($,$,#753); #50717=PRODUCT_DEFINITION_SHAPE($,$,#754); #50718=PRODUCT_DEFINITION_SHAPE($,$,#755); #50719=PRODUCT_DEFINITION_SHAPE($,$,#756); #50720=PRODUCT_DEFINITION_SHAPE($,$,#757); #50721=PRODUCT_DEFINITION_SHAPE($,$,#758); #50722=PRODUCT_DEFINITION_SHAPE($,$,#759); #50723=PRODUCT_DEFINITION_SHAPE($,$,#760); #50724=PRODUCT_DEFINITION_SHAPE($,$,#761); #50725=PRODUCT_DEFINITION_SHAPE($,$,#762); #50726=PRODUCT_DEFINITION_SHAPE($,$,#763); #50727=PRODUCT_DEFINITION_SHAPE($,$,#764); #50728=PRODUCT_DEFINITION_SHAPE($,$,#765); #50729=PRODUCT_DEFINITION_SHAPE($,$,#766); #50730=PRODUCT_DEFINITION_SHAPE($,$,#767); #50731=PRODUCT_DEFINITION_SHAPE($,$,#768); #50732=PRODUCT_DEFINITION_SHAPE($,$,#769); #50733=PRODUCT_DEFINITION_SHAPE($,$,#770); #50734=PRODUCT_DEFINITION_SHAPE($,$,#771); #50735=PRODUCT_DEFINITION_SHAPE($,$,#772); #50736=PRODUCT_DEFINITION_SHAPE($,$,#773); #50737=PRODUCT_DEFINITION_SHAPE($,$,#774); #50738=PRODUCT_DEFINITION_SHAPE($,$,#775); #50739=PRODUCT_DEFINITION_SHAPE($,$,#776); #50740=PRODUCT_DEFINITION_SHAPE($,$,#777); #50741=PRODUCT_DEFINITION_SHAPE($,$,#778); #50742=PRODUCT_DEFINITION_SHAPE($,$,#779); #50743=PRODUCT_DEFINITION_SHAPE($,$,#780); #50744=PRODUCT_DEFINITION_SHAPE($,$,#781); #50745=PRODUCT_DEFINITION_SHAPE($,$,#782); #50746=PRODUCT_DEFINITION_SHAPE($,$,#783); #50747=PRODUCT_DEFINITION_SHAPE($,$,#784); #50748=PRODUCT_DEFINITION_SHAPE($,$,#785); #50749=PRODUCT_DEFINITION_SHAPE($,$,#786); #50750=PRODUCT_DEFINITION_SHAPE($,$,#787); #50751=PRODUCT_DEFINITION_SHAPE($,$,#788); #50752=PRODUCT_DEFINITION_SHAPE($,$,#789); #50753=PRODUCT_DEFINITION_SHAPE($,$,#790); #50754=PRODUCT_DEFINITION_SHAPE($,$,#791); #50755=PRODUCT_DEFINITION_SHAPE($,$,#792); #50756=PRODUCT_DEFINITION_SHAPE($,$,#793); #50757=PRODUCT_DEFINITION_SHAPE($,$,#794); #50758=PRODUCT_DEFINITION_SHAPE($,$,#795); #50759=PRODUCT_DEFINITION_SHAPE($,$,#796); #50760=PRODUCT_DEFINITION_SHAPE($,$,#797); #50761=PRODUCT_DEFINITION_SHAPE($,$,#798); #50762=PRODUCT_DEFINITION_SHAPE($,$,#799); #50763=PRODUCT_DEFINITION_SHAPE($,$,#800); #50764=PRODUCT_DEFINITION_SHAPE($,$,#801); #50765=PRODUCT_DEFINITION_SHAPE($,$,#802); #50766=PRODUCT_DEFINITION_SHAPE($,$,#803); #50767=PRODUCT_DEFINITION_SHAPE($,$,#804); #50768=PRODUCT_DEFINITION_SHAPE($,$,#805); #50769=PRODUCT_DEFINITION_SHAPE($,$,#806); #50770=PRODUCT_DEFINITION_SHAPE($,$,#807); #50771=PRODUCT_DEFINITION_SHAPE($,$,#808); #50772=PRODUCT_DEFINITION_SHAPE($,$,#809); #50773=PRODUCT_DEFINITION_SHAPE($,$,#810); #50774=PRODUCT_DEFINITION_SHAPE($,$,#811); #50775=PRODUCT_DEFINITION_SHAPE($,$,#812); #50776=PRODUCT_DEFINITION_SHAPE($,$,#813); #50777=PRODUCT_DEFINITION_SHAPE($,$,#814); #50778=PRODUCT_DEFINITION_SHAPE($,$,#815); #50779=PRODUCT_DEFINITION_SHAPE($,$,#816); #50780=PRODUCT_DEFINITION_SHAPE($,$,#817); #50781=PRODUCT_DEFINITION_SHAPE($,$,#818); #50782=PRODUCT_DEFINITION_SHAPE($,$,#819); #50783=PRODUCT_DEFINITION_SHAPE($,$,#820); #50784=PRODUCT_DEFINITION_SHAPE($,$,#821); #50785=PRODUCT_DEFINITION_SHAPE($,$,#822); #50786=PRODUCT_DEFINITION_SHAPE($,$,#823); #50787=PRODUCT_DEFINITION_SHAPE($,$,#824); #50788=PRODUCT_DEFINITION_SHAPE($,$,#825); #50789=PRODUCT_DEFINITION_SHAPE($,$,#826); #50790=PRODUCT_DEFINITION_SHAPE($,$,#827); #50791=PRODUCT_DEFINITION_SHAPE($,$,#828); #50792=PRODUCT_DEFINITION_SHAPE($,$,#829); #50793=PRODUCT_DEFINITION_SHAPE($,$,#830); #50794=SHAPE_REPRESENTATION('',(#29243,#32822,#32823,#32824,#32825,#32826, #32827,#32828,#32829,#32830,#32831,#32832,#32833,#32834,#32835,#32836,#32837, #32838,#32839,#32840,#32841,#32842,#32843,#32844,#32845,#32846,#32847,#32848, #32849,#32850,#32851,#32852,#32853,#32854,#32855,#32856,#32857,#32858,#32859, #32860,#32861,#32862,#32863,#32864,#32865,#32866,#32867,#32868,#32869,#32870, #32871,#32872,#32873,#32874,#32875,#32876,#32877,#32878,#32879,#32880,#32881, #32882,#32883,#32884,#32885,#32886,#32887,#32888,#32889,#32890,#32891,#32892, #32893,#32894,#32895,#32896,#32897,#32898,#32899,#32900,#32901,#32902,#32903, #32904,#32905,#32906,#32907,#32908,#32909,#32910,#32911,#32912,#32913,#32914, #32915,#32916,#32917,#32918,#32919,#32920,#32921,#32922,#32923,#32924,#32925, #32926,#32927,#32928,#32929,#32930,#32931,#32932,#32933,#32934,#32935,#32936, #32937,#32938,#32939,#32940,#32941,#32942,#32943,#32944,#32945,#32946,#32947, #32948,#32949,#32950,#32951,#32952,#32953,#32954,#32955,#32956,#32957,#32958, #32959,#32960,#32961,#32962,#32963,#32964,#32965,#32966,#32967,#32968,#32969, #32970,#32971,#32972,#32973,#32974,#32975,#32976,#32977,#32978,#32979,#32980, #32981,#32982,#32983,#32984,#32985,#32986,#32987,#32988,#32989,#32990,#32991, #32992,#32993,#32994,#32995,#32996,#32997,#32998,#32999,#33000,#33001,#33002, #33003,#33004,#33005,#33006,#33007,#33008,#33009,#33010,#33011,#33012,#33013, #33014,#33015,#33016,#33017,#33018,#33019,#33020,#33021,#33022,#33023), #50533); #50795=SHAPE_REPRESENTATION('',(#29244),#50534); #50796=SHAPE_REPRESENTATION('',(#29495),#50535); #50797=SHAPE_REPRESENTATION('',(#29845),#50536); #50798=SHAPE_REPRESENTATION('',(#30342),#50537); #50799=SHAPE_REPRESENTATION('',(#30641),#50538); #50800=SHAPE_REPRESENTATION('',(#31383),#50539); #50801=SHAPE_REPRESENTATION('',(#31443),#50540); #50802=SHAPE_REPRESENTATION('',(#31520),#50541); #50803=SHAPE_REPRESENTATION('',(#31679),#50542); #50804=SHAPE_REPRESENTATION('',(#31765),#50543); #50805=SHAPE_REPRESENTATION('',(#31862),#50544); #50806=SHAPE_REPRESENTATION('',(#31948),#50545); #50807=SHAPE_REPRESENTATION('',(#31969),#50546); #50808=SHAPE_REPRESENTATION('',(#32221),#50547); #50809=SHAPE_REPRESENTATION('',(#32562),#50548); #50810=SHAPE_REPRESENTATION('',(#32719),#50549); #50811=PRODUCT_DEFINITION_CONTEXT('part definition',#50864,'design'); #50812=PRODUCT_DEFINITION('SG_UpdatedAssembly_360_noReadout', 'SG_UpdatedAssembly_360_noReadout',#50829,#50811); #50813=PRODUCT_DEFINITION('SP-10-01-06','SP-10-01-06',#50830,#50811); #50814=PRODUCT_DEFINITION('SP-10-01-05','SP-10-01-05',#50831,#50811); #50815=PRODUCT_DEFINITION('Duke SP-10-01-07','SP-10-01-07',#50832,#50811); #50816=PRODUCT_DEFINITION('Aluminum Front Window', 'Aluminum Front Window',#50833,#50811); #50817=PRODUCT_DEFINITION('SP-10-01-09','SP-10-01-09',#50834,#50811); #50818=PRODUCT_DEFINITION('SP-10-01-18','SP-10-01-18',#50835,#50811); #50819=PRODUCT_DEFINITION('SP-10-01-19','SP-10-01-19',#50836,#50811); #50820=PRODUCT_DEFINITION('SP-10-01-08','SP-10-01-08',#50837,#50811); #50821=PRODUCT_DEFINITION('SP-10-01-03','SP-10-01-03',#50838,#50811); #50822=PRODUCT_DEFINITION('SP-10-01-01','SP-10-01-01',#50839,#50811); #50823=PRODUCT_DEFINITION('SP-10-01-02','SP-10-01-02',#50840,#50811); #50824=PRODUCT_DEFINITION('SP-10-01-16','SP-10-01-16',#50841,#50811); #50825=PRODUCT_DEFINITION('SP-10-01-14','SP-10-01-14',#50842,#50811); #50826=PRODUCT_DEFINITION('SP-10-02-01','SP-10-02-01',#50843,#50811); #50827=PRODUCT_DEFINITION('SP-10-01-13','SP-10-01-13',#50844,#50811); #50828=PRODUCT_DEFINITION('SP-10-01-12','SP-10-01-12',#50845,#50811); #50829=PRODUCT_DEFINITION_FORMATION('',$,#50866); #50830=PRODUCT_DEFINITION_FORMATION('',$,#50867); #50831=PRODUCT_DEFINITION_FORMATION('',$,#50868); #50832=PRODUCT_DEFINITION_FORMATION('',$,#50869); #50833=PRODUCT_DEFINITION_FORMATION('',$,#50870); #50834=PRODUCT_DEFINITION_FORMATION('',$,#50871); #50835=PRODUCT_DEFINITION_FORMATION('',$,#50872); #50836=PRODUCT_DEFINITION_FORMATION('',$,#50873); #50837=PRODUCT_DEFINITION_FORMATION('',$,#50874); #50838=PRODUCT_DEFINITION_FORMATION('',$,#50875); #50839=PRODUCT_DEFINITION_FORMATION('',$,#50876); #50840=PRODUCT_DEFINITION_FORMATION('',$,#50877); #50841=PRODUCT_DEFINITION_FORMATION('',$,#50878); #50842=PRODUCT_DEFINITION_FORMATION('',$,#50879); #50843=PRODUCT_DEFINITION_FORMATION('',$,#50880); #50844=PRODUCT_DEFINITION_FORMATION('',$,#50881); #50845=PRODUCT_DEFINITION_FORMATION('',$,#50882); #50846=PRODUCT_RELATED_PRODUCT_CATEGORY( 'SG_UpdatedAssembly_360_noReadout','SG_UpdatedAssembly_360_noReadout',(#50866)); #50847=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-06','SP-10-01-06',(#50867)); #50848=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-05','SP-10-01-05',(#50868)); #50849=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-07','SP-10-01-07',(#50869)); #50850=PRODUCT_RELATED_PRODUCT_CATEGORY('Aluminum Front Window', 'Aluminum Front Window',(#50870)); #50851=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-09','SP-10-01-09',(#50871)); #50852=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-18','SP-10-01-18',(#50872)); #50853=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-19','SP-10-01-19',(#50873)); #50854=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-08','SP-10-01-08',(#50874)); #50855=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-03','SP-10-01-03',(#50875)); #50856=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-01','SP-10-01-01',(#50876)); #50857=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-02','SP-10-01-02',(#50877)); #50858=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-16','SP-10-01-16',(#50878)); #50859=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-14','SP-10-01-14',(#50879)); #50860=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-02-01','SP-10-02-01',(#50880)); #50861=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-13','SP-10-01-13',(#50881)); #50862=PRODUCT_RELATED_PRODUCT_CATEGORY('SP-10-01-12','SP-10-01-12',(#50882)); #50863=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#50864); #50864=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #50865=PRODUCT_CONTEXT('part definition',#50864,'mechanical'); #50866=PRODUCT('SG_UpdatedAssembly_360_noReadout', 'SG_UpdatedAssembly_360_noReadout',$,(#50865)); #50867=PRODUCT('SP-10-01-06','SP-10-01-06','Shell - Side Plate - Left', (#50865)); #50868=PRODUCT('SP-10-01-05','SP-10-01-05','Shell - Side Plate - Right', (#50865)); #50869=PRODUCT('Duke SP-10-01-07','SP-10-01-07','Shell - Rib - Mid',(#50865)); #50870=PRODUCT('Aluminum Front Window','Aluminum Front Window',$,(#50865)); #50871=PRODUCT('SP-10-01-09','SP-10-01-09','Shell - Front Plate',(#50865)); #50872=PRODUCT('SP-10-01-18','SP-10-01-18','Shell - Mount - Side',(#50865)); #50873=PRODUCT('SP-10-01-19','SP-10-01-19','Shell - Mount - Top ',(#50865)); #50874=PRODUCT('SP-10-01-08','SP-10-01-08','Shell - Rib-Top',(#50865)); #50875=PRODUCT('SP-10-01-03','SP-10-01-03','Shell - Bottom Left',(#50865)); #50876=PRODUCT('SP-10-01-01','SP-10-01-01','Shell - Bottom Center',(#50865)); #50877=PRODUCT('SP-10-01-02','SP-10-01-02','Shell - Bottom Right',(#50865)); #50878=PRODUCT('SP-10-01-16','SP-10-01-16',$,(#50865)); #50879=PRODUCT('SP-10-01-14','SP-10-01-14','Shell - Back Plate',(#50865)); #50880=PRODUCT('SP-10-02-01','SP-10-02-01','Front Window - Frame',(#50865)); #50881=PRODUCT('SP-10-01-13','SP-10-01-13','Shell - Top Plate',(#50865)); #50882=PRODUCT('SP-10-01-12','SP-10-01-12', 'Shell - Front Top Plate Blank',(#50865)); #50883=PRESENTATION_STYLE_ASSIGNMENT((#50891)); #50884=PRESENTATION_STYLE_ASSIGNMENT((#50892)); #50885=PRESENTATION_STYLE_ASSIGNMENT((#50893)); #50886=PRESENTATION_STYLE_ASSIGNMENT((#50894)); #50887=PRESENTATION_STYLE_ASSIGNMENT((#50895)); #50888=PRESENTATION_STYLE_ASSIGNMENT((#50896)); #50889=PRESENTATION_STYLE_ASSIGNMENT((#50897)); #50890=PRESENTATION_STYLE_ASSIGNMENT((NULL_STYLE(.NULL.))); #50891=SURFACE_STYLE_USAGE(.BOTH.,#50912); #50892=SURFACE_STYLE_USAGE(.BOTH.,#50913); #50893=SURFACE_STYLE_USAGE(.BOTH.,#50914); #50894=SURFACE_STYLE_USAGE(.BOTH.,#50915); #50895=SURFACE_STYLE_USAGE(.BOTH.,#50916); #50896=SURFACE_STYLE_USAGE(.BOTH.,#50917); #50897=SURFACE_STYLE_USAGE(.BOTH.,#50918); #50898=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#50940,(#50905)); #50899=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#50941,(#50906)); #50900=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#50942,(#50907)); #50901=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#50943,(#50908)); #50902=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#50944,(#50909)); #50903=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#50945,(#50910)); #50904=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#50946,(#50911)); #50905=SURFACE_STYLE_TRANSPARENT(0.); #50906=SURFACE_STYLE_TRANSPARENT(0.); #50907=SURFACE_STYLE_TRANSPARENT(0.); #50908=SURFACE_STYLE_TRANSPARENT(0.); #50909=SURFACE_STYLE_TRANSPARENT(0.); #50910=SURFACE_STYLE_TRANSPARENT(0.); #50911=SURFACE_STYLE_TRANSPARENT(0.); #50912=SURFACE_SIDE_STYLE('',(#50919,#50898)); #50913=SURFACE_SIDE_STYLE('',(#50920,#50899)); #50914=SURFACE_SIDE_STYLE('',(#50921,#50900)); #50915=SURFACE_SIDE_STYLE('',(#50922,#50901)); #50916=SURFACE_SIDE_STYLE('',(#50923,#50902)); #50917=SURFACE_SIDE_STYLE('',(#50924,#50903)); #50918=SURFACE_SIDE_STYLE('',(#50925,#50904)); #50919=SURFACE_STYLE_FILL_AREA(#50926); #50920=SURFACE_STYLE_FILL_AREA(#50927); #50921=SURFACE_STYLE_FILL_AREA(#50928); #50922=SURFACE_STYLE_FILL_AREA(#50929); #50923=SURFACE_STYLE_FILL_AREA(#50930); #50924=SURFACE_STYLE_FILL_AREA(#50931); #50925=SURFACE_STYLE_FILL_AREA(#50932); #50926=FILL_AREA_STYLE('',(#50933)); #50927=FILL_AREA_STYLE('',(#50934)); #50928=FILL_AREA_STYLE('',(#50935)); #50929=FILL_AREA_STYLE('',(#50936)); #50930=FILL_AREA_STYLE('',(#50937)); #50931=FILL_AREA_STYLE('',(#50938)); #50932=FILL_AREA_STYLE('',(#50939)); #50933=FILL_AREA_STYLE_COLOUR('',#50940); #50934=FILL_AREA_STYLE_COLOUR('',#50941); #50935=FILL_AREA_STYLE_COLOUR('',#50942); #50936=FILL_AREA_STYLE_COLOUR('',#50943); #50937=FILL_AREA_STYLE_COLOUR('',#50944); #50938=FILL_AREA_STYLE_COLOUR('',#50945); #50939=FILL_AREA_STYLE_COLOUR('',#50946); #50940=COLOUR_RGB('',0.188235294117647,0.529411764705882,0.890196078431372); #50941=COLOUR_RGB('',1.,1.,0.); #50942=COLOUR_RGB('',0.996078431372549,0.996078431372549,1.); #50943=COLOUR_RGB('',0.929411764705882,0.811764705882353,0.709803921568627); #50944=COLOUR_RGB('',0.866666666666667,0.866666666666667,0.0509803921568627); #50945=COLOUR_RGB('',0.866666666666667,0.486274509803922,0.0588235294117647); #50946=COLOUR_RGB('',0.968627450980392,0.968627450980392,0.898039215686275); ENDSEC; END-ISO-10303-21;